WO2024019064A1 - 多官能スルホン酸を含むシリコン含有レジスト下層膜形成用組成物 - Google Patents

多官能スルホン酸を含むシリコン含有レジスト下層膜形成用組成物 Download PDF

Info

Publication number
WO2024019064A1
WO2024019064A1 PCT/JP2023/026333 JP2023026333W WO2024019064A1 WO 2024019064 A1 WO2024019064 A1 WO 2024019064A1 JP 2023026333 W JP2023026333 W JP 2023026333W WO 2024019064 A1 WO2024019064 A1 WO 2024019064A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
underlayer film
resist underlayer
silicon
forming
Prior art date
Application number
PCT/JP2023/026333
Other languages
English (en)
French (fr)
Inventor
諭 武田
亘 柴山
Original Assignee
日産化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日産化学株式会社 filed Critical 日産化学株式会社
Publication of WO2024019064A1 publication Critical patent/WO2024019064A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Definitions

  • the present invention relates to a composition for forming a silicon-containing resist underlayer film containing a polyfunctional sulfonic acid.
  • microfabrication has been carried out by lithography using photoresists.
  • the above-mentioned microfabrication is achieved by forming a thin film of photoresist on a semiconductor substrate such as a silicon wafer, irradiating active light such as ultraviolet rays through a mask pattern on which a semiconductor device pattern is drawn, and developing the film.
  • This processing method involves etching a substrate using a photoresist pattern as a protective film to form fine irregularities corresponding to the pattern on the surface of the substrate.
  • the present invention has been made in view of such circumstances, and an object of the present invention is to provide a composition for forming a silicon-containing resist underlayer film that can increase the sensitivity of the resist.
  • the present invention includes the following.
  • n represents an integer of 1 to 3.
  • R 1 represents an n+1 valent organic group having 1 to 15 carbon atoms.
  • a resist underlayer film forming composition containing: [5] The composition for forming a silicon-containing resist underlayer film according to any one of [1] to [4], wherein the component [C] contains an alcohol solvent. [6] The composition for forming a silicon-containing resist underlayer film according to [5], wherein the component [C] contains propylene glycol monoalkyl ether. [7] [D] Component: The composition for forming a silicon-containing resist underlayer film according to any one of [1] to [6], which further contains a curing catalyst. [8] Component [E]: The composition for forming a silicon-containing resist underlayer film according to any one of [1] to [7], which further contains nitric acid.
  • a semiconductor substrate The silicon-containing resist underlayer film according to [11], A substrate for semiconductor processing comprising: [13] Forming an organic lower layer film on the substrate; forming a resist underlayer film on the organic underlayer film using the silicon-containing resist underlayer film forming composition according to any one of [1] to [10]; forming a metal-containing resist film on the resist underlayer film; A method for manufacturing a semiconductor device, including: [14] The metal-containing resist film is formed from a metal-containing resist for EUV lithography. The method for manufacturing a semiconductor device according to [13]. [15] In the step of forming the resist underlayer film, a silicon-containing resist underlayer film forming composition filtered through a nylon filter is used.
  • the method for manufacturing a semiconductor device according to [13] or [14].
  • [16] Forming an organic lower layer film on the semiconductor substrate; A step of applying the silicon-containing resist underlayer film forming composition according to any one of [1] to [10] on the organic underlayer film and baking it to form a resist underlayer film; forming a metal-containing resist film on the resist underlayer film; exposing and developing the metal-containing resist film to obtain a resist pattern; etching the resist lower layer film using the resist pattern as a mask; etching the organic underlayer film using the patterned resist underlayer film as a mask; A pattern forming method, including: [17] After the step of etching the organic underlayer film, a step of removing the resist underlayer film by a wet method using a chemical solution; The pattern forming method according to [16], further comprising: [18] The metal-containing resist film is formed from a metal-containing resist for EUV lithography. The pattern forming method according to [16] or [14].
  • the present invention it is possible to provide a composition for forming a silicon-containing resist underlayer film that can increase the sensitivity of a resist. Further, according to the present invention, it is possible to provide a silicon-containing resist underlayer film, a substrate for semiconductor processing, a method for manufacturing a semiconductor element, and a pattern forming method using the composition for forming a silicon-containing resist underlayer film.
  • the silicon-containing resist underlayer forming composition of the present invention contains polysiloxane as component [A], sulfuric acid, polyfunctional sulfonic acid, or a salt thereof as component [B], and a solvent as component [C]. However, it further contains other ingredients as necessary.
  • the present inventors have discovered that by including sulfuric acid, polyfunctional sulfonic acid, or a salt thereof as component [B] in a silicon-containing resist underlayer forming composition containing polysiloxane, the sensitivity of the resist can be increased. We have found that it is possible to form a resist underlayer film that can be used.
  • polysiloxane as component [A] is not particularly limited as long as it is a polymer having siloxane bonds.
  • the polysiloxane may include a modified polysiloxane in which a portion of the silanol group is modified, such as a modified polysiloxane in which a portion of the silanol group is alcohol-modified or acetal-protected.
  • the polysiloxane includes, for example, a hydrolyzed condensate of a hydrolyzable silane, and may also include a modified polysiloxane in which at least a portion of the silanol groups of the hydrolyzed condensate are alcohol-modified or acetal-protected.
  • the hydrolyzable silane related to the hydrolyzed condensate can contain one or more types of hydrolyzable silane.
  • the polysiloxane may have a structure having a main chain of a cage type, a ladder type, a linear type, or a branched type. Furthermore, commercially available polysiloxanes can be used as the polysiloxane.
  • the "hydrolytic condensate" of hydrolyzable silane that is, the product of hydrolytic condensation, includes not only a polyorganosiloxane polymer that is a condensate that has completely completed condensation, but also a polyorganosiloxane polymer that is a condensate that has completely completed condensation. Also included are polyorganosiloxane polymers that are incompletely partially hydrolyzed condensates. Similar to completely condensed condensates, such partially hydrolyzed condensates are also polymers obtained by hydrolysis and condensation of hydrolyzable silanes, but only partially hydrolyzed and condensed. Therefore, the Si--OH group remains.
  • the silicon-containing composition for forming a resist underlayer film contains uncondensed hydrolyzed products (completely hydrolyzed products, partial hydrolyzed products) and monomers (hydrolyzable silane). You can leave it there. Note that in this specification, “hydrolyzable silane” may also be simply referred to as “silane compound.”
  • polysiloxane examples include hydrolyzed condensates of hydrolyzable silanes containing at least one type of hydrolyzable silane represented by the following formula (1).
  • R 1 is a group bonded to a silicon atom, which independently represents an optionally substituted alkyl group, an optionally substituted aryl group, an optionally substituted aralkyl group.
  • group optionally substituted halogenated alkyl group, optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted an organic group representing a good alkoxyaryl group, an optionally substituted alkoxyaralkyl group, or an optionally substituted alkenyl group, or having an epoxy group, an organic group having an acryloyl group, an organic group having a methacryloyl group, It represents an organic group having a mercapto group, an organic group having an amino group, an organic group having an alkoxy group, an organic group having a sulfonyl group, an organic group having a cyano group, or a combination of two or more thereof.
  • R 2 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • a represents an integer from 0 to 3.
  • the alkyl group may be linear, branched, or cyclic, and the number of carbon atoms thereof is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less. , more preferably 10 or less.
  • Specific examples of linear or branched alkyl groups include methyl group, ethyl group, n-propyl group, i-propyl group, n-butyl group, i-butyl group, and s-butyl group.
  • cyclic alkyl group examples include cyclopropyl group, cyclobutyl group, 1-methyl-cyclopropyl group, 2-methyl-cyclopropyl group, cyclopentyl group, 1-methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3 -Methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2-ethyl-cyclopropyl group, cyclohexyl group, 1-methyl-cyclopentyl group group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group, 1,2-dimethyl-cyclobutyl group, 1,3- Dimethyl-cyclobutyl group, 2,2-dimethyl-methyl-
  • Aryl groups include phenyl groups, monovalent groups derived by removing one hydrogen atom from a condensed ring aromatic hydrocarbon compound, and 1 derived by removing one hydrogen atom from a ring-linked aromatic hydrocarbon compound.
  • the number of carbon atoms is not particularly limited, but is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less.
  • examples of the aryl group include aryl groups having 6 to 20 carbon atoms, such as phenyl group, 1-naphthyl group, 2-naphthyl group, 1-anthryl group, 2-anthryl group, 9-anthryl group, 1-anthryl group, Phenanthryl group, 2-phenanthryl group, 3-phenanthryl group, 4-phenanthryl group, 9-phenanthryl group, 1-naphthacenyl group, 2-naphthacenyl group, 5-naphthacenyl group, 2-chrysenyl group, 1-pyrenyl group, 2- Pyrenyl group, pentacenyl group, benzopyrenyl group, triphenylenyl group; biphenyl-2-yl group (o-biphenylyl group), biphenyl-3-yl group (m-biphenylyl group), biphenyl-4-yl group (p-biphenylyl
  • the aralkyl group is an alkyl group substituted with an aryl group, and specific examples of such aryl groups and alkyl groups include those mentioned above.
  • the number of carbon atoms in the aralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less.
  • aralkyl groups include phenylmethyl group (benzyl group), 2-phenylethylene group, 3-phenyl-n-propyl group, 4-phenyl-n-butyl group, 5-phenyl-n-pentyl group, -phenyl-n-hexyl group, 7-phenyl-n-heptyl group, 8-phenyl-n-octyl group, 9-phenyl-n-nonyl group, 10-phenyl-n-decyl group, etc. but not limited to.
  • a halogenated alkyl group, a halogenated aryl group, and a halogenated aralkyl group are, respectively, an alkyl group, an aryl group, and an aralkyl group substituted with one or more halogen atoms; Specific examples of the group include those mentioned above.
  • Examples of the halogen atom include a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, and the like.
  • the number of carbon atoms in the halogenated alkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less, still more preferably 10 or less.
  • Specific examples of the halogenated alkyl group include monofluoromethyl group, difluoromethyl group, trifluoromethyl group, bromodifluoromethyl group, 2-chloroethyl group, 2-bromoethyl group, 1,1-difluoroethyl group, 2,2 , 2-trifluoroethyl group, 1,1,2,2-tetrafluoroethyl group, 2-chloro-1,1,2-trifluoroethyl group, pentafluoroethyl group, 3-bromopropyl group, 2,2 , 3,3-tetrafluoropropyl group, 1,1,2,3,3,3-hexafluoropropyl group, 1,1,1,3,3,3-hexafluoropropan-2
  • the number of carbon atoms in the halogenated aryl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less.
  • Specific examples of the halogenated aryl group include 2-fluorophenyl group, 3-fluorophenyl group, 4-fluorophenyl group, 2,3-difluorophenyl group, 2,4-difluorophenyl group, and 2,5-difluorophenyl group.
  • the number of carbon atoms in the halogenated aralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less.
  • Specific examples of the halogenated aralkyl group include 2-fluorobenzyl group, 3-fluorobenzyl group, 4-fluorobenzyl group, 2,3-difluorobenzyl group, 2,4-difluorobenzyl group, 2,5-difluorobenzyl group.
  • alkoxyalkyl group, an alkoxyaryl group, and an alkoxyaralkyl group are an alkyl group, an aryl group, and an aralkyl group, respectively, substituted with one or more alkoxy groups, and specific examples of such alkyl groups, aryl groups, and aralkyl groups include Examples include the same ones mentioned above.
  • alkoxy group as a substituent examples include an alkoxy group having at least one of linear, branched, and cyclic alkyl moieties having 1 to 20 carbon atoms.
  • linear or branched alkoxy groups include methoxy, ethoxy, n-propoxy, i-propoxy, n-butoxy, i-butoxy, s-butoxy, and t-butoxy groups.
  • n-pentyloxy group 1-methyl-n-butoxy group, 2-methyl-n-butoxy group, 3-methyl-n-butoxy group, 1,1-dimethyl-n-propoxy group, 1,2-dimethyl- n-propoxy group, 2,2-dimethyl-n-propoxy group, 1-ethyl-n-propoxy group, n-hexyloxy group, 1-methyl-n-pentyloxy group, 2-methyl-n-pentyloxy group, 3- Methyl-n-pentyloxy group, 4-methyl-n-pentyloxy group, 1,1-dimethyl-n-butoxy group, 1,2-dimethyl-n-butoxy group, 1,3-dimethyl-n-butoxy group, 2 , 2-dimethyl-n-butoxy group, 2,3-dimethyl-n-butoxy group, 3,3-dimethyl-n-butoxy group, 1-ethyl-n-butoxy group, 2-ethyl-n-butoxy group, 1,1,2-
  • cyclic alkoxy group examples include cyclopropoxy group, cyclobutoxy group, 1-methyl-cyclopropoxy group, 2-methyl-cyclopropoxy group, cyclopentyloxy group, 1-methyl-cyclobutoxy group, 2-methyl- Cyclobutoxy group, 3-methyl-cyclobutoxy group, 1,2-dimethyl-cyclopropoxy group, 2,3-dimethyl-cyclopropoxy group, 1-ethyl-cyclopropoxy group, 2-ethyl-cyclopropoxy group, cyclohexyloxy group, 1-methyl-cyclopentyloxy group, 2-methyl-cyclopentyloxy group, 3-methyl-cyclopentyloxy group, 1-ethyl-cyclobutoxy group, 2-ethyl-cyclobutoxy group, 3-ethyl-cyclo Butoxy group, 1,2-dimethyl-cyclobutoxy group, 1,3-dimethyl-cyclobutoxy group, 2,2-dimethyl-cyclobutoxy group, 2,3-dimethyl-cyclobutoxy group
  • alkoxyalkyl groups include lower (about 5 carbon atoms or less) alkyloxy lower (about 5 carbon atoms or less) such as methoxymethyl group, ethoxymethyl group, 1-ethoxyethyl group, 2-ethoxyethyl group, and ethoxymethyl group. degree) alkyl groups, etc., but are not limited to these.
  • alkoxyaryl groups include 2-methoxyphenyl group, 3-methoxyphenyl group, 4-methoxyphenyl group, 2-(1-ethoxy)phenyl group, 3-(1-ethoxy)phenyl group, 4-( 1-ethoxy)phenyl group, 2-(2-ethoxy)phenyl group, 3-(2-ethoxy)phenyl group, 4-(2-ethoxy)phenyl group, 2-methoxynaphthalen-1-yl group, 3-methoxy Examples include naphthalen-1-yl group, 4-methoxynaphthalen-1-yl group, 5-methoxynaphthalen-1-yl group, 6-methoxynaphthalen-1-yl group, 7-methoxynaphthalen-1-yl group, etc. However, it is not limited to these.
  • Specific examples of the alkoxyaralkyl group include, but are not limited to, 3-(methoxyphenyl)benzyl group and 4-(methoxyphenyl)benzy
  • the alkenyl group may be either linear or branched, and the number of carbon atoms thereof is not particularly limited, but is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less, More preferably, it is 10 or less.
  • Specific examples of alkenyl groups include ethenyl group (vinyl group), 1-propenyl group, 2-propenyl group, 1-methyl-1-ethenyl group, 1-butenyl group, 2-butenyl group, 3-butenyl group, 2 -Methyl-1-propenyl group, 2-methyl-2-propenyl group, 1-ethylethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group, 1-pentenyl group, 2-pentenyl group, 3-pentenyl group, 4-pentenyl group, 1-n-propylethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1-methyl-3-butenyl
  • substituents in the alkyl group, aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group, alkoxyalkyl group, alkoxyaryl group, alkoxyaralkyl group, and alkenyl group include, for example.
  • aryloxy group mentioned as a substituent is a group in which an aryl group is bonded via an oxygen atom (-O-), and specific examples of such an aryl group include the same as those mentioned above.
  • the number of carbon atoms in the aryloxy group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less, and specific examples include phenoxy group, naphthalene-2- Examples include, but are not limited to, yloxy groups and the like. Moreover, when two or more substituents exist, the substituents may combine with each other to form a ring.
  • Examples of the organic group having an epoxy group include a glycidoxymethyl group, a glycidoxyethyl group, a glycidoxypropyl group, a glycidoxybutyl group, and an epoxycyclohexyl group.
  • Examples of the organic group having an acryloyl group include an acryloyloxymethyl group, an acryloyloxyethyl group, an acryloyloxypropyl group, and the like.
  • Examples of the organic group having a methacryloyl group include a methacryloyloxymethyl group, a methacryloyloxyethyl group, and a methacryloyloxypropyl group.
  • Examples of the organic group having a mercapto group include a mercaptoethyl group, a mercaptobutyl group, a mercaptohexyl group, a mercaptooctyl group, and a mercaptophenyl group.
  • Examples of the organic group having an amino group include, but are not limited to, an amino group, an aminomethyl group, an aminoethyl group, an aminophenyl group, a dimethylaminoethyl group, a dimethylaminopropyl group, and the like. The organic group having an amino group will be described in more detail later.
  • Examples of the organic group having an alkoxy group include, but are not limited to, a methoxymethyl group and a methoxyethyl group. However, groups in which an alkoxy group is directly bonded to a silicon atom are excluded.
  • Examples of the organic group having a sulfonyl group include, but are not limited to, a sulfonylalkyl group and a sulfonylaryl group.
  • Examples of the organic group having a cyano group include a cyanoethyl group, a cyanopropyl group, a cyanophenyl group, and a thiocyanate group.
  • Examples of the organic group having an amino group include organic groups having at least one of a primary amino group, a secondary amino group, and a tertiary amino group.
  • a hydrolyzed condensate in which a hydrolyzable silane having a tertiary amino group is hydrolyzed with a strong acid to form a counter cation having a tertiary ammonium group can be preferably used.
  • the organic group can contain a heteroatom such as an oxygen atom or a sulfur atom in addition to the nitrogen atom constituting the amino group.
  • a preferable example of the organic group having an amino group is a group represented by the following formula (A1).
  • R 101 and R 102 independently represent a hydrogen atom or a hydrocarbon group
  • L independently represents an optionally substituted alkylene group. * represents a bond.
  • the hydrocarbon group include, but are not limited to, an alkyl group, an alkenyl group, an aryl group, and the like. Specific examples of these alkyl groups, alkenyl groups and aryl groups include those mentioned above for R1 .
  • the alkylene group may be either linear or branched, and its carbon number is usually 1 to 10, preferably 1 to 5.
  • Examples include linear alkylene groups such as methylene group, ethylene group, trimethylene group, tetramethylene group, pentamethylene group, hexamethylene group, heptamethylene group, octamethylene group, nonamethylene group, and decamethylene group.
  • Examples of the organic group having an amino group include, but are not limited to, an amino group, an aminomethyl group, an aminoethyl group, an aminophenyl group, a dimethylaminoethyl group, a dimethylaminopropyl group, and the like.
  • R 2 examples include the alkoxy groups exemplified in the description of R 1 .
  • examples of the halogen atom in R 2 include the halogen atoms exemplified in the description of R 1 .
  • the aralkyloxy group is a monovalent group derived by removing a hydrogen atom from the hydroxyl group of an aralkyl alcohol, and specific examples of the aralkyl group in the aralkyloxy group include the same ones as mentioned above.
  • the number of carbon atoms in the aralkyloxy group is not particularly limited, but may be, for example, 40 or less, preferably 30 or less, and more preferably 20 or less.
  • aralkyloxy group examples include phenylmethyloxy group (benzyloxy group), 2-phenylethyleneoxy group, 3-phenyl-n-propyloxy group, 4-phenyl-n-butyloxy group, 5-phenyl-n -pentyloxy group, 6-phenyl-n-hexyloxy group, 7-phenyl-n-heptyloxy group, 8-phenyl-n-octyloxy group, 9-phenyl-n-nonyloxy group, 10-phenyl-n- Examples include, but are not limited to, decyloxy groups.
  • An acyloxy group is a monovalent group derived by removing a hydrogen atom from a carboxyl group (-COOH) of a carboxylic acid compound, and is typically derived from a carboxyl group of an alkylcarboxylic acid, an arylcarboxylic acid, or an aralkylcarboxylic acid. Examples include, but are not limited to, alkylcarbonyloxy groups, arylcarbonyloxy groups, and aralkylcarbonyloxy groups derived by removing a hydrogen atom.
  • alkyl group, aryl group, and aralkyl group in such alkylcarboxylic acid, arylcarboxylic acid, and aralkylcarboxylic acid include those mentioned above.
  • Specific examples of the acyloxy group include acyloxy groups having 2 to 20 carbon atoms, such as methylcarbonyloxy group, ethylcarbonyloxy group, n-propylcarbonyloxy group, i-propylcarbonyloxy group, and n-butylcarbonyloxy group.
  • hydrolyzable silanes represented by formula (1) include tetramethoxysilane, tetrachlorosilane, tetraacetoxysilane, tetraethoxysilane, tetra-n-propoxysilane, tetra-i-propoxysilane, and tetra-n.
  • -butoxysilane methyltrimethoxysilane, methyltrichlorosilane, methyltriacetoxysilane, methyltriethoxysilane, methyltripropoxysilane, methyltributoxysilane, methyltriamyloxysilane, methyltriphenoxysilane, methyltribenzyloxysilane, Methyltriphenethyloxysilane, glycidoxymethyltrimethoxysilane, glycidoxymethyltriethoxysilane, ⁇ -glycidoxyethyltrimethoxysilane, ⁇ -glycidoxyethyltriethoxysilane, ⁇ -glycidoxyethyltrimethoxy Silane, ⁇ -glycidoxyethyltriethoxysilane, ⁇ -glycidoxypropyltrimethoxysilane, ⁇ -glycidoxypropyltriethoxysilane, ⁇ -g
  • T each independently represents an alkoxy group, an acyloxy group, or a halogen group, and preferably represents a methoxy group or an ethoxy group.
  • hydrolyzable silane represented by formula (2) can be used.
  • examples include hydrolyzed condensates of hydrolyzable silanes, including hydrolyzable silanes.
  • R 3 is a group bonded to a silicon atom, which independently represents an optionally substituted alkyl group, an optionally substituted aryl group, and an optionally substituted aralkyl group.
  • group optionally substituted halogenated alkyl group, optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted an organic group representing a good alkoxyaryl group, an optionally substituted alkoxyaralkyl group, or an optionally substituted alkenyl group, or having an epoxy group, an organic group having an acryloyl group, an organic group having a methacryloyl group, It represents an organic group having a mercapto group, an organic group having an amino group, an organic group having an alkoxy group, an organic group having a sulfonyl group, an organic group having a cyano group, or a combination of two or more thereof.
  • R 4 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • R 5 is a group bonded to a silicon atom, and each independently represents an alkylene group or an arylene group. b represents 0 or 1, and c represents 0 or 1.
  • each group in R 3 and their preferred carbon numbers include the groups and carbon numbers described above for R 1 .
  • Specific examples of each group and atom in R 4 and their preferable number of carbon atoms include the groups, atoms, and number of carbon atoms described above for R 2 .
  • Specific examples of the alkylene group for R 5 include linear alkylene groups such as methylene group, ethylene group, trimethylene group, tetramethylene group, pentamethylene group, hexamethylene group, heptamethylene group, octamethylene group, nonamethylene group, decamethylene group, etc.
  • Alkylene group 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1, Alkylene groups such as branched alkylene groups such as 2-dimethyltrimethylene group, 2,2-dimethyltrimethylene group, 1-ethyltrimethylene group, methanetriyl group, ethane-1,1,2-triyl group, ethane- 1,2,2-tolyl group, ethane-2,2,2-tolyl group, propane-1,1,1-tolyl group, propane-1,1,2-tolyl group, propane-1,2,3- Triyl group, propane-1,2,2-tolyyl group, propane-1,1,3-tolyl group, butane-1,1,1-tolyyl group, butane-1,1,2-tolyyl group, butane-1 , 1,3-tolyl group, butane-1,2,3-tolyl
  • arylene group for R 5 include 1,2-phenylene group, 1,3-phenylene group, 1,4-phenylene group; 1,5-naphthalenediyl group, 1,8-naphthalenediyl group, 2, 6-naphthalene diyl group, 2,7-naphthalene diyl group, 1,2-anthracenediyl group, 1,3-anthracenediyl group, 1,4-anthracenediyl group, 1,5-anthracenediyl group, 1,6- Anthracenediyl group, 1,7-anthracenediyl group, 1,8-anthracenediyl group, 2,3-anthracenediyl group, 2,6-anthracenediyl group, 2,7-anthracenediyl group, 2,9-anthracenediyl group A group derived by removing two hydrogen atoms on the aromatic ring of a fused ring aromatic hydrocarbon compound such as 2,10-anthrac
  • hydrolysable silane represented by formula (2) examples include methylenebistrimethoxysilane, methylenebistrichlorosilane, methylenebistriacetoxysilane, ethylenebistriethoxysilane, ethylenebistrichlorosilane, ethylenebistriacetoxysilane, and propylenebistriethoxysilane.
  • hydrolyzable silanes including other hydrolysable silanes listed below are used. Mention may be made of hydrolyzed condensates of degradable silanes.
  • Other hydrolyzable silanes include silane compounds having an onium group in the molecule, silane compounds having a sulfone group, silane compounds having a sulfonamide group, silane compounds having a cyclic urea skeleton in the molecule, etc. but not limited to.
  • silane compound having an onium group in the molecule hydrolyzable organosilane
  • hydrolyzable organosilane hydrolyzable organosilane
  • a preferred example of a silane compound having an onium group in the molecule is represented by formula (3).
  • R 11 is a group bonded to a silicon atom, and represents an onium group or an organic group having the same.
  • R12 is a group bonded to a silicon atom, which independently represents an optionally substituted alkyl group, an optionally substituted aryl group, an optionally substituted aralkyl group, an optionally substituted aralkyl group, and an optionally substituted aralkyl group.
  • optionally substituted halogenated alkyl group optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted alkoxyaryl group, substituted an optionally substituted alkoxyaralkyl group, an optionally substituted alkenyl group, or an organic group having an epoxy group, an organic group having an acryloyl group, an organic group having a methacryloyl group, an organic group having a mercapto group , an organic group having an amino group, an organic group having a cyano group, or a combination of two or more thereof.
  • R 13 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • f represents 1 or 2
  • g represents 0 or 1
  • the onium group include a cyclic ammonium group or a chain ammonium group, with a tertiary ammonium group or a quaternary ammonium group being preferred. That is, preferred specific examples of the onium group or the organic group having the same include a cyclic ammonium group, a chain ammonium group, or an organic group having at least one of these, and a tertiary ammonium group or a quaternary ammonium group. or an organic group having at least one of these is preferred. Note that when the onium group is a cyclic ammonium group, the nitrogen atom constituting the ammonium group also serves as an atom constituting the ring.
  • the nitrogen atom and silicon atom constituting the ring are bonded directly or through a divalent connecting group, and the carbon atom and silicon atom constituting the ring are bonded directly or through a divalent connecting group. In some cases, they are connected via
  • R 11 which is a group bonded to a silicon atom, is a heteroaromatic cyclic ammonium group represented by the following formula (S1).
  • a 1 , A 2 , A 3 and A 4 independently represent groups represented by any of the following formulas (J1) to (J3), but A 1 to A At least one of 4 is a group represented by the following formula (J2), and depending on which of A 1 to A 4 the silicon atom in formula (3) is bonded to, the constituting ring is aromatic. It is determined whether the bond between each of A 1 to A 4 and an atom adjacent to each of them and forming a ring together is a single bond or a double bond to indicate family property. * represents a bond.
  • R 10 is independently a single bond, a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, or It represents an alkenyl group, and specific examples of the alkyl group, aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group, and alkenyl group and their preferable number of carbon atoms are the same as those mentioned above. It will be done.
  • * represents a bond.
  • R 14 independently represents an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, an alkenyl group, or a hydroxy group
  • R 14 is
  • the two R 14s may be bonded to each other to form a ring, and the ring formed by the two R 14s may be a bridged ring structure.
  • the cyclic ammonium group has an adamantane ring, a norbornene ring, a spiro ring, etc.
  • alkyl groups aryl groups, aralkyl groups, halogenated alkyl groups, halogenated aryl groups, halogenated aralkyl groups, and alkenyl groups and their preferred carbon numbers are the same as those mentioned above.
  • n 1 is an integer of 1 to 8
  • m 1 is 0 or 1
  • m 2 is a positive number from 0 or 1 to the maximum number that can be substituted into a monocyclic or polycyclic ring. is an integer.
  • m 1 is 0, a (4+n 1 )-membered ring including A 1 to A 4 is formed. That is, when n 1 is 1, it is a 5-membered ring, when n 1 is 2, it is a 6-membered ring, when n 1 is 3, it is a 7-membered ring, and when n 1 is 4, it is an 8-membered ring.
  • n 1 When n 1 is 5, it is a 9-membered ring, when n 1 is 6, it is a 10-membered ring, when n 1 is 7, it is an 11-membered ring, and when n 1 is 8, it is a 12-membered ring. configured.
  • m 1 1, a fused ring is formed in which a (4+n 1 )-membered ring containing A 1 to A 3 and a 6-membered ring containing A 4 are fused.
  • a 1 to A 4 may or may not have a hydrogen atom on the atom constituting the ring, depending on which one of formulas (J1) to (J3) it is.
  • n When 1 to A 4 have a hydrogen atom on an atom constituting a ring, the hydrogen atom may be replaced with R 14 . Furthermore, R 14 may be substituted on ring constituent atoms other than the ring constituent atoms in A 1 to A 4 . Under these circumstances, as described above, m 2 is selected from an integer from 0 or 1 to the maximum number that can be substituted into a monocyclic or polycyclic ring.
  • the bond of the heteroaromatic cyclic ammonium group represented by formula (S1) is present on any carbon atom or nitrogen atom present in such a single ring or condensed ring, and is directly bonded to a silicon atom, or
  • the linking group is bonded to form an organic group having a cyclic ammonium, which is bonded to the silicon atom.
  • Such linking groups include, but are not limited to, alkylene groups, arylene groups, alkenylene groups, and the like. Specific examples of the alkylene group and arylene group and their preferred carbon numbers include the same as those mentioned above.
  • an alkenylene group is a divalent group derived by removing one more hydrogen atom from an alkenyl group, and specific examples of such an alkenyl group include the same ones as mentioned above.
  • the number of carbon atoms in the alkenylene group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less. Specific examples thereof include, but are not limited to, vinylene, 1-methylvinylene, propenylene, 1-butenylene, 2-butenylene, 1-pentenylene, and 2-pentenylene groups.
  • silane compound (hydrolyzable organosilane) represented by formula (3) having a heteroaromatic cyclic ammonium group represented by formula (S1) include the following formulas (I-1) to (I-50). ), but are not limited to these.
  • R 11 which is a group bonded to a silicon atom in formula (3), can be a heteroaliphatic cyclic ammonium group represented by formula (S2) below.
  • a 5 , A 6 , A 7 and A 8 independently represent groups represented by any of the following formulas (J4) to (J6), but A 5 to A At least one of 8 is a group represented by the following formula (J5).
  • each of A 5 to A 8 and adjacent to each of them such that the constituted ring exhibits non-aromaticity. It is determined whether the bond between atoms that together form a ring is a single bond or a double bond. * represents a bond.
  • R 10 is independently a single bond, a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, or It represents an alkenyl group, and specific examples of the alkyl group, aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group, and alkenyl group and their preferred carbon numbers are the same as those mentioned above. can be mentioned.
  • * represents a bond.
  • R 15 independently represents an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, an alkenyl group, or a hydroxy group, and R 15 is
  • the two R 15s may be bonded to each other to form a ring, and the ring formed by the two R 15s may be a bridged ring structure.
  • the cyclic ammonium group has an adamantane ring, a norbornene ring, a spiro ring, etc.
  • alkyl group aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group, and alkenyl group and their preferred carbon numbers include the same as those mentioned above.
  • n 2 is an integer of 1 to 8
  • m 3 is 0 or 1
  • m 4 is a positive number from 0 or 1 to the maximum number that can be substituted into a monocyclic or polycyclic ring. is an integer.
  • m 3 is 0, a (4+n 2 )-membered ring containing A 5 to A 8 is formed. That is, when n 2 is 1, it is a 5-membered ring, when n 2 is 2, it is a 6-membered ring, when n 2 is 3, it is a 7-membered ring, and when n 2 is 4, it is an 8-membered ring.
  • n2 When n2 is 5, it is a 9-membered ring, when n2 is 6, it is a 10-membered ring, when n2 is 7, it is an 11-membered ring, and when n2 is 8, it is a 12-membered ring. configured.
  • m 3 When m 3 is 1, a fused ring is formed in which a (4+n 2 )-membered ring containing A 5 to A 7 and a 6-membered ring containing A 8 are fused.
  • a 5 to A 8 may or may not have a hydrogen atom on the atom constituting the ring, depending on which one of formulas (J4) to (J6) it is.
  • the hydrogen atom may be replaced with R 15 .
  • R 15 may be substituted on ring constituent atoms other than the ring constituent atoms in A 5 to A 8 .
  • m 4 is selected from an integer from 0 or 1 to the maximum number that can be substituted into a monocyclic or polycyclic ring.
  • the bond of the heteroaliphatic cyclic ammonium group represented by formula (S2) is present on any carbon atom or nitrogen atom present in such a single ring or condensed ring, and is directly bonded to a silicon atom, or
  • the linking group is bonded to form an organic group having a cyclic ammonium, which is bonded to the silicon atom.
  • Examples of such a linking group include an alkylene group, an arylene group, and an alkenylene group, and specific examples of the alkylene group, arylene group, and alkenylene group and their preferred carbon numbers are the same as those mentioned above.
  • silane compound (hydrolyzable organosilane) represented by formula (3) having a heteroaliphatic cyclic ammonium group represented by formula (S2) the following formulas (II-1) to (II- Examples include, but are not limited to, silanes represented by 30).
  • R 11 which is a group bonded to a silicon atom in formula (3), can be a chain ammonium group represented by the following formula (S3).
  • R 10 independently represents a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, or an alkenyl group; Specific examples of the aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group, and alkenyl group and their preferred carbon numbers are the same as those mentioned above.
  • * represents a bond.
  • the chain ammonium group represented by formula (S3) is directly bonded to a silicon atom, or is bonded to a linking group to form an organic group having a chain ammonium group, which is bonded to a silicon atom.
  • a linking group include an alkylene group, an arylene group, and an alkenylene group, and specific examples of the alkylene group, arylene group, and alkenylene group include the same ones as mentioned above.
  • silane compound (hydrolyzable organosilane) represented by formula (3) having a chain ammonium group represented by formula (S3) include the following formulas (III-1) to (III-28). Examples include, but are not limited to, silanes represented by the following.
  • silane compound having a sulfone group or sulfonamide group hydrolyzable organosilane
  • examples of the silane compound having a sulfone group and the silane compound having a sulfonamide group include, but are not limited to, compounds represented by the following formulas (B-1) to (B-36). In the following formula, Me represents a methyl group and Et represents an ethyl group.
  • hydrolyzable organosilane having a cyclic urea skeleton in the molecule (hydrolyzable organosilane)>>>>>>>> Examples of the hydrolyzable organosilane having a cyclic urea skeleton in the molecule include a hydrolyzable organosilane represented by the following formula (4-1).
  • R 401 is a group bonded to a silicon atom, and each independently represents a group represented by the following formula (4-2).
  • R 402 is a group bonded to a silicon atom, and is an optionally substituted alkyl group, an optionally substituted aryl group, an optionally substituted aralkyl group, an optionally substituted halogenated alkyl group , optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted alkoxyaryl group, optionally substituted alkoxy
  • An organic group representing an aralkyl group or an optionally substituted alkenyl group, or having an epoxy group, an acryloyl group, a methacryloyl group, an organic group having a mercapto group, or an organic group having a cyano group represents a group or a combination of two or more thereof.
  • R 403 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • x is 1 or 2
  • y is 0 or 1, and satisfies x+y ⁇ 2.
  • R 404 independently has a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an organic group having an epoxy group or a sulfonyl group.
  • R 405 represents an organic group, and R 405 independently represents an alkylene group, a hydroxyalkylene group, a sulfide bond (-S-), an ether bond (-O-), or an ester bond (-CO-O- or -O-CO -).
  • * represents a bond.
  • the specific examples and preferred number of carbon atoms of the optionally substituted alkyl group, optionally substituted alkenyl group, and epoxy group-containing organic group for R 404 are the same as those described above for R 1 .
  • the optionally substituted alkyl group for R 404 is preferably an alkyl group in which the terminal hydrogen atom is substituted with a vinyl group, and specific examples thereof include allyl group, 2-vinylethyl group, 3-vinylpropyl group, 4-vinylbutyl group, etc.
  • the organic group having a sulfonyl group is not particularly limited as long as it contains a sulfonyl group, and includes an optionally substituted alkylsulfonyl group, an optionally substituted arylsulfonyl group, and an optionally substituted aralkylsulfonyl group.
  • substitution Examples include an optionally substituted alkoxyarylsulfonyl group, an optionally substituted alkoxyaralkylsulfonyl group, an optionally substituted alkenylsulfonyl group, and the like.
  • Specific examples, preferred carbon numbers, etc. are the same as those mentioned above regarding R 1 .
  • An alkylene group is a divalent group derived by removing one more hydrogen atom from an alkyl group, and may be linear, branched, or cyclic. Specific examples of such alkylene groups are: , the same ones as mentioned above can be mentioned.
  • the number of carbon atoms in the alkylene group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less, still more preferably 10 or less.
  • the alkylene group of R 405 may have one or more types selected from a sulfide bond, an ether bond, and an ester bond at the end or in the middle, preferably in the middle.
  • Specific examples of the alkylene group include linear alkylene groups such as methylene group, ethylene group, trimethylene group, tetramethylene group, pentamethylene group, hexamethylene group, heptamethylene group, octamethylene group, nonamethylene group, and decamethylene group; Methylethylene group, 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1 , 2-dimethyltrimethylene group, 2,2-dimethyltrimethylene group, branched alkylene group such as 1-ethyltrimethylene group, 1,2-cyclopropanediyl group, 1,2-cyclobutanediyl group,
  • the hydroxyalkylene group is one in which at least one hydrogen atom of the above-mentioned alkylene group is replaced with a hydroxy group, and specific examples thereof include hydroxymethylene group, 1-hydroxyethylene group, 2-hydroxyethylene group, 1, 2-dihydroxyethylene group, 1-hydroxytrimethylene group, 2-hydroxytrimethylene group, 3-hydroxytrimethylene group, 1-hydroxytetramethylene group, 2-hydroxytetramethylene group, 3-hydroxytetramethylene group, 4- Hydroxytetramethylene group, 1,2-dihydroxytetramethylene group, 1,3-dihydroxytetramethylene group, 1,4-dihydroxytetramethylene group, 2,3-dihydroxytetramethylene group, 2,4-dihydroxytetramethylene group, Examples include, but are not limited to, 4,4-dihydroxytetramethylene group.
  • X 401 independently represents any of the groups represented by formulas (4-3) to (4-5) below, and also represents the group represented by formula (4-4) below.
  • the carbon atom of the ketone group in formula (4-5) is bonded to the nitrogen atom to which R 405 in formula (4-2) is bonded.
  • R 406 to R 410 each independently represent a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an epoxy represents an organic group having a group or a sulfonyl group.
  • R 406 to R 410 each independently represent a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an epoxy represents an organic group having a group or a sulfonyl group.
  • Specific examples of the optionally substituted alkyl group, optionally substituted alkenyl group, and organic group having an epoxy group or sulfonyl group and the preferred number of carbon atoms are the same as those mentioned above for R1 .
  • specific examples of the organic group having a sulfonyl group, preferred number of carbon atoms, etc. are the same as those mentioned above regarding R404 .
  • * represents a bond.
  • X 401 is preferably a group represented by formula (4-5).
  • At least one of R 404 and R 406 to R 410 is preferably an alkyl group in which the terminal hydrogen atom is substituted with a vinyl group.
  • the hydrolyzable organosilane represented by formula (4-1) may be a commercially available product, or may be synthesized by a known method described in WO 2011/102470 and the like.
  • hydrolyzable organosilane represented by formula (4-1) include silanes represented by formulas (4-1-1) to (4-1-29) below. , but not limited to.
  • the polysiloxane may be a hydrolyzed condensate of a hydrolysable silane containing other silane compounds than those exemplified above, within a range that does not impair the effects of the present invention.
  • a modified polysiloxane in which at least a portion of the silanol groups are modified can be used as the polysiloxane [A].
  • a polysiloxane modified product in which a portion of the silanol group is modified with alcohol or a polysiloxane modified product in which acetal protection is used can be used.
  • the modified polysiloxane is a reaction product obtained by reacting at least a part of the silanol groups of the above-mentioned hydrolyzable silane with a hydroxyl group of an alcohol
  • examples include a dehydration reaction product of a compound and an alcohol, and a modified product in which at least a portion of the silanol groups of the condensate are protected with an acetal group.
  • monohydric alcohols can be used, such as methanol, ethanol, 2-propanol, 1-butanol, 2-butanol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, and 3-pentanol.
  • 3-methoxybutanol ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether (1-ethoxy -2-propanol), propylene glycol monobutyl ether (1-butoxy-2-propanol), and other alcohols containing an alkoxy group can be used.
  • the reaction between the silanol group of the condensate and the hydroxyl group of the alcohol is achieved by bringing the polysiloxane into contact with the alcohol and reacting at a temperature of 40 to 160°C, for example 60°C, for 0.1 to 48 hours, for example 24 hours. In this way, a modified polysiloxane capped with silanol groups is obtained.
  • the capping agent alcohol can be used as a solvent in the composition containing polysiloxane.
  • the dehydration reaction product of polysiloxane which is a hydrolysis condensate of hydrolyzable silane, and alcohol is produced by reacting polysiloxane with alcohol in the presence of a catalyst acid, capping the silanol group with alcohol, and dehydrating it. It can be produced by removing the generated water from the reaction system.
  • a catalyst acid an organic acid having an acid dissociation constant (pka) of -1 to 5, preferably 4 to 5 can be used.
  • examples of the acid include trifluoroacetic acid, maleic acid, benzoic acid, isobutyric acid, acetic acid, and the like, among which benzoic acid, isobutyric acid, acetic acid, and the like.
  • an acid having a boiling point of 70 to 160° C. can be used, and examples thereof include trifluoroacetic acid, isobutyric acid, acetic acid, and nitric acid.
  • the acid preferably has one of the following physical properties: an acid dissociation constant (pka) of 4 to 5, or a boiling point of 70 to 160°C. That is, it is possible to use a material with weak acidity, or a material with high acidity but a low boiling point.
  • pka acid dissociation constant
  • the acid it is possible to use any property from the properties of acid dissociation constant and boiling point.
  • a vinyl ether for example, a vinyl ether represented by the following formula (5).
  • the partial structure represented by the following formula (6) can be converted into a polysiloxane. can be introduced into
  • R 1a , R 2a , and R 3a each represent a hydrogen atom or an alkyl group having 1 to 10 carbon atoms
  • R 4a represents an alkyl group having 1 to 10 carbon atoms
  • R 2a and R 4a may be bonded to each other to form a ring.
  • Examples of the alkyl group include those mentioned above.
  • R 1 ′ , R 2 ′, and R 3 ′ each represent a hydrogen atom or an alkyl group having 1 to 10 carbon atoms
  • R 4 ′ represents an alkyl group having 1 to 10 carbon atoms
  • R 2 ' and R 4 ' may be bonded to each other to form a ring.
  • * indicates a bond with an adjacent atom.
  • adjacent atoms include an oxygen atom of a siloxane bond, an oxygen atom of a silanol group, and a carbon atom derived from R 1 in formula (1).
  • alkyl group include those mentioned above.
  • Examples of the vinyl ether represented by formula (5) include aliphatic vinyl ether compounds such as methyl vinyl ether, ethyl vinyl ether, isopropyl vinyl ether, n-butyl vinyl ether, 2-ethylhexyl vinyl ether, tert-butyl vinyl ether, and cyclohexyl vinyl ether; Cyclic vinyl ether compounds such as -dihydrofuran, 4-methyl-2,3-dihydrofuran, and 3,4-dihydro-2H-pyran can be used.
  • ethyl vinyl ether propyl vinyl ether, butyl vinyl ether, ethylhexyl vinyl ether, cyclohexyl vinyl ether, 3,4-dihydro-2H-pyran, or 2,3-dihydrofuran can be preferably used.
  • the acetal protection of the silanol group is performed using polysiloxane, vinyl ether, and an aprotic solvent such as propylene glycol monomethyl ether acetate, ethyl acetate, dimethylformamide, tetrahydrofuran, or 1,4-dioxane as a solvent, and pyridium p-toluenesulfonic acid. , trifluoromethanesulfonic acid, p-toluenesulfonic acid, methanesulfonic acid, hydrochloric acid, sulfuric acid, or the like.
  • an aprotic solvent such as propylene glycol monomethyl ether acetate, ethyl acetate, dimethylformamide, tetrahydrofuran, or 1,4-dioxane
  • pyridium p-toluenesulfonic acid trifluoromethanesulfonic acid, p-toluenesulf
  • the polysiloxane is a hydrolyzable silane represented by formula (1), and optionally a hydrolysable silane represented by formula (2), and other hydrolyzable silanes. Contains at least one type of hydrolyzed condensate of hydrolyzable silane, including hydrolyzable silane, and modified products thereof.
  • [A] polysiloxane includes a dehydration reaction product of a hydrolyzed condensate and an alcohol.
  • the weight average molecular weight of the hydrolyzed condensate (which may also include modified products) of hydrolyzable silane can be, for example, 500 to 1,000,000. From the viewpoint of suppressing precipitation of hydrolyzed condensates in the composition, the weight average molecular weight is preferably 500,000 or less, more preferably 250,000 or less, even more preferably 100,000 or less. From the viewpoint of achieving both storage stability and coatability, it is preferably 700 or more, more preferably 1,000 or more. Note that the weight average molecular weight is a molecular weight obtained in terms of polystyrene by GPC analysis.
  • GPC analysis is performed using, for example, a GPC device (product name HLC-8220GPC, manufactured by Tosoh Corporation), a GPC column (product name Shodex (registered trademark) KF803L, KF802, KF801, manufactured by Showa Denko Corporation), and a column temperature of 40°C.
  • the measurement can be carried out using tetrahydrofuran as an eluent (elution solvent), a flow rate (flow rate) of 1.0 mL/min, and polystyrene (Shodex (registered trademark) manufactured by Showa Denko Co., Ltd.) as a standard sample.
  • the hydrolyzed condensate of hydrolyzable silane can be obtained by hydrolyzing and condensing the above-mentioned silane compound (hydrolysable silane).
  • the above-mentioned silane compound (hydrolyzable silane) has an alkoxy group, aralkyloxy group, acyloxy group, or a halogen atom directly bonded to a silicon atom, that is, an alkoxysilyl group, an aralkyloxysilyl group, an acyloxysilyl group, or a halogen atom. contains a silyl group (hereinafter referred to as a hydrolyzable group).
  • water is usually used in an amount of 0.1 to 100 mol, for example 0.5 to 100 mol, preferably 1 to 10 mol, per 1 mol of the hydrolyzable group.
  • a hydrolysis catalyst may be used or may be carried out without using a hydrolysis catalyst for the purpose of promoting the reaction.
  • a hydrolysis catalyst it can be used in an amount of usually 0.0001 to 10 mol, preferably 0.001 to 1 mol, per mol of hydrolyzable group.
  • the reaction temperature during hydrolysis and condensation is usually in the range of room temperature or higher and lower than the reflux temperature of the organic solvent that can be used for hydrolysis at normal pressure, for example 20 to 110°C, and for example 20 to 80°C. It can be done.
  • Hydrolysis may be complete, ie, all hydrolyzable groups are converted to silanol groups, or partial hydrolysis, ie, unreacted hydrolyzable groups may be left.
  • Hydrolysis catalysts that can be used for hydrolysis and condensation include metal chelate compounds, organic acids, inorganic acids, organic bases, and inorganic bases.
  • Metal chelate compounds as hydrolysis catalysts include, for example, triethoxy mono(acetylacetonato) titanium, tri-n-propoxy mono(acetylacetonato) titanium, tri-i-propoxy mono(acetylacetonato) titanium, and triethoxy mono(acetylacetonato) titanium.
  • Organic acids as hydrolysis catalysts include, for example, acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, and sebacic acid.
  • Acid gallic acid, butyric acid, mellitic acid, arachidonic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linoleic acid, linoleic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfone Examples include, but are not limited to, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, malonic acid, sulfonic acid, phthalic acid, fumaric acid, citric acid, tartaric acid, and the like.
  • inorganic acids as hydrolysis catalysts include, but are not limited to, hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, and phosphoric acid.
  • Organic bases as hydrolysis catalysts include, for example, pyridine, pyrrole, piperazine, pyrrolidine, piperidine, picoline, trimethylamine, triethylamine, monoethanolamine, diethanolamine, dimethylmonoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, dia Zabicyclononane, diazabicycloundecene, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, trimethylphenylammonium hydroxide, benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide Examples include, but are not limited to, the following.
  • Examples of the inorganic base as a hydrolysis catalyst include, but are not limited to, ammonia, sodium hydroxide, potassium hydroxide, barium hydroxide, calcium hydroxide, and the like.
  • metal chelate compounds organic acids, and inorganic acids are preferred, and one type of these may be used alone or two or more types may be used in combination.
  • nitric acid can be suitably used as a hydrolysis catalyst in the present invention.
  • nitric acid By using nitric acid, the storage stability of the reaction solution after hydrolysis and condensation can be improved, and in particular, changes in the molecular weight of the hydrolysis condensate can be suppressed. It is known that the stability of a hydrolyzed condensate in a solution depends on the pH of the solution. As a result of extensive research, it was discovered that by using an appropriate amount of nitric acid, the pH of the solution could be kept in a stable range.
  • nitric acid can be used when obtaining a modified hydrolytic condensate, for example when capping a silanol group with alcohol, so it can be used for both hydrolysis and condensation of hydrolyzable silanes and It is also preferable from the viewpoint that it can contribute to both reactions of alcohol capping and alcohol capping of the product.
  • an organic solvent may be used as a solvent, and specific examples include n-pentane, i-pentane, n-hexane, i-hexane, n-heptane, i-heptane, 2, Aliphatic hydrocarbon solvents such as 2,4-trimethylpentane, n-octane, i-octane, cyclohexane, methylcyclohexane; benzene, toluene, xylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propylbenzene, i-propyl Aromatic hydrocarbon solvents such as benzene, diethylbenzene, i-butylbenzene, triethylbenzene, di-i-propylbenzene, n-amylnaphthalene; methanol, ethanol, n
  • reaction solution After the completion of the hydrolysis and condensation reactions, the reaction solution is left as it is or is diluted or concentrated, neutralized, and treated with an ion exchange resin to hydrolyze the acids, bases, etc. used in the hydrolysis and condensation.
  • the catalyst can be removed. Further, before or after such treatment, by-product alcohol and water, the used hydrolysis catalyst, etc. can be removed from the reaction solution by vacuum distillation or the like.
  • the hydrolyzed condensate thus obtained (hereinafter also referred to as polysiloxane) is obtained in the form of a polysiloxane varnish dissolved in an organic solvent, and this is directly used as a composition for forming a silicon-containing resist underlayer film. It can be used for the preparation of That is, the reaction solution can be used as it is (or diluted) to prepare a composition for forming a silicon-containing resist underlayer film, and at this time, the hydrolysis catalyst used for hydrolysis and condensation, by-products, etc. can be used directly. It may remain in the reaction solution as long as it does not impair the effects of the invention.
  • nitric acid which is used as a hydrolysis catalyst and for alcohol capping of silanol groups, may remain in the polymer varnish solution in an amount of about 100 ppm to 5,000 ppm.
  • the obtained polysiloxane varnish may be subjected to solvent substitution, or may be diluted with an appropriate solvent.
  • the obtained polysiloxane varnish may have a film-forming component concentration of 100% by distilling off the organic solvent, as long as its storage stability is not poor.
  • the film-forming component refers to a component obtained by excluding the solvent component from all components of the composition.
  • the organic solvent used for solvent substitution, dilution, etc. of the polysiloxane varnish may be the same as or different from the organic solvent used for the hydrolysis and condensation reaction of the hydrolyzable silane. This diluting solvent is not particularly limited, and one or more types can be arbitrarily selected and used.
  • Component is sulfuric acid, polyfunctional sulfonic acid, or a salt thereof. These can be used alone or in combination of two or more.
  • the polyfunctional sulfonic acid in the present invention is a compound having two or more sulfo groups (-SO 3 H).
  • the present inventors added sulfuric acid, polyfunctional sulfonic acid, or a salt thereof as component [B] to a silicon-containing resist underlayer forming composition containing polysiloxane, thereby improving the resist composition.
  • a silicon-containing resist underlayer forming composition containing polysiloxane thereby improving the resist composition.
  • the effects of the present invention cannot be obtained even if a monofunctional sulfonic acid (a compound having one sulfo group (-SO 3 H)) is used instead of component [B]. .
  • the effects of the present invention are remarkable when a metal-containing resist is used.
  • the present inventors believe that the reason is as follows. Sulfuric acid, polyfunctional sulfonic acids, and salts thereof coordinate with the metal of the metal-containing resist and promote curing of the resist. As a result, the sensitivity of the resist is improved.
  • the number of sulfo groups that the polyfunctional sulfonic acid has is not particularly limited, but is preferably 2 to 6, more preferably 2 to 4.
  • the number of sulfo groups is usually expressed as an integer.
  • the molecular weight of the polyfunctional sulfonic acid is not particularly limited, but is preferably from 176 to 1,000, more preferably from 176 to 500. Note that the molecular weight of 176 is the molecular weight of methanedisulfonic acid.
  • n represents an integer of 1 to 3.
  • R 1 represents an n+1 valent organic group having 1 to 15 carbon atoms.
  • the n+1-valent organic group having 1 to 15 carbon atoms in R 1 may have a hydrogen atom, an oxygen atom, a nitrogen atom, a sulfur atom, etc. in addition to a carbon atom.
  • the molecular weight of the n+1-valent organic group having 1 to 15 carbon atoms in R 1 is not particularly limited, but is preferably 12 to 200.
  • n may be 1, 2, or 3.
  • polyfunctional sulfonic acids include the following compounds.
  • Salts of sulfuric acid, polyfunctional sulfonic acids, or their salts include, but are not particularly limited to, ammonium salts, imidazolium salts, pyridinium salts, sulfonium salts, phosphonium salts, iodonium salts, and the like.
  • salts it is not necessary that all the sulfo groups be anionized; it is sufficient that at least one sulfo group is anionized.
  • These salts may be, for example, salts as shown in the following specific examples. That is, it is sufficient that at least one sulfo group of two or more sulfo groups possessed by the polyfunctional sulfonic acid is anionized to form a salt.
  • a sulfo group is generated by, for example, irradiation with light or electron beams, or by heat.
  • ammonium salts include N,N-dimethyl-N-benzylammonium and N,N-diethyl-N-benzylammonium.
  • imidazolium salts include salts of imidazoles and polyfunctional sulfonic acids.
  • imidazoles include imidazole, benzimidazole, 2-methylimidazole, 4-methylimidazole, 2-phenylimidazole, 4-phenylimidazole, 2-phenyl-4-methylimidazole, 2-methyl-4-phenylimidazole, Examples include 2-methylbenzimidazole and 2-phenylbenzimidazole.
  • pyridinium salts include salts of pyridines and polyfunctional sulfonic acids.
  • Examples of pyridines include pyridine, picoline, and 4-methylpyridine.
  • Examples of sulfonium salts include aromatic sulfonium salts.
  • aromatic sulfonium salts include triphenylsulfonium salt, (2-ethoxy-1-methyl-2-oxoethyl)methyl-2-naphthalenylsulfonium salt, 4-(methoxycarbonyloxy)phenylbenzylmethylsulfonium salt, 4-acetoxyphenyldimethylsulfonium salt, 4-hydroxyphenylbenzylmethylsulfonium salt, 4-hydroxyphenyl(o-methylbenzyl)methylsulfonium salt, 4-hydroxyphenyl( ⁇ -naphthylmethyl)methylsulfonium salt, diphenyl-4-( Examples include phenylthio) phenylsulfonium salts.
  • Examples of the phosphonium salt include ethyltriphenylphosphonium salt and tetrabutylphosphonium salt.
  • Examples of iodonium salts include aromatic iodonium salts.
  • aromatic iodonium salts include diphenyliodonium salt, 4-methylphenyl-4-(1-methylethyl) phenyl iodonium salt, bis(4-t-butylphenyl) iodonium salt, bis(dodecylphenyl) iodonium salt, etc. can be mentioned.
  • the content of component [B] in the composition for forming a silicon-containing resist underlayer film is preferably 0.05 to 20 parts by mass based on 100 parts by mass of polysiloxane [A] from the viewpoint of obtaining the effects of the present invention more fully. Parts by weight, more preferably 0.1 to 15 parts by weight, even more preferably 0.5 to 10 parts by weight.
  • the solvent as component [C] may be particularly suitable as long as it is a solvent that can dissolve and mix component [A], component [B], and, if necessary, other components contained in the composition for forming a silicon-containing resist underlayer film. Can be used without restrictions.
  • the solvent is preferably an alcoholic solvent, more preferably an alkylene glycol monoalkyl ether, which is an alcoholic solvent, and even more preferably propylene glycol monoalkyl ether. Since these solvents are also capping agents for the silanol groups of polysiloxane, the composition for forming a silicon-containing resist underlayer film can be prepared from the solution obtained by preparing [A] polysiloxane without the need for solvent replacement. Can be prepared.
  • alkylene glycol monoalkyl ethers examples include ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether ( 1-ethoxy-2-propanol), methyl isobutyl carbinol, propylene glycol monobutyl ether, and the like.
  • [C] solvents include methyl cellosolve acetate, ethyl cellosolve acetate, propylene glycol propylene glycol monomethyl ether acetate (1-methoxy-2-propanol monoacetate), propylene glycol monoethyl ether acetate, propylene glycol monopropyl Ether acetate, propylene glycol monobutyl ether acetate, toluene, xylene, methyl ethyl ketone, cyclopentanone, cyclohexanone, ethyl 2-hydroxypropionate, ethyl 2-hydroxy-2-methylpropionate, ethyl ethoxy acetate, ethyl hydroxy acetate, 2-hydroxy -Methyl 3-methylbutanoate, methyl 3-methoxypropionate, ethyl 3-methoxypropionate, ethyl 3-ethoxypropionate, methyl 3-ethoxypropionate,
  • the silicon-containing resist underlayer film forming composition of the present invention may contain water as a solvent.
  • water When water is contained as a solvent, its content is, for example, 30% by mass or less, preferably 20% by mass or less, and even more preferably 15% by mass or less, based on the total mass of solvents contained in the composition. be able to.
  • the composition for forming a silicon-containing resist underlayer film may be a composition that does not contain a curing catalyst, but preferably contains a curing catalyst (component [D]).
  • component [D] curing catalyst
  • the silicon-containing resist underlayer film forming composition contains both the [B] component and the [D] component, the effects of the present invention due to the inclusion of the [B] component can be more fully obtained.
  • ammonium salts As the curing catalyst, ammonium salts, phosphines, phosphonium salts, sulfonium salts, iodonium salts, oxonium salts, etc. can be used.
  • the following salts described as examples of curing catalysts may be added in the form of salts, or those that form salts in the composition (when added, they are added as separate compounds and do not form salts within the system). It may be any of the following.
  • formula (D-1) (In the formula, m a represents an integer of 2 to 11, n a represents an integer of 2 to 3, R 21 represents an alkyl group, an aryl group, or an aralkyl group, and Y ⁇ represents an anion.)
  • Formula (D-2) (In the formula, R 22 , R 23 , R 24 and R 25 independently represent an alkyl group, an aryl group, or an aralkyl group, Y ⁇ represents an anion, and R 22 , R 23 , R 24 and R 25 are each bonded to a nitrogen atom.)
  • a quaternary ammonium salt having the structure represented by
  • Formula (D-3) A quaternary ammonium salt having a structure represented by (wherein R 26 and R 27 independently represent an alkyl group, an aryl group, or an aralkyl group, and Y ⁇ represents an anion);
  • R 28 represents an alkyl group, an aryl group, or an aralkyl group, and Y ⁇ represents an anion.
  • Formula (D-5) A quaternary ammonium salt having a structure represented by (wherein R 29 and R 30 independently represent an alkyl group, an aryl group, or an aralkyl group, and Y ⁇ represents an anion);
  • Formula (D-6) (In the formula, m a represents an integer of 2 to 11, n a represents an integer of 2 to 3, and Y ⁇ represents an anion.) Can be done.
  • formula (D-7) (In the formula, R 31 , R 32 , R 33 , and R 34 independently represent an alkyl group, an aryl group, or an aralkyl group, Y ⁇ represents an anion, and R 31 , R 32 , R 33 and R 34 are each bonded to a phosphorus atom.)
  • a quaternary phosphonium salt represented by the following formula can be mentioned.
  • formula (D-8) (In the formula, R 35 , R 36 , and R 37 independently represent an alkyl group, an aryl group, or an aralkyl group, Y ⁇ represents an anion, and R 35 , R 36 , and R 37 are each bonded to a sulfur atom.)
  • R 35 , R 36 , and R 37 are each bonded to a sulfur atom.
  • the compound of formula (D-1) is a quaternary ammonium salt derived from an amine, m a represents an integer of 2 to 11, and n a represents an integer of 2 to 3.
  • R 21 of this quaternary ammonium salt represents, for example, an alkyl group having 1 to 18 carbon atoms, preferably 2 to 10 carbon atoms, an aryl group having 6 to 18 carbon atoms, or an aralkyl group having 7 to 18 carbon atoms, for example, Examples include linear alkyl groups such as ethyl group, propyl group, and butyl group, benzyl group, cyclohexyl group, cyclohexylmethyl group, and dicyclopentadienyl group.
  • Anions (Y - ) include halide ions such as chloride ions (Cl - ), bromide ions (Br - ), and iodine ions (I - ), carboxylates (-COO - ), and sulfonates (-SO 3 - ) . ), alcoholate (-O - ), and other acid groups.
  • the compound of formula (D-2) is a quaternary ammonium salt represented by R 22 R 23 R 24 R 25 N + Y - .
  • R 22 , R 23 , R 24 and R 25 of this quaternary ammonium salt are, for example, an alkyl group having 1 to 18 carbon atoms such as an ethyl group, a propyl group, a butyl group, a cyclohexyl group, a cyclohexylmethyl group, or a phenyl group. or an aralkyl group having 7 to 18 carbon atoms such as a benzyl group.
  • Anions (Y - ) include halide ions such as chloride ions (Cl - ), bromide ions (Br - ), and iodine ions (I - ), carboxylates (-COO - ), and sulfonates (-SO 3 - ). , alcoholate (-O - ) and the like.
  • This quaternary ammonium salt can be obtained as a commercial product, such as tetramethylammonium acetate, tetrabutylammonium acetate, triethylbenzylammonium chloride, triethylbenzylammonium bromide, trioctylmethylammonium chloride, tributylbenzyl chloride. Examples include ammonium and trimethylbenzylammonium chloride.
  • the compound of formula (D-3) is a quaternary ammonium salt derived from 1-substituted imidazole, and the number of carbon atoms in R 26 and R 27 is, for example, 1 to 18 ; It is preferable that the total number of carbon atoms is 7 or more.
  • R 26 can be exemplified by an alkyl group such as a methyl group, ethyl group, or propyl group, an aryl group such as a phenyl group, or an aralkyl group such as a benzyl group, and R 27 can be an aralkyl group such as a benzyl group, an octyl group, Examples include alkyl groups such as octadecyl group.
  • Anions (Y - ) include halide ions such as chloride ions (Cl - ), bromide ions (Br - ), and iodine ions (I - ), carboxylates (-COO - ), and sulfonates (-SO 3 - ). , alcoholate (-O - ) and the like.
  • These compounds can be obtained commercially, but include imidazole compounds such as 1-methylimidazole and 1-benzylimidazole, aralkyl halides such as benzyl bromide, methyl bromide, and benzene bromide, and halogenated It can be produced by reacting alkyl and halogenated aryl.
  • the compound of formula (D-4) is a quaternary ammonium salt derived from pyridine, and R 28 is, for example, an alkyl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms, or an alkyl group having 6 to 18 carbon atoms. or an aralkyl group having 7 to 18 carbon atoms, such as a butyl group, an octyl group, a benzyl group, and a lauryl group.
  • Anions (Y - ) include halide ions such as chloride ions (Cl - ), bromide ions (Br - ), and iodine ions (I - ), carboxylates (-COO - ), and sulfonates (-SO 3 - ). , alcoholate (-O - ) and the like.
  • This compound can be obtained as a commercial product, but it is produced by, for example, reacting pyridine with an alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide. can do. Examples of this compound include N-laurylpyridinium chloride and N-benzylpyridinium bromide.
  • the compound of formula (D-5) is a quaternary ammonium salt derived from substituted pyridine such as picoline, and R 29 is, for example, an alkyl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms. or an aryl group having 6 to 18 carbon atoms, or an aralkyl group having 7 to 18 carbon atoms, such as a methyl group, an octyl group, a lauryl group, a benzyl group, and the like.
  • R 30 is, for example, an alkyl group having 1 to 18 carbon atoms, an aryl group having 6 to 18 carbon atoms, or an aralkyl group having 7 to 18 carbon atoms, and for example, the compound represented by formula (D-5) is picoline. When it is a quaternary ammonium derived from , R 30 is a methyl group.
  • Anions (Y - ) include halide ions such as chloride ions (Cl - ), bromide ions (Br - ), and iodine ions (I - ), carboxylates (-COO - ), and sulfonates (-SO 3 - ). , alcoholate (-O - ) and the like.
  • This compound can be obtained as a commercial product, but for example, a substituted pyridine such as picoline is reacted with an alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride, benzyl bromide, or an aryl halide. It can be manufactured by Examples of this compound include N-benzylpicolinium chloride, N-benzylpicolinium bromide, and N-laurylpicolinium chloride.
  • the compound of formula (D-6) is a tertiary ammonium salt derived from an amine, m a represents an integer of 2 to 11, and n a represents 2 or 3.
  • Anions (Y - ) include halide ions such as chloride ions (Cl - ), bromide ions (Br - ), and iodine ions (I - ), carboxylates (-COO - ), and sulfonates (-SO 3 - ) . ), alcoholate (-O - ), and other acid groups.
  • This compound can be produced by reacting an amine with a weak acid such as a carboxylic acid or phenol. Examples of carboxylic acids include formic acid and acetic acid.
  • the anion (Y - ) When formic acid is used, the anion (Y - ) is (HCOO - ), and when acetic acid is used, the anion (Y - ) is (CH 3 COO - ). When phenol is used, the anion (Y ⁇ ) is (C 6 H 5 O ⁇ ).
  • the compound of formula (D-7) is a quaternary phosphonium salt having the structure R 31 R 32 R 33 R 34 P + Y - .
  • R 31 , R 32 , R 33 , and R 34 are, for example, an alkyl group having 1 to 18 carbon atoms such as ethyl group, propyl group, butyl group, and cyclohexylmethyl, and an aryl group having 6 to 18 carbon atoms such as phenyl group.
  • an aralkyl group having 7 to 18 carbon atoms such as a benzyl group
  • R 31 to R 34 are unsubstituted phenyl groups or substituted phenyl groups, such as phenyl
  • the remaining one is an alkyl group having 1 to 18 carbon atoms, an aryl group having 6 to 18 carbon atoms, or an aralkyl group having 7 to 18 carbon atoms.
  • Anions (Y - ) include halide ions such as chloride ions (Cl - ), bromide ions (Br - ), and iodine ions (I - ), carboxylates (-COO - ), and sulfonates (-SO 3 - ) . ), alcoholate (-O - ), and other acid groups.
  • This compound is available as a commercial product, and includes, for example, tetraalkylphosphonium halides such as tetra-n-butylphosphonium halides and tetra-n-propylphosphonium halides, and trialkylbenzyl halides such as triethylbenzylphosphonium halides.
  • halogenated triphenylmonoalkylphosphonium such as halogenated triphenylmethylphosphonium, halogenated triphenylethylphosphonium, halogenated triphenylbenzylphosphonium, halogenated tetraphenylphosphonium, halogenated tritolyl monoarylphosphonium, or halogenated tritolyl monoarylphosphonium
  • alkylphosphonium hereinafter, the halogen atom is a chlorine atom or a bromine atom).
  • halogenated triphenylmonoalkylphosphonium such as halogenated triphenylmethylphosphonium, halogenated triphenylethylphosphonium, halogenated triphenylmonoarylphosphonium such as halogenated triphenylbenzylphosphonium, halogenated tritolylmonophenylphosphonium, etc.
  • phosphines include primary phosphines such as methylphosphine, ethylphosphine, propylphosphine, isopropylphosphine, isobutylphosphine, and phenylphosphine, and secondary phosphines such as dimethylphosphine, diethylphosphine, diisopropylphosphine, diisoamylphosphine, and diphenylphosphine. , trimethylphosphine, triethylphosphine, triphenylphosphine, methyldiphenylphosphine, dimethylphenylphosphine and the like.
  • the compound of formula (D-8) is a tertiary sulfonium salt having the structure R 35 R 36 R 37 S + Y - .
  • R 35 , R 36 and R 37 are, for example, an alkyl group having 1 to 18 carbon atoms such as ethyl group, propyl group, butyl group, cyclohexylmethyl, an aryl group having 6 to 18 carbon atoms such as phenyl group, or benzyl group.
  • the remaining groups are an alkyl group having 1 to 18 carbon atoms, an aryl group having 6 to 18 carbon atoms, or an aralkyl group having 7 to 18 carbon atoms.
  • Anions (Y - ) include halide ions such as chloride ions (Cl - ), bromide ions (Br - ), and iodine ions (I - ), carboxylates (-COO - ), and sulfonates (-SO 3 - ) . ), alcoholate (-O - ), maleate anion, nitrate anion, and the like.
  • This compound can be obtained as a commercial product, and includes, for example, halogenated trialkylsulfonium such as halogenated tri-n-butylsulfonium and halogenated tri-n-propylsulfonium, and halogenated dialkylbenzylsulfonium such as halogenated diethylbenzylsulfonium.
  • halogenated trialkylsulfonium such as halogenated tri-n-butylsulfonium and halogenated tri-n-propylsulfonium
  • dialkylbenzylsulfonium such as halogenated diethylbenzylsulfonium
  • halogenated diphenylmonoalkylsulfonium such as halogenated diphenylmethylsulfonium, halogenated diphenylethylsulfonium, halogenated triphenylsulfonium (wherein, the halogen atom is a chlorine atom or a bromine atom), tri-n-butylsulfonium carboxylate, tri-n- Trialkylsulfonium carboxylates such as propylsulfonium carboxylate, dialkylbenzylsulfonium carboxylates such as diethylbenzylsulfonium carboxylate, diphenylmonoalkylsulfonium carboxylates such as diphenylmethylsulfonium carboxylate, diphenylethylsulfonium carboxylate, and triphenylsulfonium carboxylates.
  • a nitrogen-containing silane compound can be added as a curing catalyst.
  • the nitrogen-containing silane compound include imidazole ring-containing silane compounds such as N-(3-triethoxysilipropyl)-4,5-dihydroimidazole.
  • the content of the curing catalyst [D] in the composition for forming a silicon-containing resist underlayer film is preferably from 0.01 to 100 parts by mass of the polysiloxane [A].
  • the amount is 30 parts by weight, more preferably 0.01 to 25 parts by weight, even more preferably 0.01 to 20 parts by weight.
  • the mass ratio ([D]:[B]) of the curing catalyst ([D] component) and [B] component in the silicon-containing resist underlayer film forming composition is determined from the viewpoint of obtaining more fully the effects of the present invention. , preferably 0.1:1.0 to 1.0:0.1, more preferably 0.2:1.0 to 1.0:0.1, even more preferably 0.5:1.0 to The ratio can be 1.0:0.15.
  • the composition for forming a silicon-containing resist underlayer film preferably contains [E]nitric acid.
  • Nitric acid may be added during the preparation of the composition for forming a silicon-containing resist underlayer film, but it is used as a hydrolysis catalyst or during alcohol capping of silanol groups in the production of the polysiloxane described above, and this What remains in the varnish can also be treated as [E]nitric acid.
  • the blending amount of nitric acid is, for example, 0.0001% by mass to 1% by mass, or 0.001% by mass to 0.00% by mass, based on the total mass of the composition for forming a silicon-containing resist underlayer film. It can be 1% by weight, or 0.005% to 0.05% by weight.
  • the silicon-containing composition for forming a resist underlayer film preferably contains at least one selected from [F] amine and hydroxide, from the viewpoint of more fully obtaining the effects of the present invention.
  • amines include ammonia; primary amines such as monomethanolamine, monoethanolamine, monopropanolamine, methylamine, ethylamine, propylamine, and butylamine; secondary amines such as dimethylamine, ethylmethylamine, and diethylamine; trimethylamine; , triethylamine, tripropylamine, dimethylethylamine, methyldiisopropylamine, diisopropylethylamine, diethylethanolamine, triethanolamine, and other tertiary amines; ethylenediamine, tetramethylethylenediamine, and other amines; pyridine, morpholine, and other cyclic amines. It will be done.
  • Examples of the hydroxide include inorganic alkali hydroxides and organic alkali hydroxides.
  • Examples of the inorganic alkali hydroxide include sodium hydroxide, potassium hydroxide, and the like.
  • Examples of the organic alkali hydroxide include tetraalkylammonium hydroxide, triarylsulfonium hydroxide, diaryliodonium hydroxide, and the like.
  • Examples of the tetraalkylammonium hydroxide include tetramethylammonium hydroxide, tetraethylammonium hydroxide, and tetrabutylammonium hydroxide.
  • Examples of the triarylsulfonium hydroxide include triphenylsulfonium hydroxide, tris(t-butylphenyl)sulfonium hydroxide, and the like.
  • Examples of the diaryliodonium hydroxide include diphenyliodonium hydroxide, bis(t-butylphenyl)iodonium hydroxide, and the like.
  • the content of component [F] in the composition for forming a silicon-containing resist underlayer film is preferably 0.05 to 20 parts by mass, more preferably 0.1 to 15 parts by mass, based on 100 parts by mass of polysiloxane [A]. parts, even more preferably 0.5 to 10 parts by weight.
  • additives can be added to the silicon-containing resist underlayer film forming composition depending on the use of the composition.
  • additives include crosslinking agents, crosslinking catalysts, stabilizers (organic acids, water, alcohol, etc.), organic polymers, acid generators, surfactants (nonionic surfactants, anionic surfactants, cationic surfactants, etc.). surfactants, silicone surfactants, fluorine surfactants, UV curable surfactants, etc.), pH adjusters, metal oxides, rheology adjusters, adhesion aids, etc., resist underlayer films, anti-reflection.
  • additives include known additives that are blended into materials (compositions) that form various films that can be used in the manufacture of semiconductor devices, such as films and pattern reversal films.
  • various additives are illustrated below, it is not limited to these.
  • the stabilizer may be added for the purpose of stabilizing the hydrolyzed condensate of the hydrolyzable silane mixture, and specific examples thereof include organic acids, water, alcohols, or combinations thereof.
  • organic acids include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartaric acid, phthalic acid, citric acid, glutaric acid, lactic acid, and salicylic acid.
  • oxalic acid and maleic acid are preferred.
  • the amount added is 0.1 to 5.0% by weight based on the weight of the hydrolyzed condensate of the hydrolyzable silane mixture.
  • organic acids can also act as pH adjusters.
  • water pure water, ultrapure water, ion-exchanged water, etc. can be used, and when used, the amount added is 1 to 20 parts by mass per 100 parts by mass of the silicon-containing composition for forming a resist underlayer film. It can be done.
  • the alcohol is preferably one that easily scatters when heated after coating, such as methanol, ethanol, propanol, i-propanol, butanol, and the like. When alcohol is added, the amount added can be 1 to 20 parts by weight based on 100 parts by weight of the silicon-containing resist underlayer film forming composition.
  • Organic polymer By adding an organic polymer to a silicon-containing composition for forming a resist underlayer film, the dry etching rate (amount of reduction in film thickness per unit time) of the film formed from the composition (resist underlayer film) and its attenuation can be improved. Coefficients, refractive index, etc. can be adjusted.
  • the organic polymer is not particularly limited and may be appropriately selected from various organic polymers (condensation polymers and addition polymers) depending on the purpose of addition.
  • addition polymers and condensation polymers such as polyester, polystyrene, polyimide, acrylic polymer, methacrylic polymer, polyvinyl ether, phenol novolak, naphthol novolak, polyether, polyamide, and polycarbonate.
  • organic polymers containing aromatic or heteroaromatic rings such as benzene rings, naphthalene rings, anthracene rings, triazine rings, quinoline rings, and quinoxaline rings that function as light-absorbing sites can also be used when such functions are required. can be suitably used.
  • organic polymers examples include addition polymerizable monomers such as benzyl acrylate, benzyl methacrylate, phenyl acrylate, naphthyl acrylate, anthryl methacrylate, anthryl methyl methacrylate, styrene, hydroxystyrene, benzyl vinyl ether, and N-phenylmaleimide.
  • addition polymerization polymers containing as the structural unit and condensation polymerization polymers such as phenol novolak and naphthol novolac.
  • the polymer When an addition polymer is used as the organic polymer, the polymer may be either a homopolymer or a copolymer.
  • Addition polymerizable monomers are used in the production of addition polymerizable polymers, and specific examples of such addition polymerizable monomers include acrylic acid, methacrylic acid, acrylic ester compounds, methacrylic ester compounds, acrylamide compounds, and methacrylic acid. Examples include, but are not limited to, amide compounds, vinyl compounds, styrene compounds, maleimide compounds, maleic anhydride, acrylonitrile, and the like.
  • acrylic ester compounds include methyl acrylate, ethyl acrylate, n-hexyl acrylate, i-propyl acrylate, cyclohexyl acrylate, benzyl acrylate, phenyl acrylate, anthryl methyl acrylate, 2-hydroxyethyl acrylate, 3-chloro-2 -Hydroxypropyl acrylate, 2-hydroxypropyl acrylate, 2,2,2-trifluoroethyl acrylate, 2,2,2-trichloroethyl acrylate, 2-bromoethyl acrylate, 4-hydroxybutyl acrylate, 2-methoxyethyl acrylate, Examples include tetrahydrofurfuryl acrylate, 2-methyl-2-adamantyl acrylate, 5-acryloyloxy-6-hydroxynorbornene-2-carboxylic-6-lactone, 3-acryloxypropyltriethoxysilane, glycidyl
  • methacrylic acid ester compounds include methyl methacrylate, ethyl methacrylate, n-hexyl methacrylate, i-propyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, phenyl methacrylate, anthryl methyl methacrylate, 2-hydroxyethyl methacrylate, and 2-hydroxypropyl methacrylate.
  • These include, but are not limited to:
  • acrylamide compounds include acrylamide, N-methylacrylamide, N-ethylacrylamide, N-benzylacrylamide, N-phenylacrylamide, N,N-dimethylacrylamide, N-anthryl acrylamide, etc. Not limited.
  • methacrylamide compounds include methacrylamide, N-methylmethacrylamide, N-ethylmethacrylamide, N-benzylmethacrylamide, N-phenylmethacrylamide, N,N-dimethylmethacrylamide, and N-anthrylmethacrylamide. etc., but are not limited to these.
  • vinyl compounds include vinyl alcohol, 2-hydroxyethyl vinyl ether, methyl vinyl ether, ethyl vinyl ether, benzyl vinyl ether, vinyl acetic acid, vinyltrimethoxysilane, 2-chloroethyl vinyl ether, 2-methoxyethyl vinyl ether, vinylnaphthalene, vinyl Examples include, but are not limited to, anthracene and the like.
  • styrene compounds include, but are not limited to, styrene, hydroxystyrene, chlorostyrene, bromostyrene, methoxystyrene, cyanostyrene, acetylstyrene, and the like.
  • maleimide compounds include, but are not limited to, maleimide, N-methylmaleimide, N-phenylmaleimide, N-cyclohexylmaleimide, N-benzylmaleimide, N-hydroxyethylmaleimide, and the like.
  • such a polymer includes, for example, a polycondensation polymer of a glycol compound and a dicarboxylic acid compound.
  • glycol compounds include diethylene glycol, hexamethylene glycol, butylene glycol, and the like.
  • dicarboxylic acid compounds include succinic acid, adipic acid, terephthalic acid, and maleic anhydride.
  • examples thereof include, but are not limited to, polyesters, polyamides, and polyimides such as polypyromellitimide, poly(p-phenylene terephthalamide), polybutylene terephthalate, and polyethylene terephthalate.
  • the organic polymer contains a hydroxy group, this hydroxy group can undergo a crosslinking reaction with a hydrolyzed condensate and the like.
  • the weight average molecular weight of the organic polymer can generally be 1,000 to 1,000,000.
  • the weight average molecular weight should be set, for example, from 3,000 to 300,000, or from 5,000 to 5,000, from the viewpoint of suppressing precipitation in the composition while obtaining sufficient functional effects as a polymer. It can be 300,000, or 10,000 to 200,000, etc.
  • Such organic polymers may be used alone or in combination of two or more.
  • the content cannot be unconditionally determined as it is determined appropriately by considering the functions of the organic polymer, etc., but usually, the mass of [A] polysiloxane From the viewpoint of suppressing precipitation in the composition, for example, 100% by mass or less, preferably 50% by mass or less, more preferably 30% by mass or less. From the viewpoint of obtaining sufficient effects, the content may be, for example, 5% by mass or more, preferably 10% by mass or more, and more preferably 30% by mass or more.
  • Examples of the acid generator include thermal acid generators and photoacid generators, and photoacid generators can be preferably used.
  • the photoacid generator include, but are not limited to, onium salt compounds such as sulfonium salts, phosphonium salts, ammonium salts, iodonium salts, and oxonium salts, sulfonimide compounds, and disulfonyldiazomethane compounds.
  • the photoacid generator can also function as a curing catalyst depending on its type, such as carboxylates such as nitrates and maleates in onium salt compounds described below, and hydrochlorides.
  • Examples of the thermal acid generator include, but are not limited to, tetramethylammonium nitrate.
  • onium salt compounds include diphenyliodonium hexafluorophosphate, diphenyliodonium trifluoromethanesulfonate, diphenyliodonium nonafluoronormal butanesulfonate, diphenyliodonium perfluoronormal octane sulfonate, diphenyliodonium camphorsulfonate, bis(4-t-butylphenyl ) Iodonium salt compounds such as iodonium camphorsulfonate, bis(4-t-butylphenyl)iodonium trifluoromethanesulfonate, triphenylsulfonium hexafluoroantimonate, triphenylsulfonium nonafluoronormal butanesulfonate, triphenylsulfonium camphorsulfonate, triphenylsulfonium Examples include, but are not limited to, sulfon
  • sulfonimide compounds include N-(trifluoromethanesulfonyloxy)succinimide, N-(nonafluoronormalbutanesulfonyloxy)succinimide, N-(camphorsulfonyloxy)succinimide, and N-(trifluoromethanesulfonyloxy)naphthalimide. etc., but are not limited to these.
  • disulfonyldiazomethane compounds include bis(trifluoromethylsulfonyl)diazomethane, bis(cyclohexylsulfonyl)diazomethane, bis(phenylsulfonyl)diazomethane, bis(p-toluenesulfonyl)diazomethane, and bis(2,4-dimethylbenzene).
  • examples include, but are not limited to, methylsulfonyl-p-toluenesulfonyldiazomethane, methylsulfonyl-p-toluenesulfonyldiazomethane, and the like.
  • the content cannot be unconditionally determined as it is determined appropriately taking into account the type of acid generator, etc., but it is usually Based on the mass, the amount is in the range of 0.01 to 5% by mass, and from the viewpoint of suppressing precipitation of the acid generator in the composition, it is preferably 3% by mass or less, more preferably 1% by mass or less. From the viewpoint of obtaining sufficient effects, the content is preferably 0.1% by mass or more, more preferably 0.5% by mass or more.
  • the acid generator may be used alone or in combination of two or more, and a photoacid generator and a thermal acid generator may be used in combination.
  • the surfactant is effective in suppressing the occurrence of pinholes, striations, etc. when the composition for forming a silicon-containing resist underlayer film is applied to a substrate.
  • the surfactant include nonionic surfactants, anionic surfactants, cationic surfactants, silicone surfactants, fluorine surfactants, UV-curable surfactants, and the like. More specifically, for example, polyoxyethylene alkyl ethers such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, polyoxyethylene oleyl ether, polyoxyethylene octylphenol ether, polyoxyethylene nonylphenol, etc.
  • Polyoxyethylene alkylaryl ethers such as ethers, polyoxyethylene/polyoxypropylene block copolymers, sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan monooleate, sorbitan trioleate, sorbitan tristearate
  • Sorbitan fatty acid esters such as polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, polyoxyethylene sorbitan tristearate, etc.
  • Nonionic surfactants such as sorbitan fatty acid esters, trade name EFTOP (registered trademark) EF301, EF303, EF352 (manufactured by Mitsubishi Materials Electronic Chemicals Co., Ltd. (formerly Tochem Products Co., Ltd.)), product name Megafac ( Registered trademark) F171, F173, R-08, R-30, R-30N, R-40LM (manufactured by DIC Corporation), Florado FC430, FC431 (manufactured by 3M Japan Ltd.), product name Asahi Guard (registered trademark) ) Fluorine surfactants such as AG710 (manufactured by AGC Co., Ltd.), Surflon (registered trademark) S-382, SC101, SC102, SC103, SC104, SC105, SC106 (manufactured by AGC Seimi Chemical Co., Ltd.), and organosiloxanes. Examples include, but are not limited to, Polymer KP341 (manufactured by Shin
  • the content thereof is usually 0.0001 to 5% by mass, preferably 0.001% by mass based on the mass of [A] polysiloxane. It can be set to 4% by mass, more preferably 0.01 to 3% by mass.
  • the rheology modifier mainly improves the fluidity of the composition for forming a silicon-containing resist underlayer film, and improves the uniformity of the thickness of the formed film and the ability to fill the inside of the hole with the composition, especially in the baking process.
  • phthalic acid derivatives such as dimethyl phthalate, diethyl phthalate, di-i-butyl phthalate, dihexyl phthalate, butyl i-decyl phthalate, di-n-butyl adipate, di-i-butyl adipate, di-i-octyl adipate, Adipic acid derivatives such as octyldecyl adipate, maleic acid derivatives such as di-n-butyl maleate, diethyl maleate, dinonyl maleate, oleic acid derivatives such as methyl oleate, butyl oleate, tetrahydrofurfuryl oleate, or n-butyl stearate, glyceryl stearate.
  • stearic acid derivatives such as ester. When these rheology modifiers are used, the amount added is usually less than 30% by mass based
  • the adhesion aid mainly improves the adhesion between the substrate or resist and the film formed from the silicon-containing resist underlayer film forming composition (resist underlayer film), and suppresses and prevents peeling of the resist, especially during development. added for a purpose.
  • Specific examples include chlorosilanes such as trimethylchlorosilane, dimethylvinylchlorosilane, methyldiphenylchlorosilane, and chloromethyldimethylchlorosilane; alkoxysilanes such as trimethylmethoxysilane, dimethyldiethoxysilane, methyldimethoxysilane, and dimethylvinylethoxysilane; and hexamethyl.
  • Silazane such as disilazane, N,N'-bis(trimethylsilyl)urea, dimethyltrimethylsilylamine, trimethylsilylimidazole, ⁇ -chloropropyltrimethoxysilane, ⁇ -aminopropyltriethoxysilane, ⁇ -glycidoxypropyltrimethoxysilane
  • silanes such as benzotriazole, benzimidazole, indazole, imidazole, 2-mercaptobenzimidazole, 2-mercaptobenzothiazole, 2-mercaptobenzoxazole, urazole, thiouracil, mercaptoimidazole, mercaptopyrimidine and other heterocyclic compounds
  • Examples include urea, 1,1-dimethylurea, 1,3-dimethylurea, and thiourea compounds.
  • the amount added is usually less than 5% by mass, preferably less than 2% by mass, based
  • examples of the pH adjuster include acids having one or more carboxylic acid groups such as the organic acids mentioned above as stabilizers.
  • the amount added is 0.01 to 20 parts by weight, 0.01 to 10 parts by weight, or 0.01 to 5 parts by weight, based on 100 parts by weight of [A] polysiloxane. It can be expressed as a percentage of parts by mass.
  • metal oxides that can be added to the composition for forming a silicon-containing resist underlayer film include tin (Sn), titanium (Ti), aluminum (Al), zirconium (Zr), zinc (Zn), and niobium (Nb). ), metals such as tantalum (Ta) and W (tungsten), and semimetals such as boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), and tellurium (Te). Among them, one type or a combination of two or more types of oxides can be mentioned, but the oxides are not limited thereto.
  • the concentration of the film forming component in the composition for forming a silicon-containing resist underlayer film is, for example, 0.01 to 50% by mass, 0.01 to 30% by mass, 0.01 to 25% by mass based on the total mass of the composition. %, 0.01 to 20.0% by mass.
  • the content of polysiloxane [A] in the film-forming component is usually 20% by mass to 100% by mass, but from the viewpoint of obtaining the effects of the present invention with good reproducibility, the lower limit thereof is preferably 50% by mass. , more preferably 60% by mass, even more preferably 70% by mass, and still more preferably 80% by mass, and the upper limit thereof is preferably 99% by mass, and the remainder can be used as additives described below. can.
  • the silicon-containing composition for forming a resist underlayer film preferably has a pH of 1 to 5, more preferably a pH of 2 to 4.
  • the silicon-containing composition for forming a resist underlayer film may be filtered using a sub-micrometer filter or the like during the production of the composition or after all the components are mixed.
  • a sub-micrometer filter or the like the material of the filter used at this time does not matter; for example, a polyethylene filter, a nylon filter, a fluororesin filter, a polyimide filter, etc. can be used.
  • the silicon-containing composition for forming a resist underlayer film of the present invention can be suitably used as a composition for forming a resist underlayer film used in a lithography process.
  • the resist underlayer film of the present invention is a cured product of the silicon-containing resist underlayer film forming composition of the present invention.
  • the semiconductor processing substrate of the present invention includes, for example, the silicon-containing resist underlayer film of the present invention.
  • the method for manufacturing a semiconductor device of the present invention includes, for example, forming an organic lower layer film on the substrate; forming a resist underlayer film on the organic underlayer film using the silicon-containing resist underlayer film forming composition of the present invention; forming a metal-containing resist film on the resist underlayer film; including.
  • the pattern forming method of the present invention includes, for example, forming an organic lower layer film on the semiconductor substrate; Coating the silicon-containing resist underlayer film forming composition of the present invention on the organic underlayer film and baking it to form a resist underlayer film; forming a metal-containing resist film on the resist underlayer film; a step of exposing and developing a metal-containing resist film to obtain a resist pattern; a step of etching the resist lower layer film using the resist pattern as a mask; etching the organic underlayer film using the patterned resist underlayer film as a mask; including.
  • substrates used for manufacturing precision integrated circuit elements e.g., semiconductor substrates such as silicon wafers coated with silicon oxide films, silicon nitride films, or silicon oxynitride films, silicon nitride substrates, quartz substrates, glass substrates ( (including alkali glass, low alkali glass, and crystallized glass), glass substrates with ITO (indium tin oxide) films or IZO (indium zinc oxide) films, plastic (polyimide, PET, etc.) substrates, low dielectric
  • the composition for forming a silicon-containing resist underlayer film of the present invention is applied onto a substrate coated with a low-k material (low-k material), a flexible substrate, etc.
  • a resist underlayer film refers to a silicon-containing resist underlayer film of the present invention or a film formed from a silicon-containing resist underlayer film forming composition of the present invention.
  • the firing conditions are appropriately selected from among a firing temperature of 40° C. to 400° C., or 80° C. to 250° C., and a firing time of 0.3 minutes to 60 minutes.
  • the firing temperature is 150° C. to 250° C. and the firing time is 0.5 minutes to 2 minutes.
  • the thickness of the resist underlayer film formed here is, for example, 10 nm to 1,000 nm, or 20 nm to 500 nm, or 50 nm to 300 nm, or 100 nm to 200 nm, or 10 to 150 nm.
  • a silicon-containing resist underlayer film-forming composition used in forming the resist underlayer film a silicon-containing resist underlayer film-forming composition filtered through a nylon filter can be used.
  • the silicon-containing composition for forming a resist underlayer film that has been filtered through a nylon filter refers to a composition that has been filtered through a nylon filter during the production of the composition for forming a silicon-containing resist underlayer film, or after mixing all the components. Refers to a composition.
  • an organic lower layer film is formed on a substrate, and then a resist lower layer film is formed thereon, but depending on the case, an embodiment may be adopted in which no organic lower layer film is provided.
  • the organic underlayer film used here is not particularly limited and can be arbitrarily selected from those conventionally used in lithography processes.
  • the resist underlayer film using a fluorine-based gas as an etching gas that has a sufficiently fast etching rate for the metal-containing resist film, and also has a sufficiently fast etching rate for the resist underlayer film.
  • An organic underlayer film can be processed using an oxygen-based gas as an etching gas, and a fluorine-based gas having a sufficiently high etching rate for the organic underlayer film is used as an etching gas to process the substrate. Can be done. Note that the substrate and coating method that can be used in this case are the same as those described above.
  • a layer of a metal-containing resist material is formed on the resist underlayer film.
  • the metal-containing resist film is formed by a well-known method, that is, by applying a coated resist material (composition for forming a metal-containing resist film), which is a metal-containing resist, on the resist underlayer film and baking it. Can be done.
  • the thickness of the metal-containing resist film is, for example, 5 nm to 10,000 nm, or 5 nm to 1,000 nm, or 5 nm to 40 nm.
  • the metal-containing resist is also called a metal oxide resist (metal oxide resist (MOR)), and a typical example is a tin oxide resist.
  • metal oxide resist materials include coating compositions containing metal oxo-hydroxo networks having organic ligands through metal carbon bonds and/or metal carboxylate bonds, as described in JP-A-2019-113855.
  • One example of a metal-containing resist uses peroxo ligands as radiation-sensitive stabilizing ligands.
  • the peroxo-based metal oxo-hydroxo compound is explained in detail in, for example, the patent document described in paragraph [0011] of Publication No. 2019-532489.
  • Such patent documents include, for example, US Patent No. 9,176,377B2, US Patent Application Publication No. 2013/0224652A1, US Patent No. 9,310,684B2, and US Patent Application Publication No. 2016. /0116839A1 and US Patent Application Publication No. 15/291738.
  • the metal-containing resist film formed on the upper layer of the resist underlayer film is exposed to light through a predetermined mask (reticle).
  • a KrF excimer laser (wavelength: 248 nm), an ArF excimer laser (wavelength: 193 nm), an F 2 excimer laser (wavelength: 157 nm), EUV (wavelength: 13.5 nm), an electron beam, or the like can be used.
  • post-exposure bake can be performed as needed.
  • the post-exposure heating is performed under conditions appropriately selected from a heating temperature of 70° C. to 250° C. and a heating time of 0.3 minutes to 10 minutes.
  • an organic solvent can be used as a developer, and development is performed with the developer (solvent) after exposure.
  • a negative metal-containing resist film for example, the metal-containing resist film in the unexposed portions is removed, and a pattern of the metal-containing resist film is formed.
  • Examples of the developer (organic solvent) include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, ethyl methoxy acetate, ethyl ethoxy acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, Ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, ethylene glycol monophenyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monopropyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol monophenyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol mono Ethyl ether acetate, 2-methoxybutyl acetate, 3-methoxybut
  • the resist lower layer film (intermediate layer) is removed, and then the patterned metal-containing resist film and the patterned resist lower layer film (Using the film consisting of the intermediate layer as a protective film, the organic lower film (lower layer) is removed. Finally, the substrate is processed using the patterned resist underlayer film (intermediate layer) and the patterned organic underlayer film (lower layer) as protective films.
  • Removal (patterning) of the lower resist film (intermediate layer) using the pattern of the metal-containing resist film (upper layer) as a protective film is performed by dry etching, using tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F). 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, chlorine trifluoride, chlorine, trichloroborane and dichloro A gas such as borane can be used. Note that it is preferable to use a halogen-based gas for dry etching of the resist underlayer film.
  • Dry etching using halogen-based gas basically does not easily remove metal-containing resist films.
  • the resist underlayer film containing a large amount of silicon atoms is quickly removed by the halogen-based gas. Therefore, it is possible to suppress a decrease in the film thickness of the metal-containing resist film due to dry etching of the resist underlayer film. As a result, it becomes possible to use a thin metal-containing resist film. Therefore, dry etching of the resist underlayer film is preferably performed using a fluorine-based gas.
  • the fluorine-based gas include tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), and perfluoropropane (C 3 F 8 ). 8 ), trifluoromethane, difluoromethane (CH 2 F 2 ), etc., but are not limited to these.
  • Removal (patterning) of the organic lower layer (lower layer) using the film as a protective film can be performed by dry etching using an oxygen-based gas (oxygen gas, oxygen/carbonyl sulfide (COS) mixed gas, etc.). preferable. This is because the resist underlayer film of the present invention containing a large amount of silicon atoms is difficult to remove by dry etching using oxygen-based gas.
  • oxygen-based gas oxygen gas, oxygen/carbonyl sulfide (COS) mixed gas, etc.
  • processing (patterning) of the (semiconductor) substrate is performed using the patterned resist underlayer film (intermediate layer) and, if desired, the patterned organic underlayer film (lower layer) as a protective film. Preferably, this is done by etching.
  • fluorine-based gases include tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, and difluoromethane (CH 2 F 2 ). Can be mentioned.
  • the resist underlayer film may be removed. Removal of the resist underlayer film may be performed by dry etching or wet etching (wet method). Dry etching of the resist underlayer film is preferably performed using a fluorine-based gas, as mentioned in the patterning section. For example, tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, difluoromethane (CH 2 F 2 ), etc., but are not limited to these.
  • CF 4 tetrafluoromethane
  • C 4 F 8 perfluorocyclobutane
  • C 3 F 8 perfluoropropane
  • trifluoromethane difluoromethane
  • CH 2 F 2 difluoromethane
  • Chemical solutions used for wet etching of the resist underlayer film include dilute hydrofluoric acid (hydrofluoric acid), buffered hydrofluoric acid (a mixed solution of HF and NH 4 F), and an aqueous solution containing hydrochloric acid and hydrogen peroxide (SC).
  • hydrofluoric acid hydrofluoric acid
  • buffered hydrofluoric acid a mixed solution of HF and NH 4 F
  • SC hydrochloric acid and hydrogen peroxide
  • SC-1 chemical solution ammonia peroxide
  • TMAH tetramethylammonium hydroxide
  • TMAH tetraethylammonium hydroxide
  • tetrapropylammonium hydroxide tetrapropylammonium hydroxide
  • Hydroxide tetrabutylammonium hydroxide, choline hydroxide, benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide, DBU (diazabicyclodecene), DBN (diazabicyclononene), hydroxylamine, 1-butyl-1 -Methylpyrrolidinium hydroxide, 1-propyl-1-methylpyrrolidinium hydroxide, 1-butyl-1-methylpiperidinium hydroxide, 1-propyl-1-methylpiperidinium hydroxide, mepicuate
  • Examples include aqueous solutions containing 1 to 99% by mass of hydroxide, trimethylsulfonium hydroxide, hydrazines, ethylenediamines, or guanidine. These chemical solutions can also be used in combination.
  • an organic antireflection film can be formed on the upper layer of the resist underlayer film before forming the metal-containing resist film.
  • the antireflection coating composition used therein is not particularly limited, and can be arbitrarily selected from those conventionally used in lithography processes.
  • the antireflection film can be formed by coating with a spinner or coater and baking.
  • the substrate to which the composition for forming a silicon-containing resist underlayer film is applied may have an organic or inorganic antireflection film formed by CVD or the like on its surface, and the resist A lower layer film can also be formed.
  • the substrate used may have an organic or inorganic antireflection film formed by CVD or the like on its surface. It may be something that you have.
  • a resist underlayer film formed from a silicon-containing composition for forming a resist underlayer film may also absorb light depending on the wavelength of the light used in the lithography process. In such a case, it can function as an antireflection film that has the effect of preventing reflected light from the substrate.
  • the resist underlayer film is a layer for preventing interaction between the substrate and the metal-containing resist film, and a layer for preventing adverse effects on the substrate of materials used for the metal-containing resist film or substances generated during exposure of the metal-containing resist film.
  • the resist underlayer film can be applied to a substrate in which a via hole used in a dual damascene process is formed, and can be used as a hole-filling material (embedding material) that can fill the hole without any gaps. Moreover, it can also be used as a planarizing material for planarizing the surface of a semiconductor substrate having unevenness.
  • the resist underlayer film of the present invention not only functions as a hard mask as the underlayer film of an EUV metal-containing resist film, but also functions as a hard mask during EUV exposure (wavelength 13.5 nm) without intermixing with the EUV metal-containing resist film.
  • the composition for forming a silicon-containing resist underlayer film of the present invention can be suitably used to form a lower antireflection film of an EUV metal-containing resist film. That is, it can effectively prevent reflection as a lower layer of the EUV metal-containing resist film.
  • the process can be carried out in the same manner as for a photoresist underlayer film.
  • a semiconductor substrate can be suitably processed. Further, as described above, a step of forming an organic underlayer film, a step of forming a resist underlayer film on the organic underlayer film using the silicon-containing resist underlayer film forming composition of the present invention, and a step of forming the resist underlayer film on the organic underlayer film using the composition for forming a resist underlayer film of the present invention. According to a semiconductor device manufacturing method that includes a step of forming a metal-containing resist film on the film, it is possible to realize highly accurate processing of the semiconductor substrate with good reproducibility, so stable manufacturing of semiconductor devices can be expected. .
  • the equipment and conditions used to analyze the physical properties of the samples are as follows.
  • the molecular weight of the polysiloxane used in the present invention is the molecular weight obtained in terms of polystyrene by GPC analysis.
  • the GPC measurement conditions were a GPC device (product name HLC-8220GPC, manufactured by Tosoh Corporation), a GPC column (product name Shodex (registered trademark) KF803L, KF802, KF801, manufactured by Showa Denko Corporation), a column temperature of 40°C, The eluent (elution solvent) was tetrahydrofuran, the flow rate (flow rate) was 1.0 mL/min, and the standard sample was polystyrene (manufactured by Showa Denko K.K.).
  • polymer aqueous hydrolysis condensate (polymer) solution.
  • propylene glycol monoethyl ether was added and the concentration was adjusted to 20% by mass in terms of solid residue at 150°C as a solvent ratio of 100% propylene glycol monoethyl ether, and filtered with a nylon filter (pore size 0.1 ⁇ m). Filtered.
  • the obtained polymer contained a structure represented by the following formula (E1), and its weight average molecular weight was Mw 2,300 in terms of polystyrene by GPC.
  • reaction by-products such as ethanol, methanol, and water were distilled off under reduced pressure and concentrated to obtain an aqueous hydrolysis condensate (polymer) solution.
  • propylene glycol monoethyl ether was added and the concentration was adjusted to 20% by mass in terms of solid residue at 150°C as a solvent ratio of 100% propylene glycol monoethyl ether, and filtered with a nylon filter (pore size 0.1 ⁇ m). Filtered.
  • the obtained polymer contained a structure represented by the following formula (E2), and its weight average molecular weight was Mw 2,700 in terms of polystyrene by GPC.
  • polymer aqueous hydrolysis condensate (polymer) solution.
  • propylene glycol monoethyl ether was added and the concentration was adjusted to 20% by mass in terms of solid residue at 150°C as a solvent ratio of 100% propylene glycol monoethyl ether, and filtered with a nylon filter (pore size 0.1 ⁇ m). Filtered.
  • the obtained polymer contained a structure represented by the following formula (E3), and its weight average molecular weight was Mw 2,200 in terms of polystyrene by GPC.
  • polymer aqueous hydrolysis condensate (polymer) solution.
  • propylene glycol monoethyl ether was added and the concentration was adjusted to 20% by mass in terms of solid residue at 150°C as a solvent ratio of 100% propylene glycol monoethyl ether, and filtered with a nylon filter (pore size 0.1 ⁇ m). Filtered.
  • the obtained polymer contained a structure represented by the following formula (E4), and its weight average molecular weight was Mw 2,300 in terms of polystyrene by GPC.
  • composition for forming silicon-containing resist underlayer film Polysiloxane (polymer) obtained in the above synthesis example, acid (additive 1), curing catalyst (additive 2), sulfuric acid, sulfonic acid compound, or A silicon-containing resist underlayer film forming composition was prepared by mixing a salt (additive 3) and a solvent in the proportions shown in Table 1 and filtering through a 0.1 ⁇ m fluororesin filter. Each amount added in Table 1 is shown in parts by mass.
  • composition of the hydrolyzed condensate (polymer) is prepared as a solution containing the condensate obtained in the synthesis example, but the addition ratio of the polymer in Table 1 is not the amount of the polymer solution added, but the polymer itself. The amount of addition is shown.
  • Examples 1 to 8 and Comparative Examples 1 to 3 further contain nitric acid contained in the polymer solution prepared in the synthesis example.
  • composition for forming organic underlayer film Carbazole (6.69 g, 0.040 mol, manufactured by Tokyo Chemical Industry Co., Ltd.) and 9-fluorenone (7.28 g, 0.0 mol) were placed in a 100 ml four-necked flask under nitrogen. 040 mol, manufactured by Tokyo Chemical Industry Co., Ltd.) and paratoluenesulfonic acid monohydrate (0.76 g, 0.0040 mol, manufactured by Tokyo Chemical Industry Co., Ltd.), and 1,4-dioxane (6.69 g, (manufactured by Kanto Kagaku Co., Ltd.) was charged and stirred, and the temperature was raised to 100°C to dissolve and initiate polymerization.
  • the obtained solution was filtered using a polyethylene microfilter with a pore size of 0.10 ⁇ m, and further filtered using a polyethylene microfilter with a pore size of 0.05 ⁇ m to prepare a composition for forming an organic underlayer film. .
  • Exposure was performed through a mask set as follows. After exposure, perform post-exposure heating (PEB, 170°C for 1 minute), cool to room temperature on a cooling plate, develop for 60 seconds using an organic solvent (propylene glycol monomethyl ether acetate), rinse, and remove the resist pattern. was formed.
  • PEB post-exposure heating
  • resist patterns were formed using each of the compositions obtained in Examples 2 to 8 and Comparative Examples 1 to 3.
  • a length measurement SEM CG4100 manufactured by Hitachi High-Technologies Co., Ltd.
  • the exposure amount when a line size of 16 nm is formed is measured and used as the sensitivity, and the dimensions of the 60 lines at this time are The line width roughness (LWR) was determined.
  • the results are shown in Table 3.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)

Abstract

[A]成分:ポリシロキサン、 [B]成分:硫酸、多官能スルホン酸、又はそれらの塩、及び [C]成分:溶媒 を含有する、シリコン含有レジスト下層膜形成用組成物。

Description

多官能スルホン酸を含むシリコン含有レジスト下層膜形成用組成物
 本発明は、多官能スルホン酸を含むシリコン含有レジスト下層膜形成用組成物に関する。
 従来から半導体装置の製造において、フォトレジストを用いたリソグラフィーによる微細加工が行われている。上記微細加工はシリコンウエハー等の半導体基板上にフォトレジストの薄膜を形成し、その上に半導体デバイスのパターンが描かれたマスクパターンを介して紫外線などの活性光線を照射し、現像し、得られたフォトレジストパターンを保護膜として基板をエッチング処理することにより、基板表面に、上記パターンに対応する微細凹凸を形成する加工法である。
 半導体デバイスの高集積度化が進む中、使用される活性光線もKrFエキシマレーザー(248nm)からArFエキシマレーザー(193nm)へと短波長化される傾向にあり、さらには、EUV(Extreme Ultra violet:極端紫外線)や電子線を用いた露光技術が検討されている。活性光線の短波長化に伴い、活性光線の半導体基板からの反射の影響が大きな問題となる中、フォトレジストと被加工基板の間に反射防止膜(BottomAnti-ReflectiveCoating、BARC)と呼ばれるレジスト下層膜を設ける方法が広く適用されるようになってきた。こうしたレジスト下層膜として、例えばシリコン等を含有する下層膜が提案されている(特許文献1等)。
 近年の最先端半導体デバイスにおけるレジストパターンの微細化に伴い、レジストの薄膜化への要望はより顕著となっている。とりわけレジスト膜、シリコン含有レジスト下層膜、有機下層膜からなる3層プロセスにおいては、シリコン含有レジスト下層膜上におけるレジストの良好なリソグラフィー特性が求められている。
 上述したレジストの更なる微細パターニングに向け、近年では従来の化学増幅型レジストに比べ、エッチング耐性に優れたメタルオキサイドレジスト(MOR)を用いたリソグラフィー技術の開発が活発に行われている。今後更なる微細化において、レジスト膜厚の薄膜化が必要不可欠であるが、本メタルオキサイドレジスト(MOR)(以下、「金属含有レジスト」ともいう)は、薄膜でも微細パターニング加工を行うのに十分なエッチング耐性を有するため、次世代EUVリソグラフィー技術に用いられる材料として近年期待されている。
特開2007-163846号公報
 半導体デバイス加工においては、生産性向上のために、露光時間の短縮のための方法の一つとして、レジストの高感度化が求められている。特に最先端のEUVリソグラフィー技術を用いた半導体デバイス加工においては、EUV露光時間が生産性に影響するため、この要求が強い。
 本発明は、そのような事情に鑑みてなされたものであって、レジストの感度を上げることができるシリコン含有レジスト下層膜形成用組成物を提供することを提供することを目的とする。
 本発明者らは、前記の課題を解決する為、鋭意検討を行った結果、前記の課題を解決出来ることを見出し、以下の要旨を有する本発明を完成させた。
 すなわち、本発明は以下を包含する。
 [1] [A]成分:ポリシロキサン、
 [B]成分:硫酸、多官能スルホン酸、又はそれらの塩、及び
 [C]成分:溶媒
を含有する、シリコン含有レジスト下層膜形成用組成物。
 [2] 前記多官能スルホン酸が、下記式(A)で表される化合物である、[1]に記載のシリコン含有レジスト下層膜形成用組成物。
Figure JPOXMLDOC01-appb-C000002
(式(A)中、nは、1~3の整数を表す。Rは、炭素原子数1~15のn+1価の有機基を表す。)
 [3] 前記[B]成分における前記塩が、アンモニウム塩、イミダゾリウム塩、ピリジニウム塩、スルホニウム塩、ホスホニウム塩、及びヨードニウム塩のいずれかである、[1]又は[2]に記載のシリコン含有レジスト下層膜形成用組成物。
 [4] 前記[A]成分であるポリシロキサンが、シラノール基の一部がアルコール変性された又はアセタール保護されたポリシロキサン変性物である、[1]~[3]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
 [5] 前記[C]成分が、アルコール系溶媒を含有する、[1]~[4]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
 [6] 前記[C]成分が、プロピレングリコールモノアルキルエーテルを含有する、[5]に記載のシリコン含有レジスト下層膜形成用組成物。
 [7] [D]成分:硬化触媒を更に含有する、[1]~[6]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
 [8] [E]成分:硝酸を更に含有する、[1]~[7]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
 [9] 前記[C]成分が、水を含有する、[1]~[8]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
 [10] EUVリソグラフィー用レジスト下層膜形成用である、[1]~[9]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
 [11] [1]~[10]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物の硬化物である、シリコン含有レジスト下層膜。
 [12] 半導体基板と、
 [11]に記載のシリコン含有レジスト下層膜と、
を備える半導体加工用基板。
 [13] 基板上に、有機下層膜を形成する工程と、
 前記有機下層膜の上に、[1]~[10]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物を用いてレジスト下層膜を形成する工程と、
 前記レジスト下層膜の上に、金属含有レジスト膜を形成する工程と、
を含む、半導体素子の製造方法。
 [14] 前記金属含有レジスト膜が、EUVリソグラフィー用金属含有レジストから形成される、
[13]に記載の半導体素子の製造方法。
 [15] 前記レジスト下層膜を形成する工程において、ナイロンフィルタろ過したシリコン含有レジスト下層膜形成用組成物を用いる、
[13]又は[14]に記載の半導体素子の製造方法。
 [16] 半導体基板上に有機下層膜を形成する工程と、
 前記有機下層膜の上に、[1]~[10]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物を塗布し、焼成して、レジスト下層膜を形成する工程と、
 前記レジスト下層膜の上に、金属含有レジスト膜を形成する工程と、
 前記金属含有レジスト膜を露光、現像し、レジストパターンを得る工程と、
 前記レジストパターンをマスクに用い、前記レジスト下層膜をエッチングする工程と、
 パターン化された前記レジスト下層膜をマスクとして用い、前記有機下層膜をエッチングする工程と、
を含む、パターン形成方法。
 [17] 前記有機下層膜をエッチングする工程の後に、薬液を用いた湿式法により前記レジスト下層膜を除去する工程、
を更に含む、[16]に記載のパターン形成方法。
 [18] 前記金属含有レジスト膜が、EUVリソグラフィー用金属含有レジストから形成される、
[16]又は[14]に記載のパターン形成方法。
 本発明によれば、レジストの感度を上げることができるシリコン含有レジスト下層膜形成用組成物を提供することができる。
 更に本発明によれば、該シリコン含有レジスト下層膜形成用組成物を用いた、シリコン含有レジスト下層膜、半導体加工用基板、半導体素子の製造方法、及びパターン形成方法を提供することができる。
(シリコン含有レジスト下層膜形成用組成物)
 本発明のシリコン含有レジスト下層形成用組成物は、[A]成分としてのポリシロキサン、[B]成分としての硫酸、多官能スルホン酸、又はそれらの塩、及び[C]成分としての溶媒を含有し、更に必要に応じて、その他の成分を含有する。
 本発明者らは、ポリシロキサンを含有するシリコン含有レジスト下層形成用組成物に、[B]成分としての硫酸、多官能スルホン酸、又はそれらの塩を含めることで、レジストの感度を上げることができるレジスト下層膜を形成できることを見出した。
<[A]成分:ポリシロキサン>
 [A]成分としてのポリシロキサンは、シロキサン結合を有するポリマーである限り特に限定されない。
 ポリシロキサンは、シラノール基の一部が変性されている変性ポリシロキサン、例えばシラノール基の一部がアルコール変性された又はアセタール保護されたポリシロキサン変性物を含んでいてもよい。
 またポリシロキサンは、一例として加水分解性シランの加水分解縮合物を含み、加水分解縮合物が有するシラノール基の少なくとも一部がアルコール変性された又はアセタール保護された変性ポリシロキサンを含んでいてもよい。加水分解縮合物に係る加水分解性シランは、一種又は二種以上の加水分解性シランを含むことができる。
 またポリシロキサンは、かご型、ラダー型、直鎖型、及び分岐型のいずれかの主鎖を有する構造であるものとすることができる。さらにポリシロキサンとして、市販のポリシロキサンを使用することができる。
 なお、本発明において、加水分解性シランの「加水分解縮合物」、すなわち加水分解縮合の生成物には、縮合が完全に完了した縮合物であるポリオルガノシロキサンポリマーだけでなく、縮合が完全に完了しない部分加水分解縮合物であるポリオルガノシロキサンポリマーも包含される。このような部分加水分解縮合物も、縮合が完全に完了した縮合物と同様、加水分解性シランの加水分解及び縮合によって得られたポリマーであるが、部分的に加水分解で止まり、縮合しておらず、それ故、Si-OH基が残存しているものである。また、シリコン含有レジスト下層膜形成用組成物は、加水分解縮合物の他に、未縮合の加水分解物(完全加水分解物、部分加水分解物)や、モノマー(加水分解性シラン)が残存していてもよい。
 なお、本明細書において、「加水分解性シラン」を単に「シラン化合物」とも称することがある。
 ポリシロキサンとして、例えば下記式(1)で表される少なくとも1種の加水分解性シランを含む加水分解性シランの加水分解縮合物を挙げることができる。
<<式(1)>>
Figure JPOXMLDOC01-appb-C000003
 式(1)中、Rは、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基を有する有機基、アクリロイル基を有する有機基、メタクリロイル基を有する有機基、メルカプト基を有する有機基、アミノ基を有する有機基、アルコキシ基を有する有機基、スルホニル基を有する有機基、若しくはシアノ基を有する有機基、又はそれらの2種以上の組み合わせを表す。
 またRは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 aは0~3の整数を表す。
<<<R>>>
 アルキル基は、直鎖状、分枝鎖状、環状のいずれでもよく、その炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 アルキル基として、直鎖状の又は分枝鎖状アルキル基の具体例としては、メチル基、エチル基、n-プロピル基、i-プロピル基、n-ブチル基、i-ブチル基、s-ブチル基、t-ブチル基、n-ペンチル基、1-メチル-n-ブチル基、2-メチル-n-ブチル基、3-メチル-n-ブチル基、1,1-ジメチル-n-プロピル基、1,2-ジメチル-n-プロピル基、2,2-ジメチル-n-プロピル基、1-エチル-n-プロピル基、n-ヘキシル基、1-メチル-n-ペンチル基、2-メチル-n-ペンチル基、3-メチル-n-ペンチル基、4-メチル-n-ペンチル基、1,1-ジメチル-n-ブチル基、1,2-ジメチル-n-ブチル基、1,3-ジメチル-n-ブチル基、2,2-ジメチル-n-ブチル基、2,3-ジメチル-n-ブチル基、3,3-ジメチル-n-ブチル基、1-エチル-n-ブチル基、2-エチル-n-ブチル基、1,1,2-トリメチル-n-プロピル基、1,2,2-トリメチル-n-プロピル基、1-エチル-1-メチル-n-プロピル基及び1-エチル-2-メチル-n-プロピル基等が挙げられる。
 なお、本明細書において、「i」は「iso」、「s」は「sec」、「t」は「tert」を意味する。
 環状アルキル基の具体例としては、シクロプロピル基、シクロブチル基、1-メチル-シクロプロピル基、2-メチル-シクロプロピル基、シクロペンチル基、1-メチル-シクロブチル基、2-メチル-シクロブチル基、3-メチル-シクロブチル基、1,2-ジメチル-シクロプロピル基、2,3-ジメチル-シクロプロピル基、1-エチル-シクロプロピル基、2-エチル-シクロプロピル基、シクロヘキシル基、1-メチル-シクロペンチル基、2-メチル-シクロペンチル基、3-メチル-シクロペンチル基、1-エチル-シクロブチル基、2-エチル-シクロブチル基、3-エチル-シクロブチル基、1,2-ジメチル-シクロブチル基、1,3-ジメチル-シクロブチル基、2,2-ジメチル-シクロブチル基、2,3-ジメチル-シクロブチル基、2,4-ジメチル-シクロブチル基、3,3-ジメチル-シクロブチル基、1-n-プロピル-シクロプロピル基、2-n-プロピル-シクロプロピル基、1-i-プロピル-シクロプロピル基、2-i-プロピル-シクロプロピル基、1,2,2-トリメチル-シクロプロピル基、1,2,3-トリメチル-シクロプロピル基、2,2,3-トリメチル-シクロプロピル基、1-エチル-2-メチル-シクロプロピル基、2-エチル-1-メチル-シクロプロピル基、2-エチル-2-メチル-シクロプロピル基及び2-エチル-3-メチル-シクロプロピル基等のシクロアルキル基、ビシクロブチル基、ビシクロペンチル基、ビシクロヘキシル基、ビシクロヘプチル基、ビシクロオクチル基、ビシクロノニル基及びビシクロデシル基等の架橋環式のシクロアルキル基等が挙げられる。
 アリール基は、フェニル基、縮合環芳香族炭化水素化合物の水素原子を一つ取り除いて誘導される1価の基、及び環連結芳香族炭化水素化合物の水素原子を一つ取り除いて誘導される1価の基のいずれでもよく、その炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 例えばアリ-ル基として炭素数6~20のアリール基が挙げられ、一例としてフェニル基、1-ナフチル基、2-ナフチル基、1-アントリル基、2-アントリル基、9-アントリル基、1-フェナントリル基、2-フェナントリル基、3-フェナントリル基、4-フェナントリル基、9-フェナントリル基、1-ナフタセニル基、2-ナフタセニル基、5-ナフタセニル基、2-クリセニル基、1-ピレニル基、2-ピレニル基、ペンタセニル基、ベンゾピレニル基、トリフェニレニル基;ビフェニル-2-イル基(o-ビフェニリル基)、ビフェニル-3-イル基(m-ビフェニリル基)、ビフェニル-4-イル基(p-ビフェニリル基)、パラテルフェニル-4-イル基、メタテルフェニル-4-イル基、オルトテルフェニル-4-イル基、1,1’-ビナフチル-2-イル基、2,2’-ビナフチル-1-イル基等が挙げられるが、これらに限定されない。
 アラルキル基は、アリール基が置換したアルキル基であり、このようなアリール基及びアルキル基の具体例としては、前述したものと同じものが挙げられる。アラルキル基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アラルキル基の具体例としては、フェニルメチル基(ベンジル基)、2-フェニルエチレン基、3-フェニル-n-プロピル基、4-フェニル-n-ブチル基、5-フェニル-n-ペンチル基、6-フェニル-n-ヘキシル基、7-フェニル-n-ヘプチル基、8-フェニル-n-オクチル基、9-フェニル-n-ノニル基、10-フェニル-n-デシル基等が挙げられるが、これらに限定されない。
 ハロゲン化アルキル基、ハロゲン化アリール基、及びハロゲン化アラルキル基は、それぞれ、1以上のハロゲン原子により置換されたアルキル基、アリール基、及びアラルキル基であり、このようなアルキル基、アリール基及びアラルキル基の具体例としては前述したものと同じものが挙げられる。
 ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられる。
 ハロゲン化アルキル基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 ハロゲン化アルキル基の具体例としては、モノフルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、ブロモジフルオロメチル基、2-クロロエチル基、2-ブロモエチル基、1,1-ジフルオロエチル基、2,2,2-トリフルオロエチル基、1,1,2,2-テトラフルオロエチル基、2-クロロ-1,1,2-トリフルオロエチル基、ペンタフルオロエチル基、3-ブロモプロピル基、2,2,3,3-テトラフルオロプロピル基、1,1,2,3,3,3-ヘキサフルオロプロピル基、1,1,1,3,3,3-ヘキサフルオロプロパン-2-イル基、3-ブロモ-2-メチルプロピル基、4-ブロモブチル基、パーフルオロペンチル基等が挙げられるが、これらに限定されない。
 ハロゲン化アリール基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アリール基の具体例としては、2-フルオロフェニル基、3-フルオロフェニル基、4-フルオロフェニル基、2,3-ジフルオロフェニル基、2,4-ジフルオロフェニル基、2,5-ジフルオロフェニル基、2,6-ジフルオロフェニル基、3,4-ジフルオロフェニル基、3,5-ジフルオロフェニル基、2,3,4-トリフルオロフェニル基、2,3,5-トリフルオロフェニル基、2,3,6-トリフルオロフェニル基、2,4,5-トリフルオロフェニル基、2,4,6-トリフルオロフェニル基、3,4,5-トリフルオロフェニル基、2,3,4,5-テトラフルオロフェニル基、2,3,4,6-テトラフルオロフェニル基、2,3,5,6-テトラフルオロフェニル基、ペンタフルオロフェニル基、2-フルオロ-1-ナフチル基、3-フルオロ-1-ナフチル基、4-フルオロ-1-ナフチル基、6-フルオロ-1-ナフチル基、7-フルオロ-1-ナフチル基、8-フルオロ-1-ナフチル基、4,5-ジフルオロ-1-ナフチル基、5,7-ジフルオロ-1-ナフチル基、5,8-ジフルオロ-1-ナフチル基、5,6,7,8-テトラフルオロ-1-ナフチル基、ヘプタフルオロ-1-ナフチル基、1-フルオロ-2-ナフチル基、5-フルオロ-2-ナフチル基、6-フルオロ-2-ナフチル基、7-フルオロ-2-ナフチル基、5,7-ジフルオロ-2-ナフチル基、ヘプタフルオロ-2-ナフチル基等が挙げられ、またこれらの基におけるフッ素原子(フルオロ基)が塩素原子(クロロ基)、臭素原子(ブロモ基)、ヨウ素原子(ヨード基)に任意に置換された基が挙げられるが、これらに限定されない。
 ハロゲン化アラルキル基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アラルキル基の具体例としては、2-フルオロベンジル基、3-フルオロベンジル基、4-フルオロベンジル基、2,3-ジフルオロベンジル基、2,4-ジフルオロベンジル基、2,5-ジフルオロベンジル基、2,6-ジフルオロベンジル基、3,4-ジフルオロベンジル基、3,5-ジフルオロベンジル基、2,3,4-トリフルオロベンジル基、2,3,5-トリフルオロベンジル基、2,3,6-トリフルオロベンジル基、2,4,5-トリフルオロベンジル基、2,4,6-トリフルオロベンジル基、2,3,4,5-テトラフルオロベンジル基、2,3,4,6-テトラフルオロベンジル基、2,3,5,6-テトラフルオロベンジル基、2,3,4,5,6-ペンタフルオロベンジル基等が挙げられ、またこれらの基におけるフッ素原子(フルオロ基)が塩素原子(クロロ基)、臭素原子(ブロモ基)、ヨウ素原子(ヨード基)に任意に置換された基が挙げられるが、これらに限定されない。
 アルコキシアルキル基、アルコキシアリール基、及びアルコキシアラルキル基は、それぞれ、1以上のアルコキシ基により置換されたアルキル基、アリール基、及びアラルキル基であり、このようなアルキル基、アリール基及びアラルキル基の具体例としては前述したものと同じものが挙げられる。
 置換基としてのアルコキシ基としては、例えば、炭素数1~20の直鎖状、分岐鎖状、及び環状の少なくともいずれかのアルキル部分を有するアルコキシ基が挙げられる。
 直鎖状の又は分枝状のアルコキシ基としては、例えばメトキシ基、エトキシ基、n-プロポキシ基、i-プロポキシ基、n-ブトキシ基、i-ブトキシ基、s-ブトキシ基、t-ブトキシ基、n-ペンチロキシ基、1-メチル-n-ブトキシ基、2-メチル-n-ブトキシ基、3-メチル-n-ブトキシ基、1,1-ジメチル-n-プロポキシ基、1,2-ジメチル-n-プロポキシ基、2,2-ジメチル-n-プロポキシ基、1-エチル-n-プロポキシ基、n-ヘキシロキシ基、1-メチル-n-ペンチロキシ基、2-メチル-n-ペンチロキシ基、3-メチル-n-ペンチロキシ基、4-メチル-n-ペンチロキシ基、1,1-ジメチル-n-ブトキシ基、1,2-ジメチル-n-ブトキシ基、1,3-ジメチル-n-ブトキシ基、2,2-ジメチル-n-ブトキシ基、2,3-ジメチル-n-ブトキシ基、3,3-ジメチル-n-ブトキシ基、1-エチル-n-ブトキシ基、2-エチル-n-ブトキシ基、1,1,2-トリメチル-n-プロポキシ基、1,2,2-トリメチル-n-プロポキシ基、1-エチル-1-メチル-n-プロポキシ基及び1-エチル-2-メチル-n-プロポキシ基等が挙げられる。
 また環状のアルコキシ基としては、例えばシクロプロポキシ基、シクロブトキシ基、1-メチル-シクロプロポキシ基、2-メチル-シクロプロポキシ基、シクロペンチロキシ基、1-メチル-シクロブトキシ基、2-メチル-シクロブトキシ基、3-メチル-シクロブトキシ基、1,2-ジメチル-シクロプロポキシ基、2,3-ジメチル-シクロプロポキシ基、1-エチル-シクロプロポキシ基、2-エチル-シクロプロポキシ基、シクロヘキシロキシ基、1-メチル-シクロペンチロキシ基、2-メチル-シクロペンチロキシ基、3-メチル-シクロペンチロキシ基、1-エチル-シクロブトキシ基、2-エチル-シクロブトキシ基、3-エチル-シクロブトキシ基、1,2-ジメチル-シクロブトキシ基、1,3-ジメチル-シクロブトキシ基、2,2-ジメチル-シクロブトキシ基、2,3-ジメチル-シクロブトキシ基、2,4-ジメチル-シクロブトキシ基、3,3-ジメチル-シクロブトキシ基、1-n-プロピル-シクロプロポキシ基、2-n-プロピル-シクロプロポキシ基、1-i-プロピル-シクロプロポキシ基、2-i-プロピル-シクロプロポキシ基、1,2,2-トリメチル-シクロプロポキシ基、1,2,3-トリメチル-シクロプロポキシ基、2,2,3-トリメチル-シクロプロポキシ基、1-エチル-2-メチル-シクロプロポキシ基、2-エチル-1-メチル-シクロプロポキシ基、2-エチル-2-メチル-シクロプロポキシ基及び2-エチル-3-メチル-シクロプロポキシ基等が挙げられる。
 アルコキシアルキル基の具体例としては、メトキシメチル基、エトキシメチル基、1-エトキシエチル基、2-エトキシエチル基、エトキシメチル基等の低級(炭素数5以下程度)アルキルオキシ低級(炭素数5以下程度)アルキル基等が挙げられるが、これらに限定されない。
 アルコキシアリール基の具体例としては、2-メトキシフェニル基、3-メトキシフェニル基、4-メトキシフェニル基、2-(1-エトキシ)フェニル基、3-(1-エトキシ)フェニル基、4-(1-エトキシ)フェニル基、2-(2-エトキシ)フェニル基、3-(2-エトキシ)フェニル基、4-(2-エトキシ)フェニル基、2-メトキシナフタレン-1-イル基、3-メトキシナフタレン-1-イル基、4-メトキシナフタレン-1-イル基、5-メトキシナフタレン-1-イル基、6-メトキシナフタレン-1-イル基、7-メトキシナフタレン-1-イル基等が挙げられるが、これらに限定されない。
 アルコキシアラルキル基の具体例としては、3-(メトキシフェニル)ベンジル基、4-(メトキシフェニル)ベンジル基等が挙げられるが、これらに限定されない。
 アルケニル基は、直鎖状又は分枝鎖状のいずれかでよく、その炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 アルケニル基の具体例としては、エテニル基(ビニル基)、1-プロペニル基、2-プロペニル基、1-メチル-1-エテニル基、1-ブテニル基、2-ブテニル基、3-ブテニル基、2-メチル-1-プロペニル基、2-メチル-2-プロペニル基、1-エチルエテニル基、1-メチル-1-プロペニル基、1-メチル-2-プロペニル基、1-ペンテニル基、2-ペンテニル基、3-ペンテニル基、4-ペンテニル基、1-n-プロピルエテニル基、1-メチル-1-ブテニル基、1-メチル-2-ブテニル基、1-メチル-3-ブテニル基、2-エチル-2-プロペニル基、2-メチル-1-ブテニル基、2-メチル-2-ブテニル基、2-メチル-3-ブテニル基、3-メチル-1-ブテニル基、3-メチル-2-ブテニル基、3-メチル-3-ブテニル基、1,1-ジメチル-2-プロペニル基、1-i-プロピルエテニル基、1,2-ジメチル-1-プロペニル基、1,2-ジメチル-2-プロペニル基、1-シクロペンテニル基、2-シクロペンテニル基、3-シクロペンテニル基、1-ヘキセニル基、2-ヘキセニル基、3-ヘキセニル基、4-ヘキセニル基、5-ヘキセニル基、1-メチル-1-ペンテニル基、1-メチル-2-ペンテニル基、1-メチル-3-ペンテニル基、1-メチル-4-ペンテニル基、1-n-ブチルエテニル基、2-メチル-1-ペンテニル基、2-メチル-2-ペンテニル基、2-メチル-3-ペンテニル基、2-メチル-4-ペンテニル基、2-n-プロピル-2-プロペニル基、3-メチル-1-ペンテニル基、3-メチル-2-ペンテニル基、3-メチル-3-ペンテニル基、3-メチル-4-ペンテニル基、3-エチル-3-ブテニル基、4-メチル-1-ペンテニル基、4-メチル-2-ペンテニル基、4-メチル-3-ペンテニル基、4-メチル-4-ペンテニル基、1,1-ジメチル-2-ブテニル基、1,1-ジメチル-3-ブテニル基、1,2-ジメチル-1-ブテニル基、1,2-ジメチル-2-ブテニル基、1,2-ジメチル-3-ブテニル基、1-メチル-2-エチル-2-プロペニル基、1-s-ブチルエテニル基、1,3-ジメチル-1-ブテニル基、1,3-ジメチル-2-ブテニル基、1,3-ジメチル-3-ブテニル基、1-i-ブチルエテニル基、2,2-ジメチル-3-ブテニル基、2,3-ジメチル-1-ブテニル基、2,3-ジメチル-2-ブテニル基、2,3-ジメチル-3-ブテニル基、2-i-プロピル-2-プロペニル基、3,3-ジメチル-1-ブテニル基、1-エチル-1-ブテニル基、1-エチル-2-ブテニル基、1-エチル-3-ブテニル基、1-n-プロピル-1-プロペニル基、1-n-プロピル-2-プロペニル基、2-エチル-1-ブテニル基、2-エチル-2-ブテニル基、2-エチル-3-ブテニル基、1,1,2-トリメチル-2-プロペニル基、1-t-ブチルエテニル基、1-メチル-1-エチル-2-プロペニル基、1-エチル-2-メチル-1-プロペニル基、1-エチル-2-メチル-2-プロペニル基、1-i-プロピル-1-プロペニル基、1-i-プロピル-2-プロペニル基、1-メチル-2-シクロペンテニル基、1-メチル-3-シクロペンテニル基、2-メチル-1-シクロペンテニル基、2-メチル-2-シクロペンテニル基、2-メチル-3-シクロペンテニル基、2-メチル-4-シクロペンテニル基、2-メチル-5-シクロペンテニル基、2-メチレン-シクロペンチル基、3-メチル-1-シクロペンテニル基、3-メチル-2-シクロペンテニル基、3-メチル-3-シクロペンテニル基、3-メチル-4-シクロペンテニル基、3-メチル-5-シクロペンテニル基、3-メチレン-シクロペンチル基、1-シクロヘキセニル基、2-シクロヘキセニル基及び3-シクロヘキセニル基等が挙げられ、またビシクロへプテニル基(ノルボルニル基)等の架橋環式のアルケニル基も挙げることができる。
 また、前述のアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、及びアルケニル基における置換基としては、例えば、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アリールオキシ基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、アルコキシ基、アラルキルオキシ基等が挙げられ、これらの具体例及びそれらの好適な炭素数としては、前述又は後述のものと同じものが挙げられる。
 また置換基において挙げたアリールオキシ基は、アリール基が酸素原子(-O-)を介して結合する基であり、このようなアリール基の具体例としては前述したものと同じものが挙げられる。アリールオキシ基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下であり、その具体例としては、フェノキシ基、ナフタレン-2-イルオキシ基等が挙げられるが、これらに限定されない。
 また、置換基が2以上存在する場合、置換基同士が結合して環を形成してもよい。
 エポキシ基を有する有機基としては、グリシドキシメチル基、グリシドキシエチル基、グリシドキシプロピル基、グリシドキシブチル基、エポキシシクロヘキシル基等が挙げられる。
 アクリロイル基を有する有機基としては、アクリロイルオキシメチル基、アクリロイルオキシエチル基、アクリロイルオキシプロピル基等が挙げられる。
 メタクリロイル基を有する有機基としては、メタクリロイルオキシメチル基、メタクリロイルオキシエチル基、メタクリロイルオキシプロピル基等が挙げられる。
 メルカプト基を有する有機基としては、メルカプトエチル基、メルカプトブチル基、メルカプトヘキシル基、メルカプトオクチル基、メルカプトフェニル基等が挙げられる。
 アミノ基を有する有機基としては、アミノ基、アミノメチル基、アミノエチル基、アミノフェニル基、ジメチルアミノエチル基、ジメチルアミノプロピル基等が挙げられるがこれらに限定されない。アミノ基を有する有機基については、更に詳細について後述する。
 アルコキシ基を有する有機基としては、例えばメトキシメチル基、メトキシエチル基が挙げられるがこれらに限定されない。ただし、アルコキシ基が直接ケイ素原子に結合する基は除かれる。
 スルホニル基を有する有機基としては、例えばスルホニルアルキル基や、スルホニルアリール基が挙げられるがこれらに限定されない。
 シアノ基を有する有機基としては、シアノエチル基、シアノプロピル基、シアノフェニル基、チオシアネート基等が挙げられる。
 アミノ基を有する有機基としては、第1級アミノ基、第2級アミノ基、及び第3級アミノ基の少なくともいずれかを有する有機基が挙げられる。第3級アミノ基を有する加水分解性シランを強酸で加水分解して第3級アンモニウム基を有する対カチオンとする加水分解縮合物が好ましく用いることができる。また有機基はアミノ基を構成する窒素原子以外に、酸素原子、イオウ原子等のヘテロ原子を含有することができる。
 アミノ基を有する有機基は、好ましい一例としては、下記式(A1)で表される基が挙げられる。
Figure JPOXMLDOC01-appb-C000004
 式(A1)中、R101及びR102は、互いに独立して、水素原子又は炭化水素基を表し、Lは、互いに独立して、置換されていてもよいアルキレン基を表す。*は結合手を表す。
 炭化水素基としては、アルキル基、アルケニル基、アリール基等が挙げられるが、これらに限定されない。これらアルキル基、アルケニル基及びアリール基の具体例としては、Rにおいて前述したものと同じものが挙げられる。
 またアルキレン基としては、直鎖状でも分岐鎖状でもいずれでもよく、その炭素数は、通常1~10、好ましくは1~5である。例えば、メチレン基、エチレン基、トリメチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基が挙げられる。
 アミノ基を有する有機基としては、アミノ基、アミノメチル基、アミノエチル基、アミノフェニル基、ジメチルアミノエチル基、ジメチルアミノプロピル基等が挙げられるがこれらに限定されない。
<<<R>>>
 Rにおけるアルコキシ基としては、例えば、Rの説明で例示したアルコキシ基が挙げられる。
 Rにおけるハロゲン原子としては、例えば、Rの説明で例示したハロゲン原子が挙げられる。
 アラルキルオキシ基は、アラルキルアルコールのヒドロキシ基から水素原子を取り除いて誘導される1価の基であり、アラルキルオキシ基におけるアラルキル基の具体例としては、前述したものと同じものが挙げられる。
 アラルキルオキシ基の炭素数は特に限定されるものではないが、例えば40以下、好ましくは30以下、より好ましくは20以下とすることができる。
 アラルキルオキシ基の具体例としては、フェニルメチルオキシ基(ベンジルオキシ基)、2-フェニルエチレンオキシ基、3-フェニル-n-プロピルオキシ基、4-フェニル-n-ブチルオキシ基、5-フェニル-n-ペンチルオキシ基、6-フェニル-n-ヘキシルオキシ基、7-フェニル-n-ヘプチルオキシ基、8-フェニル-n-オクチルオキシ基、9-フェニル-n-ノニルオキシ基、10-フェニル-n-デシルオキシ基等が挙げられるが、これらに限定されない。
 アシルオキシ基は、カルボン酸化合物のカルボキシル基(-COOH)から水素原子を取り除いて誘導される1価の基であり、典型的には、アルキルカルボン酸、アリールカルボン酸又はアラルキルカルボン酸のカルボキシル基から水素原子を取り除いて誘導されるアルキルカルボニルオキシ基、アリールカルボニルオキシ基又はアラルキルカルボニルオキシ基が挙げられるが、これらに限定されない。このようなアルキルカルボン酸、アリールカルボン酸及びアラルキルカルボン酸におけるアルキル基、アリール基及びアラルキル基の具体例としては、前述したものと同じものが挙げられる。
 アシルオキシ基の具体例としては、炭素数2~20のアシルオキシ基が挙げられ、例えばメチルカルボニルオキシ基、エチルカルボニルオキシ基、n-プロピルカルボニルオキシ基、i-プロピルカルボニルオキシ基、n-ブチルカルボニルオキシ基、i-ブチルカルボニルオキシ基、s-ブチルカルボニルオキシ基、t-ブチルカルボニルオキシ基、n-ペンチルカルボニルオキシ基、1-メチル-n-ブチルカルボニルオキシ基、2-メチル-n-ブチルカルボニルオキシ基、3-メチル-n-ブチルカルボニルオキシ基、1,1-ジメチル-n-プロピルカルボニルオキシ基、1,2-ジメチル-n-プロピルカルボニルオキシ基、2,2-ジメチル-n-プロピルカルボニルオキシ基、1-エチル-n-プロピルカルボニルオキシ基、n-ヘキシルカルボニルオキシ基、1-メチル-n-ペンチルカルボニルオキシ基、2-メチル-n-ペンチルカルボニルオキシ基、3-メチル-n-ペンチルカルボニルオキシ基、4-メチル-n-ペンチルカルボニルオキシ基、1,1-ジメチル-n-ブチルカルボニルオキシ基、1,2-ジメチル-n-ブチルカルボニルオキシ基、1,3-ジメチル-n-ブチルカルボニルオキシ基、2,2-ジメチル-n-ブチルカルボニルオキシ基、2,3-ジメチル-n-ブチルカルボニルオキシ基、3,3-ジメチル-n-ブチルカルボニルオキシ基、1-エチル-n-ブチルカルボニルオキシ基、2-エチル-n-ブチルカルボニルオキシ基、1,1,2-トリメチル-n-プロピルカルボニルオキシ基、1,2,2-トリメチル-n-プロピルカルボニルオキシ基、1-エチル-1-メチル-n-プロピルカルボニルオキシ基、1-エチル-2-メチル-n-プロピルカルボニルオキシ基、フェニルカルボニルオキシ基、及びトシルカルボニルオキシ基等が挙げられる。
<<<式(1)で表される加水分解性シランの具体例>>>
 式(1)で表される加水分解性シランの具体例としては、テトラメトキシシラン、テトラクロロシラン、テトラアセトキシシラン、テトラエトキシシラン、テトラ-n-プロポキシシラン、テトラ-i-プロポキシシラン、テトラ-n-ブトキシシラン、メチルトリメトキシシラン、メチルトリクロロシラン、メチルトリアセトキシシラン、メチルトリエトキシシラン、メチルトリプロポキシシラン、メチルトリブトキシシラン、メチルトリアミロキシシラン、メチルトリフェノキシシラン、メチルトリベンジルオキシシラン、メチルトリフェネチルオキシシラン、グリシドキシメチルトリメトキシシラン、グリシドキシメチルトリエトキシシラン、α-グリシドキシエチルトリメトキシシラン、α-グリシドキシエチルトリエトキシシラン、β-グリシドキシエチルトリメトキシシラン、β-グリシドキシエチルトリエトキシシラン、α-グリシドキシプロピルトリメトキシシラン、α-グリシドキシプロピルトリエトキシシラン、β-グリシドキシプロピルトリメトキシシラン、β-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン、γ-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリプロポキシシラン、γ-グリシドキシプロピルトリブトキシシラン、γ-グリシドキシプロピルトリフェノキシシラン、α-グリシドキシブチルトリメトキシシラン、α-グリシドキシブチルトリエトキシシラン、β-グリシドキシブチルトリエトキシシラン、γ-グリシドキシブチルトリメトキシシラン、γ-グリシドキシブチルトリエトキシシラン、δ-グリシドキシブチルトリメトキシシラン、δ-グリシドキシブチルトリエトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリメトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリプロポキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリブトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリフェノキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリメトキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリエトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリメトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリエトキシシラン、グリシドキシメチルメチルジメトキシシラン、グリシドキシメチルメチルジエトキシシラン、α-グリシドキシエチルメチルジメトキシシラン、α-グリシドキシエチルメチルジエトキシシラン、β-グリシドキシエチルメチルジメトキシシラン、β-グリシドキシエチルエチルジメトキシシラン、α-グリシドキシプロピルメチルジメトキシシラン、α-グリシドキシプロピルメチルジエトキシシラン、β-グリシドキシプロピルメチルジメトキシシラン、β-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルメチルジメトキシシラン、γ-グリシドキシプロピルメチルジエトキシシラン、γ-グリシドキシプロピルメチルジプロポキシシラン、γ-グリシドキシプロピルメチルジブトキシシラン、γ-グリシドキシプロピルメチルジフェノキシシラン、γ-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルエチルジエトキシシラン、γ-グリシドキシプロピルビニルジメトキシシラン、γ-グリシドキシプロピルビニルジエトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ビニルトリメトキシシラン、ビニルトリエトキシシラン、ビニルトリクロロシラン、ビニルトリアセトキシシラン、メチルビニルジメトキシシラン、メチルビニルジエトキシシラン、メチルビニルジクロロシラン、メチルビニルジアセトキシシラン、ジメチルビニルメトキシシラン、ジメチルビニルエトキシシラン、ジメチルビニルクロロシラン、ジメチルビニルアセトキシシラン、ジビニルジメトキシシラン、ジビニルジエトキシシラン、ジビニルジクロロシラン、ジビニルジアセトキシシラン、γ-グリシドキシプロピルビニルジメトキシシラン、γ-グリシドキシプロピルビニルジエトキシシラン、アリルトリメトキシシラン、アリルトリエトキシシラン、アリルトリクロロシラン、アリルトリアセトキシシシラン、アリルメチルジメトキシシラン、アリルメチルジエトキシシラン、アリルメチルジクロロシラン、アリルメチルジアセトキシシラン、アリルジメチルメトキシシラン、アリルジメチルエトキシシラン、アリルジメチルクロロシラン、アリルジメチルアセトキシシラン、ジアリルジメトキシシラン、ジアリルジエトキシシラン、ジアリルジクロロシラン、ジアリルジアセトキシシラン、3-アリルアミノプロピルトリメトキシシラン、3-アリルアミノプロピルトリエトキシシラン、p-スチリルトリメトキシシラン、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリクロロシラン、フェニルトリアセトキシシラン、フェニルメチルジメトキシシラン、フェニルメチルジエトキシシラン、フェニルメチルジクロロシラン、フェニルメチルジアセトキシシラン、フェニルジメチルメトキシシラン、フェニルジメチルエトキシシラン、フェニルジメチルクロロシラン、フェニルジメチルアセトキシシラン、ジフェニルメチルメトキシシラン、ジフェニルメチルエトキシシラン、ジフェニルメチルクロロシラン、ジフェニルメチルアセトキシシラン、ジフェニルジメトキシシラン、ジフェニルジエトキシシラン、ジフェニルジクロロシラン、ジフェニルジアセトキシシラン、トリフェニルメトキシシシラン、トリフェニルエトキシシラン、トリフェニルアセトキシシラン、トリフェニルクロロシラン、3-フェニルアミノプロピルトリメトキシシラン、3-フェニルアミノプロピルトリエトキシシラン、ジメトキシメチル-3-(3-フェノキシプロピルチオプロピル)シラン、トリエトキシ((2-メトキシ-4-(メトキシメチル)フェノキシ)メチル)シラン、ベンジルトリメトキシシラン、ベンジルトリエトキシシラン、ベンジルメチルジメトキシシラン、ベンジルメチルジエトキシシラン、ベンジルジメチルメトキシシラン、ベンジルジメチルエトキシシラン、ベンジルジメチルクロロシラン、フェネチルトリメトキシシラン、フェネチルトリエトキシシラン、フェネチルトリクロロシラン、フェネチルトリアセトキシシラン、フェネチルメチルジメトキシシラン、フェネチルメチルジエトキシシラン、フェネチルメチルジクロロシラン、フェネチルメチルジアセトキシシラン、メトキシフェニルトリメトキシシラン、メトキシフェニルトリエトキシシラン、メトキシフェニルトリアセトキシシラン、メトキシフェニルトリクロロシラン、メトキシベンジルトリメトキシシラン、メトキシベンジルトリエトキシシラン、メトキシベンジルトリアセトキシシラン、メトキシベンジルトリクロロシラン、メトキシフェネチルトリメトキシシラン、メトキシフェネチルトリエトキシシラン、メトキシフェネチルトリアセトキシシラン、メトキシフェネチルトリクロロシラン、エトキシフェニルトリメトキシシラン、エトキシフェニルトリエトキシシラン、エトキシフェニルトリアセトキシシラン、エトキシフェニルトリクロロシラン、エトキシベンジルトリメトキシシラン、エトキシベンジルトリエトキシシラン、エトキシベンジルトリアセトキシシラン、エトキシベンジルトリクロロシラン、i-プロポキシフェニルトリメトキシシラン、i-プロポキシフェニルトリエトキシシラン、i-プロポキシフェニルトリアセトキシシラン、i-プロポキシフェニルトリクロロシラン、i-プロポキシベンジルトリメトキシシラン、i-プロポキシベンジルトリエトキシシラン、i-プロポキシベンジルトリアセトキシシラン、i-プロポキシベンジルトリクロロシラン、t-ブトキシフェニルトリメトキシシラン、t-ブトキシフェニルトリエトキシシラン、t-ブトキシフェニルトリアセトキシシラン、t-ブトキシフェニルトリクロロシラン、t-ブトキシベンジルトリメトキシシラン、t-ブトキシベンジルトリエトキシシラン、t-ブトキシベンジルトリアセトキシシラン、t-ブトキシシベンジルトリクロロシラン、メトキシナフチルトリメトキシシラン、メトキシナフチルトリエトキシシラン、メトキシナフチルトリアセトキシシラン、メトキシナフチルトリクロロシラン、エトキシナフチルトリメトキシシラン、エトキシナフチルトリエトキシシラン、エトキシナフチルトリアセトキシシラン、エトキシナフチルトリクロロシラン、γ-クロロプロピルトリメトキシシラン、γ-クロロプロピルトリエトキシシラン、γ-クロロプロピルトリアセトキシシラン、3,3,3-トリフルオロプロピルトリメトキシシラン、γ-メタクリルオキシプロピルトリメトキシシラン、γ-メルカプトプロピルトリメトキシシラン、γ-メルカプトプロピルトリエトキシシラン、β-シアノエチルトリエトキシシラン、チオシアネートプロピルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロメチルトリエトキシシラン、トリエトキシシリルプロピルジアリルイソシアヌレート、ビシクロ[2,2,1]ヘプテニルトリエトキシシラン、ベンゼンスルホニルプロピルトリエトキシシラン、ベンゼンスルホンアミドプロピルトリエトキシシラン、ジメチルアミノプロピルトリメトキシシラン、ジメチルジメトキシシラン、フェニルメチルジメトキシシラン、ジメチルジエトキシシラン、フェニルメチルジエトキシシラン、γ-クロロプロピルメチルジメトキシシラン、γ-クロロプロピルメチルジエトキシシラン、ジメチルジアセトキシシラン、γ-メタクリルオキシプロピルメチルジメトキシシラン、γ-メタクリルオキシプロピルメチルジエトキシシラン、γ-メルカプトプロピルメチルジメトキシシラン、γ-メルカプトメチルジエトキシシラン、メチルビニルジメトキシシラン、メチルビニルジエトキシシランや、下記式(A-1)~(A-41)で表されるシラン、下記式(1-1)~(1-290)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000008
Figure JPOXMLDOC01-appb-C000009
Figure JPOXMLDOC01-appb-C000010
Figure JPOXMLDOC01-appb-C000011
Figure JPOXMLDOC01-appb-C000012
Figure JPOXMLDOC01-appb-C000013
Figure JPOXMLDOC01-appb-C000014
Figure JPOXMLDOC01-appb-C000015
Figure JPOXMLDOC01-appb-C000016
Figure JPOXMLDOC01-appb-C000017
Figure JPOXMLDOC01-appb-C000018
Figure JPOXMLDOC01-appb-C000019
Figure JPOXMLDOC01-appb-C000020
Figure JPOXMLDOC01-appb-C000021
Figure JPOXMLDOC01-appb-C000022
Figure JPOXMLDOC01-appb-C000023
Figure JPOXMLDOC01-appb-C000024
Figure JPOXMLDOC01-appb-C000025
Figure JPOXMLDOC01-appb-C000026
Figure JPOXMLDOC01-appb-C000027
Figure JPOXMLDOC01-appb-C000028
Figure JPOXMLDOC01-appb-C000029
Figure JPOXMLDOC01-appb-C000030
Figure JPOXMLDOC01-appb-C000031
Figure JPOXMLDOC01-appb-C000032
Figure JPOXMLDOC01-appb-C000033
 
Figure JPOXMLDOC01-appb-C000035
Figure JPOXMLDOC01-appb-C000036
Figure JPOXMLDOC01-appb-C000037
Figure JPOXMLDOC01-appb-C000038
Figure JPOXMLDOC01-appb-C000039
Figure JPOXMLDOC01-appb-C000040
Figure JPOXMLDOC01-appb-C000041
Figure JPOXMLDOC01-appb-C000042
Figure JPOXMLDOC01-appb-C000043
Figure JPOXMLDOC01-appb-C000044
Figure JPOXMLDOC01-appb-C000045
Figure JPOXMLDOC01-appb-C000046
Figure JPOXMLDOC01-appb-C000048
Figure JPOXMLDOC01-appb-C000052
Figure JPOXMLDOC01-appb-C000053
Figure JPOXMLDOC01-appb-C000054
 式(1-1)~(1-290)中、Tは、互いに独立して、アルコキシ基、アシルオキシ基、又はハロゲン基を表し、例えば、好ましくはメトキシ基又はエトキシ基を表す。
 また[A]ポリシロキサンとして、式(1)で表される加水分解性シランとともに、あるいは式(1)で表される加水分解性シランの代わりに、下記式(2)で表される加水分解性シランを含む、加水分解性シランの加水分解縮合物を挙げることができる。
<式(2)>
 式(2)中、Rは、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基を有する有機基、アクリロイル基を有する有機基、メタクリロイル基を有する有機基、メルカプト基を有する有機基、アミノ基を有する有機基、アルコキシ基を有する有機基、スルホニル基を有する有機基、若しくはシアノ基を有する有機基、又はそれらの2種以上の組み合わせを表す。
 またRは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 Rは、ケイ素原子に結合する基であって、互いに独立して、アルキレン基又はアリーレン基を表す。
 bは、0又は1を表し、cは、0又は1を表す。
 Rにおける各基の具体例、及びそれらの好適な炭素数としては、Rについて前述した基及び炭素数を挙げることができる。
 Rにおける各基及び原子の具体例、及びそれらの好適な炭素数としては、Rについて前述した基及び原子並びに炭素数を挙げることができる。
 Rにおけるアルキレン基の具体例としては、メチレン基、エチレン基、トリメチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、1-メチルトリメチレン基、2-メチルトリメチレン基、1,1-ジメチルエチレン基、1-メチルテトラメチレン基、2-メチルテトラメチレン基、1,1-ジメチルトリメチレン基、1,2-ジメチルトリメチレン基、2,2-ジメチルトリメチレン基、1-エチルトリメチレン基等の分岐鎖状アルキレン基等のアルキレン基、メタントリイル基、エタン-1,1,2-トリイル基、エタン-1,2,2-トリイル基、エタン-2,2,2-トリイル基、プロパン-1,1,1-トリイル基、プロパン-1,1,2-トリイル基、プロパン-1,2,3-トリイル基、プロパン-1,2,2-トリイル基、プロパン-1,1,3-トリイル基、ブタン-1,1,1-トリイル基、ブタン-1,1,2-トリイル基、ブタン-1,1,3-トリイル基、ブタン-1,2,3-トリイル基、ブタン-1,2,4-トリイル基、ブタン-1,2,2-トリイル基、ブタン-2,2,3-トリイル基、2-メチルプロパン-1,1,1-トリイル基、2-メチルプロパン-1,1,2-トリイル基、2-メチルプロパン-1,1,3-トリイル基のアルカントリイル基等が挙げられるが、これらに限定されない。
 Rにおけるアリーレン基の具体例としては、1,2-フェニレン基、1,3-フェニレン基、1,4-フェニレン基;1,5-ナフタレンジイル基、1,8-ナフタレンジイル基、2,6-ナフタレンジイル基、2,7-ナフタレンジイル基、1,2-アントラセンジイル基、1,3-アントラセンジイル基、1,4-アントラセンジイル基、1,5-アントラセンジイル基、1,6-アントラセンジイル基、1,7-アントラセンジイル基、1,8-アントラセンジイル基、2,3-アントラセンジイル基、2,6-アントラセンジイル基、2,7-アントラセンジイル基、2,9-アントラセンジイル基、2,10-アントラセンジイル基、9,10-アントラセンジイル基等の縮合環芳香族炭化水素化合物の芳香環上の水素原子を二つ取り除いて誘導される基;4,4’-ビフェニルジイル基、4,4’’-パラテルフェニルジイル基の環連結芳香族炭化水素化合物の芳香環上の水素原子を二つ取り除いて誘導される基等が挙げられるが、これらに限定されない。
 bは、好ましく0である。
 cは、好ましくは1である。
 式(2)で表される加水分解性シランの具体例としては、メチレンビストリメトキシシラン、メチレンビストリクロロシラン、メチレンビストリアセトキシシラン、エチレンビストリエトキシシラン、エチレンビストリクロロシラン、エチレンビストリアセトキシシラン、プロピレンビストリエトキシシラン、ブチレンビストリメトキシシラン、フェニレンビストリメトキシシラン、フェニレンビストリエトキシシラン、フェニレンビスメチルジエトキシシラン、フェニレンビスメチルジメトキシシラン、ナフチレンビストリメトキシシラン、ビストリメトキシジシラン、ビストリエトキシジシラン、ビスエチルジエトキシジシラン、ビスメチルジメトキシジシラン等が挙げられるが、これらに限定されない。
 また[A]ポリシロキサンとして、式(1)で表される加水分解性シラン及び/又は式(2)で表される加水分解性シランとともに、下記に挙げるその他の加水分解性シランを含む、加水分解性シランの加水分解縮合物を挙げることができる。
 その他の加水分解性シランとして、オニウム基を分子内に有するシラン化合物、スルホン基を有するシラン化合物、スルホンアミド基を有するシラン化合物、環状尿素骨格を分子内に有するシラン化合物等が挙げられるが、これらに限定されない。
<<オニウム基を分子内に有するシラン化合物(加水分解性オルガノシラン)>>
 オニウム基を分子内に有するシラン化合物は、加水分解性シランの架橋反応を効果的に且つ効率的に促進できることが期待される。
 オニウム基を分子内に有するシラン化合物の好適な一例は、式(3)で表される。
 R11は、ケイ素原子に結合する基であって、オニウム基又はそれを有する有機基を表す。
 R12は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基を有する有機基、アクリロイル基を有する有機基、メタクリロイル基を有する有機基、メルカプト基を有する有機基、アミノ基を有する有機基、若しくはシアノ基を有する有機基、又はそれらの2種以上の組み合わせを表す。
 R13は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 fは1又は2を表し、gは0又は1を表し、1≦f+g≦2を満たす。
 アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、並びに、エポキシ基を有する有機基、アクリロイル基を有する有機基、メタクリロイル基を有する有機基、メルカプト基を有する有機基、アミノ基を有する有機基及びシアノ基を有する有機基、アルコキシ基、アラルキルオキシ基、アシルオキシ基、ハロゲン原子の具体例、またアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基及びアルケニル基の置換基の具体例、及びそれらの好適な炭素数としては、R12については、Rに関して前述したものを、R13については、Rに関して前述したものをそれぞれ挙げることができる。
 より詳述すれば、オニウム基の具体例としては、環状アンモニウム基又は鎖状アンモニウム基が挙げられ、第3級アンモニウム基又は第4級アンモニウム基が好ましい。
 すなわち、オニウム基又はそれを有する有機基の好適な具体例としては、環状アンモニウム基若しくは鎖状アンモニウム基又はこれらの少なくとも一方を有する有機基が挙げられ、第3級アンモニウム基若しくは第4級アンモニウム基又はこれらの少なくとも一方を有する有機基が好ましい。
 なお、オニウム基が環状アンモニウム基である場合、アンモニウム基を構成する窒素原子が環を構成する原子を兼ねる。この際、環を構成する窒素原子とシリコン原子とが直接又は2価の連結基を介して結合している場合と、環を構成する炭素原子とシリコン原子が直接に又は2価の連結基を介して結合している場合とがある。
 好適な態様の一例においては、ケイ素原子に結合する基であるR11は、下記式(S1)で表されるヘテロ芳香族環状アンモニウム基である。
 式(S1)中、A、A、A及びAは、互いに独立して、下記式(J1)~式(J3)のいずれかで表される基を表すが、A~Aのうち少なくとも1つは、下記式(J2)で表される基であり、式(3)におけるケイ素原子がA~Aのいずれと結合するかに応じて、構成される環が芳香族性を示すように、A~Aそれぞれと、それら各々に隣接し共に環を構成する原子との間の結合が、単結合であるか、二重結合であるかが定まる。*は結合手を表す。
 式(J1)~式(J3)中、R10は、互いに独立して、単結合、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素数としては、前述と同じものが挙げられる。*は結合手を表す。
 式(S1)中、R14は、互いに独立して、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基又はヒドロキシ基を表し、R14が2つ以上存在する場合、2つのR14は、互いに結合して環を形成していてもよく、2つのR14が形成する環は架橋環構造であってもよく、このような場合においては、環状アンモニウム基は、アダマンタン環、ノルボルネン環、スピロ環等を有することとなる。
 このようなアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素数としては、前述と同じものが挙げられる。
 式(S1)中、nは、1~8の整数であり、mは、0又は1であり、mは、0又は1から単環若しくは多環に置換可能な最大数までの正の整数である。
 mが0である場合、A~Aを含む(4+n)員環が構成される。すなわち、nが1であるときは5員環、nが2であるときは6員環、nが3であるときは7員環、nが4であるときは8員環、nが5であるときは9員環、nが6であるときは10員環、nが7であるときは11員環、nが8であるときは12員環が、それぞれ構成される。
 mが1である場合、A~Aを含む(4+n)員環とAを含む6員環とが縮合した縮合環が形成される。
 A~Aは、式(J1)~式(J3)のいずれであるか次第で、環を構成する原子上に水素原子を有する場合と、水素原子を有さない場合があるが、A~Aが、環を構成する原子上に水素原子を有する場合、その水素原子は、R14に置き換わっていてもよい。また、A~A中の環構成原子以外の環構成原子に、R14が置換していてもよい。このような事情から、前述の通り、mは、0又は1から単環若しくは多環に置換可能な最大数までの整数から選択される。
 式(S1)で表されるヘテロ芳香族環状アンモニウム基の結合手は、このような単環又は縮合環に存在する任意の炭素原子又は窒素原子に存在し、ケイ素原子と直接結合するか、又は連結基が結合して環状アンモニウムを有する有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基、アルケニレン基等が挙げられるが、これらに限定されない。
 アルキレン基及びアリーレン基の具体例及びそれらの好適な炭素数としては、前述したものと同じものが挙げられる。
 またアルケニレン基は、アルケニル基の水素原子を更に1つ取り除いて誘導される2価の基であり、このようなアルケニル基の具体例としては、前述したものと同じものが挙げられる。アルケニレン基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 その具体例としては、ビニレン、1-メチルビニレン、プロペニレン、1-ブテニレン、2-ブテニレン、1-ペンテニレン、2-ペンテニレン基等が挙げられるが、これらに限定されない。
 式(S1)で表されるヘテロ芳香族環状アンモニウム基を有する式(3)で表されるシラン化合物(加水分解性オルガノシラン)の具体例として、下記式(I-1)~(I-50)で表されるシラン等が挙げられるが、これらに限定されない。
 またその他の一例において、式(3)中のケイ素原子に結合する基であるR11は、下記式(S2)で表されるヘテロ脂肪族環状アンモニウム基とすることができる。
 式(S2)中、A、A、A及びAは、互いに独立して、下記式(J4)~式(J6)のいずれかで表される基を表すが、A~Aのうち少なくとも1つは、下記式(J5)で表される基である。式(3)におけるケイ素原子がA~Aのいずれと結合するかに応じて、構成される環が非芳香族性を示すように、A~Aそれぞれと、それら各々に隣接し共に環を構成する原子との結合が、単結合であるか、二重結合であるかが定まる。*は結合手を表す。
 式(J4)~式(J6)中、R10は、互いに独立して、単結合、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素数としては、前述したものと同じものが挙げられる。*は結合手を表す。
 式(S2)中、R15は、互いに独立して、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基又はヒドロキシ基を表し、R15が2つ以上存在する場合、2つのR15は、互いに結合して環を形成していてもよく、2つのR15が形成する環は架橋環構造であってもよく、このような場合においては、環状アンモニウム基は、アダマンタン環、ノルボルネン環、スピロ環等を有することとなる。
 アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素数としては、前述したものと同じものが挙げられる。
 式(S2)中、nは、1~8の整数であり、mは、0又は1であり、mは、0又は1から単環若しくは多環に置換可能な最大数までの正の整数である。
 mが0である場合、A~Aを含む(4+n)員環が構成される。すなわち、nが1であるときは5員環、nが2であるときは6員環、nが3であるときは7員環、nが4であるときは8員環、nが5であるときは9員環、nが6であるときは10員環、nが7であるときは11員環、nが8であるときは12員環が、それぞれ構成される。
 mが1である場合、A~Aを含む(4+n)員環とAを含む6員環とが縮合した縮合環が形成される。
 A~Aは、式(J4)~式(J6)のいずれであるか次第で、環を構成する原子上に水素原子を有する場合と、水素原子を有さない場合があるが、A~Aが、環を構成する原子上に水素原子を有する場合、その水素原子は、R15に置き換わっていてもよい。また、A~A中の環構成原子以外の環構成原子に、R15が置換していてもよい。
 このような事情から、前述の通り、mは、0又は1から単環若しくは多環に置換可能な最大数までの整数から選択される。
 式(S2)で表されるヘテロ脂肪族環状アンモニウム基の結合手は、このような単環又は縮合環に存在する任意の炭素原子又は窒素原子に存在し、ケイ素原子と直接結合するか、又は連結基が結合して環状アンモニウムを有する有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基又はアルケニレン基が挙げられ、アルキレン基、アリーレン基及びアルケニレン基の具体例及びそれらの好適な炭素数としては、前述と同じものが挙げられる。
 式(S2)で表されるヘテロ脂肪族環状アンモニウム基を有する式(3)で表されるシラン化合物(加水分解性オルガノシラン)の具体例として、下記式(II-1)~式(II-30)で表されるシラン等が挙げられるが、これらに限定されない。
 さらにその他の一例において、式(3)中のケイ素原子に結合する基であるR11は、下記式(S3)で表される鎖状アンモニウム基とすることができる。
 式(S3)中、R10は、互いに独立して、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素数としては、前述したものと同じものが挙げられる。*は結合手を表す。
 式(S3)で表される鎖状アンモニウム基は、ケイ素原子と直接結合するか、又は連結基が結合して鎖状アンモニウム基を有する有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基又はアルケニレン基が挙げられ、アルキレン基、アリーレン基及びアルケニレン基の具体例としては、前述と同じものが挙げられる。
 式(S3)で表される鎖状アンモニウム基を有する式(3)で表されるシラン化合物(加水分解性オルガノシラン)の具体例として、下記式(III-1)~式(III-28)で表されるシラン等が挙げられるが、これらに限定されない。
<<スルホン基又はスルホンアミド基を有するシラン化合物(加水分解性オルガノシラン)>>
 スルホン基を有するシラン化合物、及びスルホンアミド基を有するシラン化合物としては、例えば下記式(B-1)~式(B-36)で表される化合物が挙げられるが、これらに限定されない。
 下記式中、Meはメチル基を、Etはエチル基をそれぞれ表す。
<<環状尿素骨格を分子内に有するシラン化合物(加水分解性オルガノシラン)>>
 環状尿素骨格を分子内に有する加水分解性オルガノシランとして、例えば下記式(4-1)で表される加水分解性オルガノシランが挙げられる。
Figure JPOXMLDOC01-appb-C000072
 式(4-1)中、R401は、ケイ素原子に結合する基であり、互いに独立して、下記式(4-2)で表される基を表す。
 R402は、ケイ素原子に結合する基であり、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基を有する有機基、アクリロイル基を有する有機基、メタクリロイル基を有する有機基、メルカプト基を有する有機基若しくはシアノ基を有する有機基、又はそれらの2種以上の組み合わせを表す。
 R403は、ケイ素原子に結合する基又は原子であり、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表す。
 xは、1又は2であり、yは、0又は1であり、x+y≦2を満たす。
 R402のアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、及び、エポキシ基を有する有機基、アクリロイル基を有する有機基、メタクリロイル基を有する有機基、メルカプト基を有する有機基及びシアノ基を有する有機基、並びに、R403のアルコキシ基、アラルキルオキシ基、アシルオキシ基及びハロゲン原子、並びにこれらの置換基の具体例、好適な炭素数等は、R及びRに関し前述したものと同じものが挙げられる。
Figure JPOXMLDOC01-appb-C000073
 式(4-2)中、R404は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基を有する有機基若しくはスルホニル基を有する有機基を表し、R405は、互いに独立して、アルキレン基、ヒドロキシアルキレン基、スルフィド結合(-S-)、エーテル結合(-O-)又はエステル結合(-CO-O-又は-O-CO-)を表す。*は結合手を表す。
 なお、R404の置換されていてもよいアルキル基、置換されていてもよいアルケニル基及びエポキシ基を有する有機基の具体例及び好適な炭素数等は、Rに関し前述したものと同じものが挙げられるが、これらの他、R404の置換されていてもよいアルキル基としては、末端の水素原子がビニル基で置換されたアルキル基が好ましく、その具体例としては、アリル基、2-ビニルエチル基、3-ビニルプロピル基、4-ビニルブチル基等が挙げられる。
 スルホニル基を有する有機基としては、スルホニル基を含む限り特に限定されるものではなく、置換されていてもよいアルキルスルホニル基、置換されていてもよいアリールスルホニル基、置換されていてもよいアラルキルスルホニル基、置換されていてもよいハロゲン化アルキルスルホニル基、置換されていてもよいハロゲン化アリールスルホニル基、置換されていてもよいハロゲン化アラルキルスルホニル基、置換されていてもよいアルコキシアルキルスルホニル基、置換されていてもよいアルコキシアリールスルホニル基、置換されていてもよいアルコキシアラルキルスルホニル基、置換されていてもよいアルケニルスルホニル基等が挙げられる。
 これらの基におけるアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、及びアルケニル基、並びにそれらの置換基の具体例及び好適な炭素数等は、Rに関し前述したものと同じものが挙げられる。
 アルキレン基は、アルキル基の水素原子を更に一つ取り除いて誘導される2価の基であり、直鎖状、分岐鎖状、及び環状のいずれでもよく、このようなアルキレン基の具体例としては、前述したものと同じものが挙げられる。アルキレン基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 また、R405のアルキレン基は、スルフィド結合、エーテル結合及びエステル結合から選ばれる1種又は2種以上を、その末端又は途中、好ましくは途中に有していてもよい。
 アルキレン基の具体例としては、メチレン基、エチレン基、トリメチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、メチルエチレン基、1-メチルトリメチレン基、2-メチルトリメチレン基、1,1-ジメチルエチレン基、1-メチルテトラメチレン基、2-メチルテトラメチレン基、1,1-ジメチルトリメチレン基、1,2-ジメチルトリメチレン基、2,2-ジメチルトリメチレン基、1-エチルトリメチレン基等の分岐鎖状アルキレン基、1,2-シクロプロパンジイル基、1,2-シクロブタンジイル基、1,3-シクロブチタンジイル基、1,2-シクロヘキサンジイル、1,3-シクロヘキサンジイル基等の環状アルキレン等、-CHOCH-、-CHCHOCH-、-CHCHOCHCH-、-CHCHCHOCHCH-、-CHCHOCHCHCH-、-CHCHCHOCHCHCH-、-CHSCH-、-CHCHSCH-、-CHCHSCHCH-、-CHCHCHSCHCH-、-CHCHSCHCHCH-、-CHCHCHSCHCHCH-、-CHOCHCHSCH-等のエーテル基等を含むアルキレン基が挙げられるが、これらに限定されない。
 ヒドロキシアルキレン基は、前述のアルキレン基の水素原子の少なくとも1つが、ヒドロキシ基に置き換わったものであり、その具体例としては、ヒドロキシメチレン基、1-ヒドロキシエチレン基、2-ヒドロキシエチレン基、1,2-ジヒドロキシエチレン基、1-ヒドロキシトリメチレン基、2-ヒドロキシトリメチレン基、3-ヒドロキシトリメチレン基、1-ヒドロキシテトラメチレン基、2-ヒドロキシテトラメチレン基、3-ヒドロキシテトラメチレン基、4-ヒドロキシテトラメチレン基、1,2-ジヒドロキシテトラメチレン基、1,3-ジヒドロキシテトラメチレン基、1,4-ジヒドロキシテトラメチレン基、2,3-ジヒドロキシテトラメチレン基、2,4-ジヒドロキシテトラメチレン基、4,4-ジヒドロキシテトラメチレン基等が挙げられるが、これらに限定されない。
 式(4-2)中、X401は、互いに独立して、下記式(4-3)~式(4-5)で表される基のいずれかを表すとともに、下記式(4-4)及び式(4-5)におけるケトン基の炭素原子は、式(4-2)におけるR405が結合する窒素原子と結合する。
Figure JPOXMLDOC01-appb-C000074
 式(4-3)~式(4-5)中、R406~R410は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を有する有機基を表す。置換されていてもよいアルキル基、置換されていてもよいアルケニル基及びエポキシ基又はスルホニル基を有する有機基の具体例及び好適な炭素数等は、Rに関し前述したものと同じものが挙げられる。またスルホニル基を有する有機基の具体例及び好適な炭素数等はR404に関し前述したものと同じものが挙げられる。*は結合手を表す。
 中でも、優れたリソグラフィー特性を再現性よく実現する観点から、X401は式(4-5)で表される基が好ましい。
 優れたリソグラフィー特性を再現性良く実現する観点から、R404及びR406~R410の少なくとも1つは、末端の水素原子がビニル基で置換されたアルキル基であることが好ましい。
 式(4-1)で表される加水分解性オルガノシランは、市販品を用いてもよく、国際公開第2011/102470号等に記載の公知方法で合成することもできる。
 以下、式(4-1)で表される加水分解性オルガノシランの具体例として、下記式(4-1-1)~式(4-1-29)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000075
Figure JPOXMLDOC01-appb-C000076
Figure JPOXMLDOC01-appb-C000077
 [A]ポリシロキサンは、本発明の効果を損なわない範囲において、前述の例示以外のその他のシラン化合物を含む加水分解性シランの加水分解縮合物とすることができる。
 前述したように、[A]ポリシロキサンとして、シラノール基の少なくとも一部が変性されている変性ポリシロキサンを用いることができる。例えばシラノール基の一部がアルコール変性されたポリシロキサン変性物又はアセタール保護されたポリシロキサン変性物を用いることができる。
 該変性物であるポリシロキサンは、前述の加水分解性シランの加水分解縮合物において、該縮合物が有するシラノール基の少なくとも一部とアルコールのヒドロキシ基との反応により得られる反応生成物、該縮合物とアルコールとの脱水反応物、また、該縮合物が有するシラノール基の少なくとも一部をアセタール基で保護した変性物等を挙げることができる。
 アルコールとしては1価のアルコールを用いることができ、例えばメタノール、エタノール、2-プロパノール、1-ブタノール、2-ブタノール、イソブチルアルコール、tert-ブチルアルコール、1-ペンタノール、2-ペンタノール、3-ペンタノール、1-ヘプタノール、2-ヘプタノール、tert-アミルアルコール、ネオペンチルアルコール、2-メチル-1-プロパノール、2-メチル-1-ブタノール、3-メチル-1-ブタノール、3-メチル-3-ペンタノール、シクロペンタノール、1-ヘキサノール、2-ヘキサノール、3-ヘキサノール、2,3-ジメチル-2-ブタノール、3,3-ジメチル-1-ブタノール、3,3-ジメチル-2-ブタノール、2-ジエチル-1-ブタノール、2-メチル-1-ペンタノール、2-メチル-2-ペンタノール、2-メチル-3-ペンタノール、3-メチル-1-ペンタノール、3-メチル-2-ペンタノール、3-メチル-3-ペンタノール、4-メチル-1-ペンタノール、4-メチル-2-ペンタノール、4-メチル-3-ペンタノール及びシクロヘキサノールが挙げられる。
 また例えば3-メトキシブタノール、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、プロピレングリコールモノブチルエーテル(1-ブトキシ-2-プロパノール)等のアルコキシ基含有アルコールを用いることができる。
 縮合物が有するシラノール基と、アルコールのヒドロキシ基との反応は、ポリシロキサンとアルコールとを接触させ、温度40~160℃、例えば60℃にて、0.1~48時間、例えば24時間反応させることで、シラノール基がキャッピングされた変性ポリシロキサンが得られる。この時、キャッピング剤のアルコールは、ポリシロキサンを含有する組成物において溶媒として使用することができる。
 また加水分解性シランの加水分解縮合物からなるポリシロキサンとアルコールとの脱水反応物は、触媒である酸の存在下、ポリシロキサンをアルコールと反応させ、シラノール基をアルコールにてキャッピングし、脱水により生じた生成水を、反応系外に除去することにより製造することができる。
 酸は、酸解離定数(pka)が-1~5、好ましくは4~5である有機酸を用いることができる。例えば、酸は、トリフルオロ酢酸、マレイン酸、安息香酸、イソ酪酸、酢酸等、中でも安息香酸、イソ酪酸、酢酸等を例示することができる。
 また、酸は、70~160℃の沸点を有する酸を用いることができ、例えば、トリフルオロ酢酸、イソ酪酸、酢酸、硝酸等が挙げられる。
 このように酸としては、酸解離定数(pka)が4~5であるか、又は沸点が70~160℃であるか、いずれかの物性を有するものが好ましい。即ち、酸性度が弱いものか、又は酸性度は強くても沸点が低いものを用いることができる。
 そして、酸としては酸解離定数、沸点の性質からいずれの性質を利用することも可能である。
 縮合物が有するシラノール基のアセタール保護はビニルエーテルを用いて、例えば下記式(5)で表されるビニルエーテルを用いることができ、これらの反応により下記式(6)で表される部分構造をポリシロキサンに導入することができる。
 式(5)中、R1a、R2a、及びR3aはそれぞれ水素原子、又は炭素数1~10のアルキル基を表し、R4aは炭素数1~10のアルキル基を表し、R2aとR4aは互いに結合して環を形成していてもよい。アルキル基は前述の例示を挙げることができる。
Figure JPOXMLDOC01-appb-C000079
 式(6)中、R’、R’、及びR’はそれぞれ水素原子、又は炭素数1~10のアルキル基を表し、R’は炭素数1~10のアルキル基を示し、R’とR’は互いに結合して環を形成していてもよい。式(6)において*は隣接原子との結合を示す。隣接原子は例えばシロキサン結合の酸素原子や、シラノール基の酸素原子や、式(1)のRに由来する炭素原子が挙げられる。アルキル基は前述の例示を挙げることができる。
 式(5)で表されるビニルエーテルとしては、例えばメチルビニルエーテル、エチルビニルエーテル、イソプロピルビニルエーテル、ノルマルブチルビニルエーテル、2-エチルヘキシルビニルエーテル、tert-ブチルビニルエーテル、及びシクロヘキシルビニルエーテル等の脂肪族ビニルエーテル化合物や、2,3-ジヒドロフラン、4-メチル-2,3-ジヒドロフラン、及び3,4-ジヒドロ-2H-ピラン等の環状ビニルエーテル化合物を用いることができる。特に、エチルビニルエーテル、プロピルビニルエーテル、ブチルビニルエーテル、エチルヘキシルビニルエーテル、シクロヘキシルビニルエーテル、3,4-ジヒドロ-2H-ピラン、又は2,3-ジヒドロフランが好ましく用いることができる。
 シラノール基のアセタール保護は、ポリシロキサンと、ビニルエーテルと、溶媒としてプロピレングリコールモノメチルエーテルアセテート、酢酸エチル、ジメチルホルムアミド、テトラヒドロフラン、1,4-ジオキサン等の非プロトン性溶媒を用い、ピリジウムパラトルエンスルホン酸、トリフルオロメタンスルホン酸、パラトルエンスルホン酸、メタンスルホン酸、塩酸、硫酸等の触媒を用いて実施できる。
 なおこれらシラノール基のアルコールによるキャッピングやアセタール保護は、後述する加水分解性シランの加水分解及び縮合と同時に行ってもよい。
 本発明の好ましい一態様においては、[A]ポリシロキサンは、式(1)で表される加水分解性シラン、そして所望により式(2)で表される加水分解性シラン、及びその他の加水分解性シランを含む、加水分解性シランの加水分解縮合物及びその変性物の少なくとも一種を含む。
 好ましい一態様において、[A]ポリシロキサンは、加水分解縮合物とアルコールとの脱水反応物を含む。
 加水分解性シランの加水分解縮合物(変性物も含み得る)は、その重量平均分子量を、例えば500~1,000,000とすることができる。組成物中での加水分解縮合物の析出等を抑制する観点等から、好ましくは重量平均分子量を500,000以下、より好ましくは250,000以下、より一層好ましくは100,000以下とすることができ、保存安定性と塗布性の両立の観点等から、好ましくは700以上、より好ましくは1,000以上とすることができる。
 なお、重量平均分子量は、GPC分析によるポリスチレン換算にて得られる分子量である。GPC分析は、例えばGPC装置(商品名HLC-8220GPC、東ソー株式会社製)、GPCカラム(商品名Shodex(登録商標)KF803L、KF802、KF801、昭和電工株式会社製)、カラム温度を40℃とし、溶離液(溶出溶媒)としてテトラヒドロフランを用い、流量(流速)は1.0mL/minとし、標準試料はポリスチレン(昭和電工株式会社製Shodex(登録商標))を用いて行うことができる。
 加水分解性シランの加水分解縮合物は、前述のシラン化合物(加水分解性シラン)を加水分解及び縮合することで得られる。
 前述のシラン化合物(加水分解性シラン)は、ケイ素原子に直接結合するアルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を、すなわちアルコキシシリル基、アラルキルオキシシリル基、アシロキシシリル基、又はハロゲン化シリル基(以下、加水分解性基と称する)を含む。
 これら加水分解性基の加水分解には、加水分解性基の1モル当たり、通常0.1~100モル、例えば0.5~100モル、好ましくは1~10モルの水を用いる。
 加水分解及び縮合の際、反応を促進する目的等で、加水分解触媒を用いてもよいし、用いずに加水分解及び縮合を行ってもよい。加水分解触媒を用いる場合は、加水分解性基の1モル当たり、通常0.0001~10モル、好ましくは0.001~1モルの加水分解触媒を用いることができる。
 加水分解と縮合を行う際の反応温度は、通常、室温以上、加水分解に用いられ得る有機溶媒の常圧での還流温度以下の範囲であり、例えば20~110℃、また例えば20~80℃とすることができる。
 加水分解は完全に加水分解を行う、すなわち、全ての加水分解性基をシラノール基に変えてもよいし、部分加水分解する、即ち未反応の加水分解基を残してもよい。
 加水分解し縮合させる際に使用可能な加水分解触媒としては、金属キレート化合物、有機酸、無機酸、有機塩基、無機塩基を挙げることができる。
 加水分解触媒としての金属キレート化合物は、例えばトリエトキシ・モノ(アセチルアセトナート)チタン、トリ-n-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-i-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-n-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-sec-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-t-ブトキシ・モノ(アセチルアセトナート)チタン、ジエトキシ・ビス(アセチルアセトナート)チタン、ジ-n-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-i-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-n-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-sec-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-t-ブトキシ・ビス(アセチルアセトナート)チタン、モノエトキシ・トリス(アセチルアセトナート)チタン、モノ-n-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-i-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-n-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-sec-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-t-ブトキシ・トリス(アセチルアセトナート)チタン、テトラキス(アセチルアセトナート)チタン、トリエトキシ・モノ(エチルアセトアセテート)チタン、トリ-n-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-i-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-n-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-t-ブトキシ・モノ(エチルアセトアセテート)チタン、ジエトキシ・ビス(エチルアセトアセテート)チタン、ジ-n-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-i-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-n-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-t-ブトキシ・ビス(エチルアセトアセテート)チタン、モノエトキシ・トリス(エチルアセトアセテート)チタン、モノ-n-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-i-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-n-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-t-ブトキシ・トリス(エチルアセトアセテート)チタン、テトラキス(エチルアセトアセテート)チタン、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)チタン、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)チタン、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)チタン等のチタンキレート化合物;トリエトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-i-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-sec-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-t-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、ジエトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-i-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-sec-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-t-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、モノエトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-i-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-sec-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-t-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、テトラキス(アセチルアセトナート)ジルコニウム、トリエトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-i-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-t-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、ジエトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-i-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-t-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、モノエトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-i-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-t-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、テトラキス(エチルアセトアセテート)ジルコニウム、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)ジルコニウム、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)ジルコニウム、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)ジルコニウム等のジルコニウムキレート化合物;トリス(アセチルアセトナート)アルミニウム、トリス(エチルアセトアセテート)アルミニウム等のアルミニウムキレート化合物;などを挙げることができるが、これらに限定されない。
 加水分解触媒としての有機酸は、例えば酢酸、プロピオン酸、ブタン酸、ペンタン酸、ヘキサン酸、ヘプタン酸、オクタン酸、ノナン酸、デカン酸、シュウ酸、マレイン酸、メチルマロン酸、アジピン酸、セバシン酸、没食子酸、酪酸、メリット酸、アラキドン酸、2-エチルヘキサン酸、オレイン酸、ステアリン酸、リノール酸、リノレイン酸、サリチル酸、安息香酸、p-アミノ安息香酸、p-トルエンスルホン酸、ベンゼンスルホン酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、トリフルオロ酢酸、ギ酸、マロン酸、スルホン酸、フタル酸、フマル酸、クエン酸、酒石酸等を挙げることができるが、これらに限定されない。
 加水分解触媒としての無機酸は、例えば塩酸、硝酸、硫酸、フッ酸、リン酸等を挙げることができるが、これらに限定されない。
 加水分解触媒としての有機塩基は、例えばピリジン、ピロール、ピペラジン、ピロリジン、ピペリジン、ピコリン、トリメチルアミン、トリエチルアミン、モノエタノールアミン、ジエタノールアミン、ジメチルモノエタノールアミン、モノメチルジエタノールアミン、トリエタノールアミン、ジアザビシクロオクタン、ジアザビシクロノナン、ジアザビシクロウンデセン、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、トリメチルフェニルアンモニウムヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド等を挙げることができるが、これらに限定されない。
 加水分解触媒としての無機塩基は、例えばアンモニア、水酸化ナトリウム、水酸化カリウム、水酸化バリウム、水酸化カルシウム等を挙げることができるが、これらに限定されない。
 これらの触媒のうち、金属キレート化合物、有機酸、無機酸が好ましく、これらは1種を単独で使用してもよいし、2種以上を組み合わせて使用してもよい。
 中でも、本発明では、加水分解触媒として硝酸を好適に用いることができる。硝酸を使用することにより、加水分解及び縮合の後の反応溶液の保存安定性を向上させることができ、特に、加水分解縮合物の分子量変化を抑制することができる。液中の加水分解縮合物の安定性は、溶液のpHに依存することが分かっている。鋭意検討した結果、硝酸を適量用いることで、溶液のpHが安定領域となることが見いだされた。
 また、前述の通り、硝酸は、加水分解縮合物の変性物を得る際、例えばシラノール基のアルコールによるキャッピングの際にも使用し得るため、加水分解性シランの加水分解及び縮合と、加水分解縮合物のアルコールキャッピングの双方の反応に寄与できるものとなり得る観点からも好ましい。
 加水分解及び縮合をする際、溶媒として有機溶媒を用いてもよく、その具体例としては、n-ペンタン、i-ペンタン、n-ヘキサン、i-ヘキサン、n-ヘプタン、i-ヘプタン、2,2,4-トリメチルペンタン、n-オクタン、i-オクタン、シクロヘキサン、メチルシクロヘキサン等の脂肪族炭化水素系溶媒;ベンゼン、トルエン、キシレン、エチルベンゼン、トリメチルベンゼン、メチルエチルベンゼン、n-プロピルベンセン、i-プロピルベンセン、ジエチルベンゼン、i-ブチルベンゼン、トリエチルベンゼン、ジ-i-プロピルベンセン、n-アミルナフタレン等の芳香族炭化水素系溶媒;メタノール、エタノール、n-プロパノール、i-プロパノール、n-ブタノール、i-ブタノール、sec-ブタノール、t-ブタノール、n-ペンタノール、i-ペンタノール、2-メチルブタノール、sec-ペンタノール、t-ペンタノール、3-メトキシブタノール、n-ヘキサノール、2-メチルペンタノール、sec-ヘキサノール、2-エチルブタノール、n-ヘプタノール、sec-ヘプタノール、3-ヘプタノール、n-オクタノール、2-エチルヘキサノール、sec-オクタノール、n-ノニルアルコール、2,6-ジメチル-4-ヘプタノール、n-デカノール、sec-ウンデシルアルコール、トリメチルノニルアルコール、sec-テトラデシルアルコール、sec-ヘプタデシルアルコール、フェノール、シクロヘキサノール、メチルシクロヘキサノール、3,3,5-トリメチルシクロヘキサノール、ベンジルアルコール、フェニルメチルカルビノール、ジアセトンアルコール、クレゾール等のモノアルコール系溶媒;エチレングリコール、プロピレングリコール、1,3-ブチレングリコール、2,4-ペンタンジオール、2-メチル-2,4-ペンタンジオール、2,5-ヘキサンジオール、2,4-ヘプタンジオール、2-エチル-1,3-ヘキサンジオール、ジエチレングリコール、ジプロピレングリコール、トリエチレングリコール、トリプロピレングリコール、グリセリン等の多価アルコール系溶媒;アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-i-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-i-ブチルケトン、トリメチルノナノン、シクロヘキサノン、メチルシクロヘキサノン、2,4-ペンタンジオン、アセトニルアセトン、ジアセトンアルコール、アセトフェノン、フェンチョン等のケトン系溶媒;エチルエーテル、i-プロピルエーテル、n-ブチルエーテル、n-ヘキシルエーテル、2-エチルヘキシルエーテル、エチレンオキシド、1,2-プロピレンオキシド、ジオキソラン、4-メチルジオキソラン、ジオキサン、ジメチルジオキサン、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールジエチルエーテル、エチレングリコールモノ-n-ブチルエーテル、エチレングリコールモノ-n-ヘキシルエーテル、エチレングリコールモノフェニルエーテル、エチレングリコールモノ-2-エチルブチルエーテル、エチレングリコールジブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールモノ-n-ブチルエーテル、ジエチレングリコールジ-n-ブチルエーテル、ジエチレングリコールモノ-n-ヘキシルエーテル、エトキシトリグリコール、テトラエチレングリコールジ-n-ブチルエーテル、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート(1-メトキシ-2-プロパノールモノアセテート)、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノブチルエーテル、トリプロピレングリコールモノメチルエーテル、テトラヒドロフラン、2-メチルテトラヒドロフラン等のエーテル系溶媒;ジエチルカーボネート、酢酸メチル、酢酸エチル、γ-ブチロラクトン、γ-バレロラクトン、酢酸n-プロピル、酢酸i-プロピル、酢酸n-ブチル、酢酸i-ブチル、酢酸sec-ブチル、酢酸n-ペンチル、酢酸sec-ペンチル、酢酸3-メトキシブチル、酢酸メチルペンチル、酢酸2-エチルブチル、酢酸2-エチルヘキシル、酢酸ベンジル、酢酸シクロヘキシル、酢酸メチルシクロヘキシル、酢酸n-ノニル、アセト酢酸メチル、アセト酢酸エチル、酢酸エチレングリコールモノメチルエーテル、酢酸エチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノメチルエーテル、酢酸ジエチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノ-n-ブチルエーテル、酢酸プロピレングリコールモノメチルエーテル、酢酸プロピレングリコールモノエチルエーテル、酢酸プロピレングリコールモノプロピルエーテル、酢酸プロピレングリコールモノブチルエーテル、酢酸ジプロピレングリコールモノメチルエーテル、酢酸ジプロピレングリコールモノエチルエーテル、ジ酢酸グリコール、酢酸メトキシトリグリコール、エチレングリコールジアセテート、トリエチレングリコールメチルエーテルアセテート、プロピオン酸エチル、プロピオン酸n-ブチル、プロピオン酸i-アミル、シュウ酸ジエチル、シュウ酸ジ-n-ブチル、乳酸メチル、乳酸エチル、乳酸n-ブチル、乳酸n-アミル、マロン酸ジエチル、フタル酸ジメチル、フタル酸ジエチル等のエステル系溶媒;N-メチルホルムアミド、N,N-ジメチルホルムアミド、N,N-ジエチルホルムアミド、アセトアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルプロピオンアミド、N-メチル-2-ピロリドン等の含窒素系溶媒;硫化ジメチル、硫化ジエチル、チオフェン、テトラヒドロチオフェン、ジメチルスルホキシド、スルホラン、1,3-プロパンスルトン等の含硫黄系溶媒等を挙げることができるが、これらに限定されない。これらの溶媒は1種又は2種以上の組み合わせで用いることができる。
 加水分解及び縮合反応の終了後、反応溶液をそのまま又は希釈若しくは濃縮し、それを中和し、イオン交換樹脂を用いて処理することで、加水分解及び縮合に用いた酸や塩基等の加水分解触媒を取り除くことができる。また、このような処理の前又は後に、減圧蒸留等によって、反応溶液から副生成物のアルコールや水、用いた加水分解触媒等を除去することができる。
 このようにして得られた加水分解縮合物(以下、ポリシロキサンとも称する)は、有機溶媒中に溶解しているポリシロキサンワニスの形態として得られ、これをそのままシリコン含有レジスト下層膜形成用組成物の調製に用いることができる。すなわち、反応溶液をそのまま(あるいは希釈して)シリコン含有レジスト下層膜形成用組成物の調製に用いることができ、このとき、加水分解及び縮合に用いた加水分解触媒や、副生成物等は本発明の効果を損なわない限り反応溶液に残存していてもよい。例えば加水分解触媒やシラノール基のアルコールキャッピング時に使用される硝酸は、ポリマーワニス溶液中に100ppm~5,000ppm程度残存していてよい。
 得られたポリシロキサンワニスは溶媒置換してもよいし、また適宜溶媒で希釈してもよい。なお得られたポリシロキサンワニスは、その保存安定性が悪くなければ、有機溶媒を留去し、膜形成成分濃度100%とすることもできる。なお膜形成成分とは、組成物の全成分から溶媒成分を除いた成分を指す。
 ポリシロキサンワニスの溶媒置換や希釈等に用いる有機溶媒は、加水分解性シランの加水分解及び縮合反応に用いた有機溶媒と同じでも異なってもよい。この希釈用溶媒は、特に限定されず、1種でも2種以上でも任意に選択して用いることができる。
<[B]成分>
 [B]成分は、硫酸、多官能スルホン酸、又はそれらの塩である。これらは1種を単独で又は2種以上を組み合わせて使用することができる。
 本発明における多官能スルホン酸は、スルホ基(-SOH)を2つ以上有する化合物である。
 前述の様に、本発明者らは、ポリシロキサンを含有するシリコン含有レジスト下層形成用組成物に、[B]成分としての硫酸、多官能スルホン酸、又はそれらの塩を含めることで、レジストの感度を上げることができるレジスト下層膜を形成できることを見出した。
 なお、後述の実施例で示すように、[B]成分の代わりに単官能スルホン酸(スルホ基(-SOH)を1つ有する化合物)を用いても、本発明の効果は得られない。
 本発明の効果は、金属含有レジストを用いた場合に顕著である。その理由を本発明者らは以下の様に考えている。硫酸、多官能スルホン酸、及びそれらの塩は、金属含有レジストの金属に配位し、レジストの硬化を促進させる。その結果として、レジストの感度が向上ずる。
 多官能スルホン酸が有するスルホ基の数としては、特に制限されないが、2~6が好ましく、2~4がより好ましい。スルホ基の数は通常整数で表される。
 多官能スルホン酸の分子量としては、特に制限されないが、176~1,000が好ましく、176~500がより好ましい。なお、分子量176は、メタンジスルホン酸の分子量である。
 多官能スルホン酸としては、例えば、下記式(A)で表される化合物が好ましい。
Figure JPOXMLDOC01-appb-C000080
(式(A)中、nは、1~3の整数を表す。Rは、炭素原子数1~15のn+1価の有機基を表す。)
 Rにおける炭素原子数1~15のn+1価の有機基は、炭素原子以外に、水素原子、酸素原子、窒素原子、硫黄原子などを有していてもよい。
 Rにおける炭素原子数1~15のn+1価の有機基の分子量としては、特に制限されないが、12~200が好ましい。
 nとしては、1であってもよいし、2であってもよいし、3であってもよい。
 多官能スルホン酸としては、例えば、以下の化合物が挙げられる。
Figure JPOXMLDOC01-appb-C000081
Figure JPOXMLDOC01-appb-C000082
Figure JPOXMLDOC01-appb-C000083
 硫酸、多官能スルホン酸、又はそれらの塩における塩としては、特に制限されないが、アンモニウム塩、イミダゾリウム塩、ピリジニウム塩、スルホニウム塩、ホスホニウム塩、ヨードニウム塩などが挙げられる。
 これらの塩において、全てのスルホ基がアニオン化している必要はなく、少なくとも1つのスルホ基がアニオン化していればよい。
 これらの塩は、例えば、以下に具体例を示すような塩であってもよい。即ち、多官能スルホン酸が有する2つ以上のスルホ基の少なくとも1つのスルホ基がアニオン化して塩を形成していればよい。
Figure JPOXMLDOC01-appb-C000084
 これらの塩が、塩の状態ではスルホ基(-SOH)を有しない場合でも、例えば、光又は電子線の照射により、または熱により、スルホ基を生成する。
 アンモニウム塩としては、例えば、N,N-ジメチル-N-ベンジルアンモニウム、N,N-ジエチル-N-ベンジルアンモニウムなどが挙げられる。
 イミダゾリウム塩としては、イミダゾール類と多官能スルホン酸との塩が挙げられる。イミダゾール類としては、例えば、イミダゾール、ベンズイミダゾール、2-メチルイミダゾール、4-メチルイミダゾール、2-フェニルイミダゾール、4-フェニルイミダゾール、2-フェニル-4-メチルイミダゾール、2-メチル-4-フェニルイミダゾール、2-メチルベンズイミダゾール、2-フェニルベンズイミダゾールなどが挙げられる。
 ピリジニウム塩としては、ピリジン類と多官能スルホン酸との塩が挙げられる。ピリジン類としては、例えば、ピリジン、ピコリン、4-メチルピリジンなどが挙げられる。
 スルホニウム塩としては、例えば、芳香族スルホニウム塩が挙げられる。芳香族スルホニウム塩としては、例えば、トリフェニルスルホニウム塩、(2-エトキシ-1-メチル-2-オキソエチル)メチル-2-ナフタレニルスルホニウム塩、4-(メトキシカルボニルオキシ)フェニルベンジルメチルスルホニウム塩、4-アセトキシフェニルジメチルスルホニウム塩、4-ヒドロキシフェニルベンジルメチルスルホニウム塩、4-ヒドロキシフェニル(o-メチルベンジル)メチルスルホニウム塩、4-ヒドロキシフェニル(α-ナフチルメチル)メチルスルホニウム塩、ジフェニル-4-(フェニルチオ)フェニルスルホニウム塩などが挙げられる。
 ホスホニウム塩としては、例えば、エチルトリフェニルホスホニウム塩、テトラブチルホスホニウム塩などが挙げられる。
 ヨードニウム塩としては、例えば、芳香族ヨードニウム塩が挙げられる。芳香族ヨードニウム塩としては、例えば、ジフェニルヨードニウム塩、4-メチルフェニル-4-(1-メチルエチル)フェニルヨードニウム塩、ビス(4-t-ブチルフェニル)ヨードニウム塩、ビス(ドデシルフェニル)ヨードニウム塩などが挙げられる。
 シリコン含有レジスト下層膜形成用組成物における[B]成分の含有量は、本発明の効果をより十分に得る観点から、[A]ポリシロキサン100質量部に対して、好ましくは0.05~20質量部、より好ましくは0.1~15質量部、より一層好ましくは0.5~10質量部とすることができる。
<[C]成分:溶媒>
 [C]成分としての溶媒は、[A]成分、及び[B]成分、並びに必要に応じてシリコン含有レジスト下層膜形成用組成物に含有されるその他成分を溶解・混和できる溶媒であれば特に制限なく使用することができる。
 [C]溶媒としては、好ましくはアルコール系溶媒であり、より好ましくはアルコール系溶媒であるアルキレングリコールモノアルキルエーテルであり、より一層好ましくはプロピレングリコールモノアルキルエーテルである。これらの溶媒は、ポリシロキサンのシラノール基のキャッピング剤でもあるため、溶媒置換などを必要とせずに、[A]ポリシロキサンを調製して得られる溶液から、シリコン含有レジスト下層膜形成用組成物を調製できる。
 アルキレングリコールモノアルキルエーテルとしては、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、メチルイソブチルカルビノール、プロピレングリコールモノブチルエーテル等が挙げられる。
 その他の[C]溶媒の具体例としては、メチルセロソルブアセテート、エチルセロソルブアセテート、プロピレングリコールプロピレングリコールモノメチルエーテルアセテート(1-メトキシ-2-プロパノールモノアセテート)、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、プロピレングリコールモノブチルエーテルアセテート、トルエン、キシレン、メチルエチルケトン、シクロペンタノン、シクロヘキサノン、2-ヒドロキシプロピオン酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、エトキシ酢酸エチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-3-メチルブタン酸メチル、3-メトキシプロピオン酸メチル、3-メトキシプロピオン酸エチル、3-エトキシプロピオン酸エチル、3-エトキシプロピオン酸メチル、ピルビン酸メチル、ピルビン酸エチル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジプロピルエーテル、ジエチレングリコールジブチルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールジメチルエーテル、プロピレングリコールジエチルエーテル、プロピレングリコールジプロピルエーテル、プロピレングリコールジブチルエーテル、乳酸エチル、乳酸プロピル、乳酸イソプロピル、乳酸ブチル、乳酸イソブチル、ギ酸メチル、ギ酸エチル、ギ酸プロピル、ギ酸イソプロピル、ギ酸ブチル、ギ酸イソブチル、ギ酸アミル、ギ酸イソアミル、酢酸メチル、酢酸エチル、酢酸アミル、酢酸イソアミル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、3-メトキシ-2-メチルプロピオン酸メチル、2-ヒドロキシ-3-メチル酪酸メチル、メトキシ酢酸エチル、エトキシ酢酸エチル、3-メトキシプロピオン酸メチル、3-エトキシプロピオン酸エチル、3-メトキシプロピオン酸エチル、3-メトキシブチルアセテート、3-メトキシプロピルアセテート、3-メチル-3-メトキシブチルアセテート、3-メチル-3-メトキシブチルプロピオネート、3-メチル-3-メトキシブチルブチレート、アセト酢酸メチル、トルエン、キシレン、メチルエチルケトン、メチルプロピルケトン、メチルブチルケトン、2-ヘプタノン、3-ヘプタノン、4-ヘプタノン、シクロヘキサノン、N,N-ジメチルホルムアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチル-2-ピロリドン、4-メチル-2-ペンタノール、γ-ブチロラクトン等を挙げることができ、溶媒は1種単独で又は2種以上組み合わせて使用できる。
 また本発明のシリコン含有レジスト下層膜形成用組成物は、溶媒として水を含有していてもよい。溶媒として水を含有する場合、その含有量は、当該組成物が含有する溶媒の合計質量に対して、例えば30質量%以下、好ましくは20質量%以下、より一層好ましくは15質量%以下とすることができる。
<[D]成分:硬化触媒>
 シリコン含有レジスト下層膜形成用組成物は、硬化触媒を含有しない組成物とすることができるが、硬化触媒([D]成分)を含有することが好ましい。
 シリコン含有レジスト下層膜形成用組成物が[B]成分と[D]成分との両方を含有することにより、[B]成分を含有することによる本発明の効果をより十分に得ることができる。
 硬化触媒としては、アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩、ヨードニウム塩、オキソニウム塩等を用いることができる。なお硬化触媒の一例として記載した下記の塩類は、塩の形態にて添加してもよいし、組成物中において塩を形成するもの(添加時には別化合物として添加され、系内で塩を形成するもの)のいずれであってもよい。
 アンモニウム塩としては、式(D-1):
Figure JPOXMLDOC01-appb-C000085
(式中、mは2~11の整数を表し、nは2~3の整数を表し、R21はアルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表す。)で表される構造を有する第4級アンモニウム塩、
 式(D-2):
Figure JPOXMLDOC01-appb-C000086
(式中、R22、R23、R24及びR25は、互いに独立して、アルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表し、且つR22、R23、R24、及びR25はそれぞれ窒素原子と結合されているものである。)で表される構造を有する第4級アンモニウム塩、
 式(D-3):
Figure JPOXMLDOC01-appb-C000087
(式中、R26及びR27は、互いに独立して、アルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表す。)で表される構造を有する第4級アンモニウム塩、
 式(D-4):
Figure JPOXMLDOC01-appb-C000088
(式中、R28はアルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表す。)で表される構造を有する第4級アンモニウム塩、
 式(D-5):
Figure JPOXMLDOC01-appb-C000089
(式中、R29及びR30は、互いに独立して、アルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表す。)で表される構造を有する第4級アンモニウム塩、
 式(D-6):
Figure JPOXMLDOC01-appb-C000090
(式中、mは2~11の整数を表し、nは2~3の整数を表し、Yは陰イオンを表す。)で表される構造を有する第3級アンモニウム塩を挙げることができる。
 また、ホスホニウム塩としては、式(D-7):
Figure JPOXMLDOC01-appb-C000091
(式中、R31、R32、R33、及びR34は、互いに独立して、アルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表し、且つR31、R32、R33、及びR34はそれぞれリン原子と結合されているものである。)で表される第4級ホスホニウム塩を挙げることができる。
 また、スルホニウム塩としては、式(D-8):
Figure JPOXMLDOC01-appb-C000092
(式中、R35、R36、及びR37は、互いに独立して、アルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表し、且つR35、R36、及びR37はそれぞれ硫黄原子と結合されているものである。)で表される第3級スルホニウム塩を挙げることができる。
 式(D-1)の化合物は、アミンから誘導される第4級アンモニウム塩であり、mは2~11の整数を示し、nは2~3の整数を示す。この第4級アンモニウム塩のR21は、例えば炭素数1~18、好ましくは2~10のアルキル基、炭素数6~18のアリール基、又は炭素数7~18のアラルキル基を示し、例えば、エチル基、プロピル基、ブチル基等の直鎖状アルキル基や、ベンジル基、シクロヘキシル基、シクロヘキシルメチル基、ジシクロペンタジエニル基等が挙げられる。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。
 式(D-2)の化合物は、R22232425で示される第4級アンモニウム塩である。この第4級アンモニウム塩のR22、R23、R24及びR25は、例えば、エチル基、プロピル基、ブチル基、シクロヘキシル基、シクロヘキシルメチル基等の炭素数1~18のアルキル基、フェニル基等の炭素数6~18のアリール基、又はベンジル基等の炭素数7~18のアラルキル基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この第4級アンモニウム塩は、市販品で入手することが可能であり、例えばテトラメチルアンモニウムアセテート、テトラブチルアンモニウムアセテート、塩化トリエチルベンジルアンモニウム、臭化トリエチルベンジルアンモニウム、塩化トリオクチルメチルアンモニウム、塩化トリブチルベンジルアンモニウム、塩化トリメチルベンジルアンモニウム等が例示される。
 式(D-3)の化合物は、1-置換イミダゾールから誘導される第4級アンモニウム塩であり、R26及びR27の炭素数は、例えば、1~18であり、R26及びR27の炭素数の総和が7以上であることが好ましい。例えばR26はメチル基、エチル基、プロピル基等のアルキル基、フェニル基等のアリール基、ベンジル基等のアラルキル基を例示することができ、R27はベンジル基等のアラルキル基、オクチル基、オクタデシル基等のアルキル基を例示することができる。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は、市販品で入手することもできるが、例えば1-メチルイミダゾール、1-ベンジルイミダゾール等のイミダゾール系化合物と、臭化ベンジル、臭化メチル、臭化ベンゼン等のハロゲン化アラルキル、ハロゲン化アルキル、ハロゲン化アリールを反応させて製造することができる。
 式(D-4)の化合物は、ピリジンから誘導される第4級アンモニウム塩であり、R28は、例えば炭素数1~18、好ましくは炭素数4~18のアルキル基、炭素数6~18のアリール基、又は炭素数7~18のアラルキル基であり、例えばブチル基、オクチル基、ベンジル基、ラウリル基を例示することができる。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は、市販品として入手することもできるが、例えばピリジンと、塩化ラウリル、塩化ベンジル、臭化ベンジル、臭化メチル、臭化オクチル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造することができる。この化合物は例えば、塩化N-ラウリルピリジニウム、臭化N-ベンジルピリジニウム等を例示することができる。
 式(D-5)の化合物は、ピコリン等に代表される置換ピリジンから誘導される第4級アンモニウム塩であり、R29は、例えば炭素数1~18、好ましくは炭素数4~18のアルキル基、又は炭素数6~18のアリール基、又は炭素数7~18のアラルキル基であり、例えばメチル基、オクチル基、ラウリル基、ベンジル基等を例示することができる。R30は、例えば、炭素数1~18のアルキル基、炭素数6~18のアリール基、又は炭素数7~18のアラルキル基であり、例えば式(D-5)で表される化合物がピコリンから誘導される第4級アンモニウムである場合、R30はメチル基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は市販品として入手することもできるが、例えばピコリン等の置換ピリジンと、臭化メチル、臭化オクチル、塩化ラウリル、塩化ベンジル、臭化ベンジル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造することができる。この化合物は例えば、N-ベンジルピコリニウムクロリド、N-ベンジルピコリニウムブロミド、N-ラウリルピコリニウムクロリド等を例示することができる。
 式(D-6)の化合物は、アミンから誘導される第3級アンモニウム塩であり、mは2~11の整数を示し、nは2又は3を示す。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。本化合物は、アミンとカルボン酸やフェノール等の弱酸との反応によって製造することができる。カルボン酸としてはギ酸や酢酸が挙げられ、ギ酸を使用した場合は、陰イオン(Y)は(HCOO)であり、酢酸を使用した場合は、陰イオン(Y)は(CHCOO)である。またフェノールを使用した場合は、陰イオン(Y)は(C)である。
 式(D-7)の化合物は、R31323334の構造を有する第4級ホスホニウム塩である。R31、R32、R33、及びR34は、例えば、エチル基、プロピル基、ブチル基、シクロヘキシルメチル等の炭素数1~18のアルキル基、フェニル基等の炭素数6~18のアリール基、又はベンジル基等の炭素数7~18のアラルキル基であり、好ましくはR31~R34の4つの置換基のうちの3つが無置換のフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示することができ、また残りの1つは炭素数1~18のアルキル基、炭素数6~18のアリール基、又は炭素数7~18のアラルキル基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は市販品として入手することが可能であり、例えばハロゲン化テトラn-ブチルホスホニウム、ハロゲン化テトラn-プロピルホスホニウム等のハロゲン化テトラアルキルホスホニウム、ハロゲン化トリエチルベンジルホスホニウム等のハロゲン化トリアルキルベンジルホスホニウム、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム、ハロゲン化テトラフェニルホスホニウム、ハロゲン化トリトリルモノアリールホスホニウム、或いはハロゲン化トリトリルモノアルキルホスホニウム(以上、ハロゲン原子は塩素原子又は臭素原子)が挙げられる。特に、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム等のハロゲン化トリフェニルモノアリールホスホニウム、ハロゲン化トリトリルモノフェニルホスホニウム等のハロゲン化トリトリルモノアリールホスホニウムや、ハロゲン化トリトリルモノメチルホスホニウム等のハロゲン化トリトリルモノアルキルホスホニウム(ハロゲン原子は塩素原子又は臭素原子)が好ましい。
 また、ホスフィン類としては、メチルホスフィン、エチルホスフィン、プロピルホスフィン、イソプロピルホスフィン、イソブチルホスフィン、フェニルホスフィン等の第一ホスフィン、ジメチルホスフィン、ジエチルホスフィン、ジイソプロピルホスフィン、ジイソアミルホスフィン、ジフェニルホスフィン等の第二ホスフィン、トリメチルホスフィン、トリエチルホスフィン、トリフェニルホスフィン、メチルジフェニルホスフィン、ジメチルフェニルホスフィン等の第三ホスフィンが挙げられる。
 式(D-8)の化合物は、R353637の構造を有する第3級スルホニウム塩である。R35、R36、及びR37は、例えば、エチル基、プロピル基、ブチル基、シクロヘキシルメチル等の炭素数1~18のアルキル基、フェニル基等の炭素数6~18のアリール基、又はベンジル基等の炭素数7~18のアラルキル基であり、好ましくはR35~R37の3つの置換基のうちの2つが無置換のフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示することができ、また残りの1つは炭素数1~18のアルキル基、炭素数6~18のアリール基、又は炭素数7~18のアラルキル基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)、マレイン酸アニオン、硝酸アニオン等の酸基を挙げることができる。この化合物は市販品として入手することが可能であり、例えばハロゲン化トリn-ブチルスルホニウム、ハロゲン化トリn-プロピルスルホニウム等のハロゲン化トリアルキルスルホニウム、ハロゲン化ジエチルベンジルスルホニウム等のハロゲン化ジアルキルベンジルスルホニウム、ハロゲン化ジフェニルメチルスルホニウム、ハロゲン化ジフェニルエチルスルホニウム等のハロゲン化ジフェニルモノアルキルスルホニウム、ハロゲン化トリフェニルスルホニウム(以上、ハロゲン原子は塩素原子又は臭素原子)、トリn-ブチルスルホニウムカルボキシラート、トリn-プロピルスルホニウムカルボキシラート等のトリアルキルスルホニウムカルボキシラート、ジエチルベンジルスルホニウムカルボキシラート等のジアルキルベンジルスルホニウムカルボキシラート、ジフェニルメチルスルホニウムカルボキシラート、ジフェニルエチルスルホニウムカルボキシラート等のジフェニルモノアルキルスルホニウムカルボキシラート、トリフェニルスルホニウムカルボキシラートが挙げられる。また、ハロゲン化トリフェニルスルホニウム、トリフェニルスルホニウムカルボキシラートが好ましく用いることができる。
 また、硬化触媒として窒素含有シラン化合物を添加することができる。窒素含有シラン化合物としてはN-(3-トリエトキシシリプロピル)-4,5-ジヒドロイミダゾール等のイミダゾール環含有シラン化合物が挙げられる。
 シリコン含有レジスト下層膜形成用組成物における[D]硬化触媒の含有量は、本発明の効果をより十分に得る観点から、[A]ポリシロキサン100質量部に対して、好ましくは0.01~30質量部、より好ましくは0.01~25質量部、より一層好ましくは0.01~20質量部である。
 シリコン含有レジスト下層膜形成用組成物における硬化触媒([D]成分)と[B]成分との質量比率([D]:[B])としては、本発明の効果をより十分に得る観点から、好ましくは0.1:1.0~1.0:0.1、より好ましくは0.2:1.0~1.0:0.1、より一層好ましくは0.5:1.0~1.0:0.15とすることができる。
<[E]成分:硝酸>
 シリコン含有レジスト下層膜形成用成組成物は、好ましくは[E]硝酸を含有する。
 [B]硝酸は、シリコン含有レジスト下層膜形成用組成物の調製時に添加してもよいが、前述のポリシロキサンの製造において、加水分解触媒としてやシラノール基のアルコールキャッピング時に使用し、これがポリシロキサンワニス中に残存したものを[E]硝酸として扱うこともできる。
 [B]硝酸の配合量(残硝酸量)は、シリコン含有レジスト下層膜形成用組成物の総質量に基づいて、例えば0.0001質量%~1質量%、又は0.001質量%~0.1質量%、又は0.005質量%~0.05質量%とすることができる。
<[F]成分:アミン、水酸化物>
 シリコン含有レジスト下層膜形成用組成物は、本発明の効果をより十分に得る観点から、好ましくは[F]アミンと水酸化物とから選ばれる少なくとも1種を含有する。
 アミンとしては、アンモニア;モノメタノールアミン、モノエタノールアミン、モノプロパノールアミン、メチルアミン、エチルアミン、プロピルアミン、ブチルアミン等の第1級アミン;ジメチルアミン、エチルメチルアミン、ジエチルアミン等の第2級アミン;トリメチルアミン、トリエチルアミン、トリプロピルアミン、ジメチルエチルアミン、メチルジイソプロピルアミン、ジイソプロピルエチルアミン、ジエチルエタノールアミン、トリエタノールアミン等の第3級アミン;エチレンジアミン、テトラメチルエチレンジアミン等のアミン;ピリジン、モルホリン等の環状アミンなどが挙げられる。
 水酸化物としては、無機アルカリ水酸化物、有機アルカリ水酸化物が挙げられる。
 無機アルカリ水酸化物としては、例えば、水酸化ナトリウム、水酸化カリウム等が挙げられる。
 有機アルカリ水酸化物としては、例えば、テトラアルキルアンモニウム水酸化物、トリアリールスルホニウム水酸化物、ジアリールヨードニウム水酸化物等が挙げられる。テトラアルキルアンモニウム水酸化物としては、例えば、テトラメチルアンモニウム水酸化物、テトラエチルアンモニウム水酸化物、テトラブチルアンモニウム水酸化物等が挙げられる。トリアリールスルホニウム水酸化物としては、例えば、トリフェニルスルホニウム水酸化物、トリス(t-ブチルフェニル)スルホニウム水酸化物等が挙げられる。ジアリールヨードニウム水酸化物としては、ジフェニルヨードニウム水酸化物、ビス(t-ブチルフェニル)ヨードニウム水酸化物等が挙げられる。
 シリコン含有レジスト下層膜形成用組成物における[F]成分の含有量は、[A]ポリシロキサン100質量部に対して、好ましくは0.05~20質量部、より好ましくは0.1~15質量部、より一層好ましくは0.5~10質量部とすることができる。
<その他添加剤>
 シリコン含有レジスト下層膜形成用組成物には、組成物の用途に応じて種々の添加剤を配合可能である。
 添加剤としては、例えば、架橋剤、架橋触媒、安定化剤(有機酸、水、アルコール等)、有機ポリマー、酸発生剤、界面活性剤(ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等)、pH調整剤、金属酸化物、レオロジー調整剤、接着補助剤等、レジスト下層膜や、反射防止膜、パターン反転用膜など、半導体装置の製造に使用され得る各種膜を形成する材料(組成物)に配合される公知の添加剤を挙げることができる。
 なお以下に各種添加剤を例示するが、これらに限定されるものではない。
<<安定化剤>>
 安定化剤は、加水分解性シラン混合物の加水分解縮合物の安定化等の目的のために添加され得、その具体例として、有機酸、水、アルコール、又はそれらの組み合わせを添加することができる。
 有機酸としては、例えばシュウ酸、マロン酸、メチルマロン酸、コハク酸、マレイン酸、リンゴ酸、酒石酸、フタル酸、クエン酸、グルタル酸、乳酸、サリチル酸等が挙げられる。中でも、シュウ酸、マレイン酸が好ましい。有機酸を添加する場合、その添加量は、加水分解性シラン混合物の加水分解縮合物の質量に対して、0.1~5.0質量%である。これら有機酸はpH調整剤としても働き得る。
 水としては、純水、超純水、イオン交換水等を用いることができ、使用する場合、その添加量は、シリコン含有レジスト下層膜形成用組成物100質量部に対して1~20質量部とすることができる。
 アルコールとしては塗布後の加熱により飛散しやすいものが好ましく、例えばメタノール、エタノール、プロパノール、i-プロパノール、ブタノール等が挙げられる。アルコールを添加する場合、その添加量は、シリコン含有レジスト下層膜形成用組成物100質量部に対して1~20質量部とすることができる。
<<有機ポリマー>>
 有機ポリマーは、シリコン含有レジスト下層膜形成用組成物に添加することにより、組成物から形成される膜(レジスト下層膜)のドライエッチング速度(単位時間当たりの膜厚の減少量)や、また減衰係数や屈折率等を調整することができる。有機ポリマーとしては特に制限はなく、その添加目的に応じて、種々の有機ポリマー(縮重合ポリマー及び付加重合ポリマー)の中から適宜選択される。
 その具体例としては、ポリエステル、ポリスチレン、ポリイミド、アクリルポリマー、メタクリルポリマー、ポリビニルエーテル、フェノールノボラック、ナフトールノボラック、ポリエーテル、ポリアミド、ポリカーボネート等の付加重合ポリマー及び縮重合ポリマーが挙げられる。
 本発明においては、吸光部位として機能するベンゼン環、ナフタレン環、アントラセン環、トリアジン環、キノリン環、キノキサリン環等の芳香環や複素芳香環を含む有機ポリマーも、そのような機能が必要な場合には、好適に用い得る。そのような有機ポリマーの具体例としては、ベンジルアクリレート、ベンジルメタクリレート、フェニルアクリレート、ナフチルアクリレート、アントリルメタクリレート、アントリルメチルメタクリレート、スチレン、ヒドロキシスチレン、ベンジルビニルエーテル及びN-フェニルマレイミド等の付加重合性モノマーをその構造単位として含む付加重合ポリマーや、フェノールノボラック及びナフトールノボラック等の縮重合ポリマーが挙げられるが、これらに限定されない。
 有機ポリマーとして付加重合ポリマーが使用される場合、そのポリマーは、単独重合体、共重合体のいずれであってもよい。
 付加重合ポリマーの製造には付加重合性モノマーが使用されるが、そのような付加重合性モノマーの具体例としては、アクリル酸、メタクリル酸、アクリル酸エステル化合物、メタクリル酸エステル化合物、アクリルアミド化合物、メタクリルアミド化合物、ビニル化合物、スチレン化合物、マレイミド化合物、マレイン酸無水物、アクリロニトリル等が挙げられるが、これらに限定されない。
 アクリル酸エステル化合物の具体例としては、メチルアクリレート、エチルアクリレート、ノルマルヘキシルアクリレート、i-プロピルアクリレート、シクロヘキシルアクリレート、ベンジルアクリレート、フェニルアクリレート、アントリルメチルアクリレート、2-ヒドロキシエチルアクリレート、3-クロロ-2-ヒドロキシプロピルアクリレート、2-ヒドロキシプロピルアクリレート、2,2,2-トリフルオロエチルアクリレート、2,2,2-トリクロロエチルアクリレート、2-ブロモエチルアクリレート、4-ヒドロキシブチルアクリレート、2-メトキシエチルアクリレート、テトラヒドロフルフリルアクリレート、2-メチル-2-アダマンチルアクリレート、5-アクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-アクリロキシプロピルトリエトキシシラン、グリシジルアクリレート等が挙げられるが、これらに限定されない。
 メタクリル酸エステル化合物の具体例としては、メチルメタクリレート、エチルメタクリレート、ノルマルヘキシルメタクリレート、i-プロピルメタクリレート、シクロヘキシルメタクリレート、ベンジルメタクリレート、フェニルメタクリレート、アントリルメチルメタクリレート、2-ヒドロキシエチルメタクリレート、2-ヒドロキシプロピルメタクリレート、2,2,2-トリフルオロエチルメタクリレート、2,2,2-トリクロロエチルメタクリレート、2-ブロモエチルメタクリレート、4-ヒドロキシブチルメタクリレート、2-メトキシエチルメタクリレート、テトラヒドロフルフリルメタクリレート、2-メチル-2-アダマンチルメタクリレート、5-メタクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-メタクリロキシプロピルトリエトキシシラン、グリシジルメタクリレート、2-フェニルエチルメタクリレート、ヒドロキシフェニルメタクリレート、ブロモフェニルメタクリレート等が挙げられるが、これらに限定されない。
 アクリルアミド化合物の具体例としては、アクリルアミド、N-メチルアクリルアミド、N-エチルアクリルアミド、N-ベンジルアクリルアミド、N-フェニルアクリルアミド、N,N-ジメチルアクリルアミド、N-アントリルアクリルアミド等が挙げられるが、これらに限定されない。
 メタクリルアミド化合物の具体例としては、メタクリルアミド、N-メチルメタクリルアミド、N-エチルメタクリルアミド、N-ベンジルメタクリルアミド、N-フェニルメタクリルアミド、N,N-ジメチルメタクリルアミド、N-アントリルメタアクリルアミド等が挙げられるが、これらに限定されない。
 ビニル化合物の具体例としては、ビニルアルコール、2-ヒドロキシエチルビニルエーテル、メチルビニルエーテル、エチルビニルエーテル、ベンジルビニルエーテル、ビニル酢酸、ビニルトリメトキシシラン、2-クロロエチルビニルエーテル、2-メトキシエチルビニルエーテル、ビニルナフタレン、ビニルアントラセン等が挙げられるが、これらに限定されない。
 スチレン化合物の具体例としては、スチレン、ヒドロキシスチレン、クロロスチレン、ブロモスチレン、メトキシスチレン、シアノスチレン、アセチルスチレン等が挙げられるが、これらに限定されない。
 マレイミド化合物としては、マレイミド、N-メチルマレイミド、N-フェニルマレイミド、N-シクロヘキシルマレイミド、N-ベンジルマレイミド、N-ヒドロキシエチルマレイミド等が挙げられるが、これらに限定されない。
 ポリマーとして縮重合ポリマーが使用される場合、そのようなポリマーとしては、例えば、グリコール化合物とジカルボン酸化合物との縮重合ポリマーが挙げられる。グリコール化合物としてはジエチレングリコール、ヘキサメチレングリコール、ブチレングリコール等が挙げられる。ジカルボン酸化合物としては、コハク酸、アジピン酸、テレフタル酸、無水マレイン酸等が挙げられる。また、例えば、ポリピロメリットイミド、ポリ(p-フェニレンテレフタルアミド)、ポリブチレンテレフタレート、ポリエチレンテレフタレート等のポリエステル、ポリアミド、ポリイミドが挙げられるが、これらに限定されない。
 有機ポリマーがヒドロキシ基を含む場合は、このヒドロキシ基は、加水分解縮合物等と架橋反応をし得る。
 有機ポリマーの重量平均分子量は、通常1,000~1,000,000とすることができる。有機ポリマーを配合する場合、ポリマーとしての機能の効果を十分に得つつ、組成物中での析出を抑制する観点から、その重量平均分子量を例えば3,000~300,000、又は5,000~300,000、あるいは10,000~200,000などとすることができる。
 このような有機ポリマーは、1種単独で使用してもよいし、2種以上組み合わせて用いることができる。
 シリコン含有レジスト下層膜形成用組成物が有機ポリマーを含有する場合、その含有量は、その有機ポリマーの機能等を考慮して適宜定まるため一概に規定できないが、通常、[A]ポリシロキサンの質量に対して、1~200質量%の範囲とすることができ、組成物中での析出を抑制する観点等から、例えば100質量%以下、好ましくは50質量%以下、より好ましくは30質量%以下とすることができ、その効果を十分に得る観点等から、例えば5質量%以上、好ましくは10質量%以上、より好ましくは30質量%以上とすることができる。
<<酸発生剤>>
 酸発生剤としては、熱酸発生剤や光酸発生剤が挙げられ、光酸発生剤を好ましく用いることができる。
 光酸発生剤としては、スルホニウム塩、ホスホニウム塩、アンモニウム塩、ヨードニウム塩、オキソニウム塩等のオニウム塩化合物、スルホンイミド化合物、ジスルホニルジアゾメタン化合物等が挙げられるが、これらに限定されない。なお光酸発生剤は、例えば後述するオニウム塩化合物における硝酸塩やマレイン酸塩などのカルボン酸塩、また塩酸塩など、その種類によっては硬化触媒としても機能し得る。
 また熱酸発生剤としては、例えばテトラメチルアンモニウム硝酸塩などが挙げられるが、これに限定されない。
 オニウム塩化合物の具体例としては、ジフェニルヨードニウムヘキサフルオロホスフェート、ジフェニルヨードニウムトリフルオロメタンスルホネート、ジフェニルヨードニウムノナフルオロノルマルブタンスルホネート、ジフェニルヨードニウムパーフルオロノルマルオクタンスルホネート、ジフェニルヨードニウムカンファースルホネート、ビス(4-t-ブチルフェニル)ヨードニウムカンファースルホネート、ビス(4-t-ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート等のヨードニウム塩化合物、トリフェニルスルホニウムヘキサフルオロアンチモネート、トリフェニルスルホニウムノナフルオロノルマルブタンスルホネート、トリフェニルスルホニウムカンファースルホネート、トリフェニルスルホニウムトリフルオロメタンスルホネート、トリフェニルスルホニウム硝酸塩(ナイトレート)、トリフェニルスルホニウムトリフルオロ酢酸塩、トリフェニルスルホニウムマレイン酸塩、トリフェニルスルホニウムクロリド等のスルホニウム塩化合物等を挙げることができるが、これらに限定されない。
 スルホンイミド化合物の具体例としては、N-(トリフルオロメタンスルホニルオキシ)スクシンイミド、N-(ノナフルオロノルマルブタンスルホニルオキシ)スクシンイミド、N-(カンファースルホニルオキシ)スクシンイミド、N-(トリフルオロメタンスルホニルオキシ)ナフタルイミド等が挙げられるが、これらに限定されない。
 ジスルホニルジアゾメタン化合物の具体例としては、ビス(トリフルオロメチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(p-トルエンスルホニル)ジアゾメタン、ビス(2,4-ジメチルベンゼンスルホニル)ジアゾメタン、メチルスルホニル-p-トルエンスルホニルジアゾメタン等が挙げられるが、これらに限定されない。
 シリコン含有レジスト下層膜形成用組成物が酸発生剤を含有する場合、その含有量は、酸発生剤の種類等を考慮して適宜定まるため一概に規定できないが、通常、[A]ポリシロキサンの質量に対して、0.01~5質量%の範囲であり、組成物中での酸発生剤の析出を抑制する観点等から、好ましくは3質量%以下、より好ましくは1質量%以下であり、その効果を十分に得る観点等から、好ましくは0.1質量%以上、より好ましくは0.5質量%以上である。
 なお酸発生剤は、1種単独で又は2種以上組み合わせて用いることができ、また、光酸発生剤と熱酸発生剤とを併用してもよい。
<<界面活性剤>>
 界面活性剤は、シリコン含有レジスト下層膜形成用組成物を基板に塗布した際に、ピンホール、ストレーション等の発生を抑制するのに有効である。界面活性剤としては、ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等が挙げられる。より具体的には、例えば、ポリオキシエチレンラウリルエーテル、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンセチルエーテル、ポリオキシエチレンオレイルエーテル等のポリオキシエチレンアルキルエーテル類、ポリオキシエチレンオクチルフェノールエーテル、ポリオキシエチレンノニルフェノールエーテル等のポリオキシエチレンアルキルアリールエーテル類、ポリオキシエチレン・ポリオキシプロピレンブロックコポリマー類、ソルビタンモノラウレート、ソルビタンモノパルミテート、ソルビタンモノステアレート、ソルビタンモノオレエート、ソルビタントリオレエート、ソルビタントリステアレート等のソルビタン脂肪酸エステル類、ポリオキシエチレンソルビタンモノラウレート、ポリオキシエチレンソルビタンモノパルミテート、ポリオキシエチレンソルビタンモノステアレート、ポリオキシエチレンソルビタントリオレエート、ポリオキシエチレンソルビタントリステアレート等のポリオキシエチレンソルビタン脂肪酸エステル類等のノニオン系界面活性剤、商品名エフトップ(登録商標)EF301、EF303、EF352(三菱マテリアル電子化成(株)(旧(株)トーケムプロダクツ)製)、商品名メガファック(登録商標)F171、F173、R-08、R-30、R-30N、R-40LM(DIC(株)製)、フロラードFC430、FC431(スリーエムジャパン(株)製)、商品名アサヒガード(登録商標)AG710(AGC(株)製)、サーフロン(登録商標)S-382、SC101、SC102、SC103、SC104、SC105、SC106(AGCセイミケミカル(株)製)等のフッ素系界面活性剤、及びオルガノシロキサンポリマ-KP341(信越化学工業(株)製)等を挙げることができるが、これらに限定されない。
 界面活性剤は、1種単独で又は2種以上組み合わせて用いることができる。
 シリコン含有レジスト下層膜形成用組成物が界面活性剤を含有する場合、その含有量は、[A]ポリシロキサンの質量に対して、通常0.0001~5質量%であり、好ましくは0.001~4質量%、より好ましくは0.01~3質量%とすることができる。
<<レオロジー調整剤>>
 レオロジー調整剤は、主にシリコン含有レジスト下層膜形成用組成物の流動性を向上させ、特にベーキング工程において、形成される膜の膜厚均一性の向上や、ホール内部への組成物の充填性を高める目的で添加される。具体例としては、ジメチルフタレート、ジエチルフタレート、ジi-ブチルフタレート、ジヘキシルフタレート、ブチルi-デシルフタレート等のフタル酸誘導体、ジノルマルブチルアジペート、ジ-i-ブチルアジペート、ジ-i-オクチルアジペート、オクチルデシルアジペート等のアジピン酸誘導体、ジノルマルブチルマレート、ジエチルマレート、ジノニルマレート等のマレイン酸誘導体、メチルオレート、ブチルオレート、テトラヒドロフルフリルオレート等のオレイン酸誘導体、またはノルマルブチルステアレート、グリセリルステアレート等のステアリン酸誘導体等を挙げることができる。
 これらのレオロジー調整剤が使用される場合、その添加量は、シリコン含有レジスト下層膜形成用組成物の全膜形成成分に対して通常30質量%未満である。
<<接着補助剤>>
 接着補助剤は、主に基板あるいはレジストと、シリコン含有レジスト下層膜形成用組成物から形成される膜(レジスト下層膜)との密着性を向上させ、特に現像においてレジストの剥離を抑制・防止する目的で添加される。具体例としては、トリメチルクロロシラン、ジメチルビニルクロロシラン、メチルジフェニルクロロシラン、クロロメチルジメチルクロロシラン等のクロロシラン類、トリメチルメトキシシラン、ジメチルジエトキシシラン、メチルジメトキシシラン、ジメチルビニルエトキシシラン等のアルコキシシラン類、ヘキサメチルジシラザン、N,N’-ビス(トリメチルシリル)ウレア、ジメチルトリメチルシリルアミン、トリメチルシリルイミダゾール等のシラザン類、γ-クロロプロピルトリメトキシシラン、γ-アミノプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン等のその他のシラン類、ベンゾトリアゾール、ベンズイミダゾール、インダゾール、イミダゾール、2-メルカプトベンズイミダゾール、2ーメルカプトベンゾチアゾール、2-メルカプトベンゾオキサゾール、ウラゾール、チオウラシル、メルカプトイミダゾール、メルカプトピリミジン等の複素環式化合物や、1,1-ジメチルウレア、1,3-ジメチルウレア等の尿素、又はチオ尿素化合物を挙げることができる。
 これらの接着補助剤が使用される場合、その添加量は、シリコン含有レジスト下層膜形成用組成物の膜形成成分に対して通常5質量%未満、好ましくは2質量%未満である。
<<pH調整剤>>
 また、pH調整剤として、前述の安定化剤として挙げた有機酸などのカルボン酸基を1又は2以上有する酸の他を挙げることができる。pH調整剤が使用される場合のその添加量は、[A]ポリシロキサンの100質量部に対して、0.01~20質量部、又は0.01~10質量部、又は0.01~5質量部の割合とすることができる。
<<金属酸化物>>
 またシリコン含有レジスト下層膜形成用組成物に添加可能な金属酸化物としては、例えば、スズ(Sn)、チタン(Ti)、アルミニウム(Al)、ジルコニウム(Zr)、亜鉛(Zn)、ニオブ(Nb)、タンタル(Ta)及びW(タングステン)等の金属及びホウ素(B)、ケイ素(Si)、ゲルマニウム(Ge)、ヒ素(As)、アンチモン(Sb)、及びテルル(Te)等の半金属のうち1種又は2種以上の組み合わせの酸化物を挙げることができるが、これらに限定されない。
 シリコン含有レジスト下層膜形成用組成物における膜形成成分の濃度は、当該組成物の全質量に対して、例えば0.01~50質量%、0.01~30質量%、0.01~25質量%、0.01~20.0質量%とすることができる。
 膜形成成分中の[A]ポリシロキサンの含有量は、通常20質量%~100質量%であるが、本発明の効果を再現性よく得る観点等から、その下限値は、好ましくは50質量%、より好ましくは60質量%、より一層好ましくは70質量%、更に好ましくは80質量%であり、その上限値は、好ましくは99質量%であり、その余を、後述の添加剤とすることができる。
 また当該シリコン含有レジスト下層膜形成用組成物は、好ましくはpH1~5を有し、より好ましくはpH2~4を有する。
 本発明において、シリコン含有レジスト下層膜形成用組成物を製造する途中の段階において、又は全ての成分を混合した後に、サブマイクロメートルオーダーのフィルタ等を用いてろ過してもよい。なおこのとき用いられるフィルタの材料種は問わないが、例えばポリエチレン製フィルタ、ナイロン製フィルタ、フッ素樹脂製フィルタ、ポリイミド製フィルタ等を用いることができる。
 本発明のシリコン含有レジスト下層膜形成用組成物はリソグラフィー工程に使用されるレジスト下層膜形成用の組成物として、好適に用いることができる。
(レジスト下層膜、半導体加工用基板、パターン形成方法及び半導体素子の製造方法)
 本発明のレジスト下層膜は、本発明のシリコン含有レジスト下層膜形成用組成物の硬化物である。
 本発明の半導体加工用基板は、例えば、本発明のシリコン含有レジスト下層膜を備える。
 本発明の半導体素子の製造方法は、例えば、
 基板上に、有機下層膜を形成する工程と、
 有機下層膜の上に、本発明のシリコン含有レジスト下層膜形成用組成物を用いてレジスト下層膜を形成する工程と、
 レジスト下層膜の上に、金属含有レジスト膜を形成する工程と、
を含む。
 本発明のパターン形成方法は、例えば、
 半導体基板上に有機下層膜を形成する工程と、
 有機下層膜の上に、本発明のシリコン含有レジスト下層膜形成用組成物を塗布し、焼成して、レジスト下層膜を形成する工程と、
 レジスト下層膜の上に、金属含有レジスト膜を形成する工程と、
 金属含有レジスト膜を露光、現像し、レジストパターンを得る工程と、
 レジストパターンをマスクに用い、レジスト下層膜をエッチングする工程と、
 パターン化されたレジスト下層膜をマスクとして用い、有機下層膜をエッチングする工程と、
を含む。
 以下、本発明の一態様として、本発明のシリコン含有レジスト下層膜、又は本発明のシリコン含有レジスト下層膜形成用組成物を使用した、半導体加工用基板、パターン形成方法、並びに、半導体素子の製造方法について説明する。
 まず、精密集積回路素子の製造に使用される基板〔例えば、酸化珪素膜、窒化珪素膜又は酸化窒化珪素膜で被覆されたシリコンウェハー等の半導体基板、窒化珪素基板、石英基板、ガラス基板(無アルカリガラス、低アルカリガラス、結晶化ガラスを含む。)、ITO(インジウムスズ酸化物)膜やIZO(インジウム亜鉛酸化物)膜が形成されたガラス基板、プラスチック(ポリイミド、PET等)基板、低誘電率材料(low-k材料)被覆基板、フレキシブル基板等〕の上に、スピナー、コーター等の適当な塗布方法により、本発明のシリコン含有レジスト下層膜形成用組成物を塗布し、その後、ホットプレート等の加熱手段を用いて焼成することによって組成物を硬化物とし、レジスト下層膜を形成する。以下、本明細書において、レジスト下層膜とは、本発明のシリコン含有レジスト下層膜、又は本発明のシリコン含有レジスト下層膜形成用組成物より形成される膜をいう。
 焼成する条件としては、焼成温度40℃~400℃、又は80℃~250℃、焼成時間0.3分間~60分間の中から適宜選択される。好ましくは、焼成温度150℃~250℃、焼成時間0.5分間~2分間である。
 ここで形成されるレジスト下層膜の膜厚としては、例えば、10nm~1,000nmであり、又は20nm~500nmであり、又は50nm~300nmであり、又は100nm~200nm、又は10~150nmである。
 なおレジスト下層膜の形成時に使用するシリコン含有レジスト下層膜形成用組成物として、ナイロンフィルタろ過したシリコン含有レジスト下層膜形成用組成物を用いることができる。ここでナイロンフィルタろ過したシリコン含有レジスト下層膜形成用組成物とは、シリコン含有レジスト下層膜形成用組成物を製造する途中の段階において、又は全ての成分を混合した後に、ナイロンフィルタろ過を行った組成物を指す。
 本発明の一態様では、基板上に有機下層膜を形成した後、この上にレジスト下層膜を形成した態様とするが、場合によって有機下層膜を設けない態様とすることもあり得る。
 ここで使用する有機下層膜としては、特に制限はなく、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができる。
 基板上に、有機下層膜、その上にレジスト下層膜、さらにその上に後述する金属含有レジスト膜を設けた態様とすることにより、金属含有レジスト膜のパターン幅が狭くなり、パターン倒れを防ぐ為に金属含有レジスト膜を薄く被覆した場合でも、後述する適切なエッチングガスを選択することにより基板の加工が可能になる。例えば、金属含有レジスト膜に対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いて、レジスト下層膜の加工が可能であり、またレジスト下層膜に対して十分に早いエッチング速度を有する酸素系ガスをエッチングガスとして用いて、有機下層膜の加工が可能であり、更に有機下層膜に対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いて、基板の加工を行うことができる。
 なお、この際に用い得る基板及び塗布方法は、上述したものと同じものが挙げられる。
 次いで、レジスト下層膜の上に、例えば金属含有レジスト材料の層(金属含有レジスト膜)が形成される。金属含有レジスト膜の形成は周知の方法にて、すなわち、レジスト下層膜の上に、金属含有レジストである塗布型レジスト材料(金属含有レジスト膜形成用組成物)を塗布し焼成することによって行うことができる。
 金属含有レジスト膜の膜厚は、例えば5nm~10,000nmであり、又は5nm~1,000nmであり、又は5nm~40nmである。
 金属含有レジストは、金属酸化物レジスト(メタルオキサイドレジスト(MOR))とも呼ばれ、代表的には、スズ酸化物系レジストが挙げられる。
 金属酸化物レジスト材料として、例えば、特開2019-113855号公報に記載の、金属炭素結合及び/又は金属カルボキシラート結合により有機配位子を有する金属オキソ-ヒドロキソネットワークを含むコーティング組成物が挙げられる。
 金属含有レジストの一例は、放射線感受性安定化配位子としてペルオキソ配位子を使用する。ペルオキソベースの金属オキソ-ヒドロキソ化合物は、例えば、公表2019-532489号公報の段落〔0011〕に記載されている特許文献にその詳細が説明されている。当該特許文献としては、例えば、米国特許第9,176,377B2号明細書、米国特許出願公開第2013/0224652A1号明細書、米国特許第9,310,684B2号明細書、米国特許出願公開第2016/0116839A1号明細書、米国特許出願公開第15/291738号明細書が挙げられる。
 次に、レジスト下層膜の上層に形成された金属含有レジスト膜に対して、所定のマスク(レクチル)を通して露光を行う。露光には、KrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)、Fエキシマレーザー(波長157nm)、EUV(波長13.5nm)、電子線等を使用することができる。
 露光後、必要に応じて露光後加熱(post exposure bake)を行うこともできる。露光後加熱は、加熱温度70℃~250℃、加熱時間0.3分間~10分間から適宜選択された条件で行われる。
 また本発明では、現像液として有機溶剤を用いることができ、露光後に現像液(溶剤)によって現像が行われる。これにより、例えばネガ型金属含有レジスト膜が使用された場合は、露光されていない部分の金属含有レジスト膜が除去され、金属含有レジスト膜のパターンが形成される。
 現像液(有機溶剤)としては、例えば、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸アミル、酢酸イソアミル、メトキシ酢酸エチル、エトキシ酢酸エチル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、エチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート、ジエチレングリコールモノプロピルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、2-メトキシブチルアセテート、3-メトキシブチルアセテート、4-メトキシブチルアセテート、3-メチル-3-メトキシブチルアセテート、3-エチル-3-メトキシブチルアセテート、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、2-エトキシブチルアセテート、4-エトキシブチルアセテート、4-プロポキシブチルアセテート、2-メトキシペンチルアセテート、3-メトキシペンチルアセテート、4-メトキシペンチルアセテート、2-メチル-3-メトキシペンチルアセテート、3-メチル-3-メトキシペンチルアセテート、3-メチル-4-メトキシペンチルアセテート、4-メチル-4-メトキシペンチルアセテート、プロピレングリコールジアセテート、ギ酸メチル、ギ酸エチル、ギ酸ブチル、ギ酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、炭酸エチル、炭酸プロピル、炭酸ブチル、ピルビン酸メチル、ピルビン酸エチル、ピルビン酸プロピル、ピルビン酸ブチル、アセト酢酸メチル、アセト酢酸エチル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、2-ヒドロキシプロピオン酸メチル、2-ヒドロキシプロピオン酸エチル、メチル-3-メトキシプロピオネート、エチル-3-メトキシプロピオネート、エチル-3-エトキシプロピオネート、プロピル-3-メトキシプロピオネート等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度は5℃~50℃、時間は10秒~600秒から適宜選択される。
 このようにして形成された金属含有レジスト膜(上層)のパターンを保護膜としてレジスト下層膜(中間層)の除去を行い、次いでパターン化された金属含有レジスト膜とパターン化されたレジスト下層膜(中間層)からなる膜を保護膜として、有機下層膜(下層)の除去を行う。そして最後に、パターン化されたレジスト下層膜(中間層)及び、パターン化された有機下層膜(下層)を保護膜として、基板の加工を行う。
 金属含有レジスト膜(上層)のパターンを保護膜として行われるレジスト下層膜(中間層)の除去(パターン化)はドライエッチングによって行われ、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、一酸化炭素、アルゴン、酸素、窒素、六フッ化硫黄、ジフルオロメタン、三フッ化窒素、三フッ化塩素、塩素、トリクロロボラン及びジクロロボラン等のガスを使用することができる。
 なおレジスト下層膜のドライエッチングには、ハロゲン系ガスを使用することが好ましい。ハロゲン系ガスによるドライエッチングでは、基本的に金属含有レジスト膜は除去されにくい。それに対し、ケイ素原子を多く含むレジスト下層膜はハロゲン系ガスによって速やかに除去される。そのため、該レジスト下層膜のドライエッチングに伴う金属含有レジスト膜の膜厚の減少を抑えることができる。そして、その結果、金属含有レジスト膜を薄膜で使用することが可能となる。従って、レジスト下層膜のドライエッチングはフッ素系ガスによることが好ましく、フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、ジフルオロメタン(CH)等が挙げられるが、これらに限定されない。
 基板とレジスト下層膜の間に有機下層膜を有している場合、次いで(残存している場合にはパターン化された金属含有レジスト膜(上層)と)パターン化されたレジスト下層膜(中間層)からなる膜を保護膜として行われる有機下層膜(下層)の除去(パターン化)は、酸素系ガス(酸素ガス、酸素/硫化カルボニル(COS)混合ガス等)によるドライエッチングによって行われることが好ましい。これは、ケイ素原子を多く含む本発明のレジスト下層膜は、酸素系ガスによるドライエッチングでは除去されにくいことによる。
 その後、パターン化されたレジスト下層膜(中間層)、及び所望によりパターン化された有機下層膜(下層)を保護膜として行われる(半導体)基板の加工(パターン化)は、フッ素系ガスによるドライエッチングによって行われることが好ましい。
 フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、及びジフルオロメタン(CH)等が挙げられる。
 有機下層膜の除去(パターン化)後、又は、基板の加工(パターン化)後、レジスト下層膜の除去が行われ得る。レジスト下層膜の除去は、ドライエッチング又はウェットエッチング(湿式法)により実施され得る。
 レジスト下層膜のドライエッチングは、パターン化において挙げたようにフッ素系ガスによることが好ましく、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、ジフルオロメタン(CH)等が挙げられるが、これらに限定されない。
 レジスト下層膜のウェットエッチングに使用される薬液としては、希フッ酸(フッ化水素酸)、バッファードフッ酸(HFとNHFの混合溶液)、塩酸と過酸化水素を含有する水溶液(SC-2薬液)、硫酸と過酸化水素を含有する水溶液(SPM薬液)、弗酸と過酸化水素を含有する水溶液(FPM薬液)や、アンモニアと過酸化水素を含有する水溶液(SC-1薬液)等のアルカリ性溶液が挙げられる。またアルカリ性溶液としては、前述のアンモニアと過酸化水素水と水の混合によるアンモニア過水(SC-1薬液)のほか、アンモニア、テトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、コリンヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド、DBU(ジアザビシクロンデセン)、DBN(ジアザビシクロノネン)、ヒドロキシルアミン、1-ブチル-1-メチルピロリジニウムヒドロキシド、1-プロピル-1-メチルピロリジニウムヒドロキシド、1-ブチル-1-メチルピペリジニウムヒドロキシド、1-プロピル-1-メチルピペリジニウムヒドロキシド、メピクアトヒドロキシド、トリメチルスルホニウムヒドロキシド、ヒドラジン類、エチレンジアミン類、又はグアニジンを1~99質量%含有する水溶液を挙げることができる。これら薬液は混合して用いることもできる。
 またレジスト下層膜の上層には、金属含有レジスト膜の形成前に有機系の反射防止膜を形成することができる。そこで使用される反射防止膜組成物としては特に制限はなく、例えば、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができ、また、慣用されている方法、例えば、スピナー、コーターによる塗布及び焼成によって反射防止膜の形成を行うことができる。
 また、シリコン含有レジスト下層膜形成用組成物が塗布される基板は、その表面にCVD法などで形成された有機系又は無機系の反射防止膜を有するものであってもよく、その上にレジスト下層膜を形成することもできる。基板上に有機下層膜を形成した後、この上に本発明のレジスト下層膜を形成する場合も、用いる基板は、その表面にCVD法などで形成された有機系又は無機系の反射防止膜を有するものであってもよい。
 シリコン含有レジスト下層膜形成用組成物より形成されるレジスト下層膜はまた、リソグラフィープロセスにおいて使用される光の波長によっては、その光に対する吸収を有することがある。そして、そのような場合には、基板からの反射光を防止する効果を有する反射防止膜として機能することができる。
 さらにレジスト下層膜は、基板と金属含有レジスト膜との相互作用を防止するための層、金属含有レジスト膜に用いられる材料又は金属含有レジスト膜への露光時に生成する物質の基板への悪作用を防ぐ機能を有する層、加熱焼成時に基板から生成する物質の金属含有レジスト膜への拡散を防ぐ機能を有する層、及び半導体基板誘電体層による金属含有レジスト膜のポイズニング効果を減少させるためのバリア層等として使用することも可能である。
 レジスト下層膜は、デュアルダマシンプロセスで用いられるビアホールが形成された基板に適用され得、ホールを隙間なく充填することができる穴埋め材(埋め込み材)として使用できる。また、凹凸のある半導体基板の表面を平坦化するための平坦化材として使用することもできる。
 また本発明のレジスト下層膜は、EUV金属含有レジスト膜の下層膜として、ハードマスクとしての機能以外にも、例えばEUV金属含有レジスト膜とインターミキシングすることなく、EUV露光(波長13.5nm)に際して好ましくない露光光、例えばUV(紫外)光やDUV(深紫外)光(ArF光、KrF光)の基板又は界面からの反射を防止することができる。従って、EUV金属含有レジスト膜の下層反射防止膜を形成するために、本発明のシリコン含有レジスト下層膜形成用組成物を好適に用いることができる。すなわちEUV金属含有レジスト膜の下層として効率的に反射を防止することができる。EUVレジスト下層膜として用いた場合は、そのプロセスはフォトレジスト用下層膜と同様に行うことができる。
 以上説明した本発明のレジスト下層膜と、半導体基板とを備える半導体加工用基板は、これを用いることによって、好適に半導体基板を加工することができる。
 また、上述した通りの、有機下層膜を形成する工程と、該有機下層膜上に、本発明のシリコン含有レジスト下層膜形成用組成物を用いてレジスト下層膜を形成する工程と、当該シレジスト下層膜上に、金属含有レジスト膜を形成する工程とを含む、半導体素子の製造方法によれば、精度の高い半導体基板の加工を再現性よく実現できるため、半導体素子の安定的な製造を期待できる。
 以下、合成例及び実施例を挙げて、本発明をより具体的に説明するが、本発明は下記実施例のみに限定されるものではない。
 なお実施例において、試料の物性の分析に使用した装置及び条件は、以下のとおりである。
(1)分子量測定
 本発明で用いるポリシロキサンの分子量は、GPC分析によるポリスチレン換算で得られる分子量である。
 GPCの測定条件は、GPC装置(商品名HLC-8220GPC、東ソー株式会社製)、GPCカラム(商品名Shodex(登録商標)KF803L、KF802、KF801、昭和電工株式会社製)、カラム温度は40℃、溶離液(溶出溶媒)はテトラヒドロフラン、流量(流速)は1.0mL/min、標準試料はポリスチレン(昭和電工株式会社製)を用いて行った。
(2)H-NMR
 JEOL製核磁気共鳴装置H-NMR(400MHz)、溶媒はd6-Acetoneを用いて評価した。
eを用いて評価した。
[1]ポリマー(加水分解縮合物)の合成
 テトラエトキシシラン20.8g、メチルトリエトキシシラン7.6g、及びプロピレングリコールモノエチルエーテル52.9gを300mlのフラスコに入れ、得られた混合溶液をマグネチックスターラーにて撹拌しながら0.2M硝酸水溶液8.4gを滴下した。
 滴下後、60℃に調整されたオイルバスにフラスコを移し、20時間還流させた。その後、反応副生物である、エタノール、及び水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノエチルエーテルを加え、プロピレングリコールモノエチルエーテル100%の溶媒比率として150℃における固形残物換算で20質量パーセントとなるように濃度調整し、ナイロン製フィルタ(孔径0.1μm)にてろ過を行った。得られたポリマーは下記式(E1)で表される構造を含み、その重量平均分子量は、GPCによるポリスチレン換算でMw2,300であった。
Figure JPOXMLDOC01-appb-C000093
(合成例2)
 テトラエトキシシラン20.8g、メチルトリエトキシシラン5.1g、フェニルトリメトキシシラン2.8g及びプロピレングリコールモノエチルエーテル53.4gを300mlのフラスコに入れ、得られた混合溶液をマグネチックスターラーにて撹拌しながら0.2M硝酸水溶液8.4gを滴下した。
 滴下後、60℃に調整されたオイルバスにフラスコを移し、20時間還流させた。その後、反応副生物である、エタノール、メタノール、及び水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノエチルエーテルを加え、プロピレングリコールモノエチルエーテル100%の溶媒比率として150℃における固形残物換算で20質量パーセントとなるように濃度調整し、ナイロン製フィルタ(孔径0.1μm)にてろ過を行った。得られたポリマーは下記式(E2)で表される構造を含み、その重量平均分子量は、GPCによるポリスチレン換算でMw2,700であった。
Figure JPOXMLDOC01-appb-C000094
(合成例3)
 テトラエトキシシラン20.8g、メチルトリエトキシシラン5.1g、5-(トリエトキシシリル)-2-ノルボルネン3.7g及びプロピレングリコールモノエチルエーテル53.4gを300mlのフラスコに入れ、得られた混合溶液をマグネチックスターラーにて撹拌しながら0.2M硝酸水溶液8.4gを滴下した。
 滴下後、60℃に調整されたオイルバスにフラスコを移し、20時間還流させた。その後、反応副生物である、エタノール、及び水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノエチルエーテルを加え、プロピレングリコールモノエチルエーテル100%の溶媒比率として150℃における固形残物換算で20質量パーセントとなるように濃度調整し、ナイロン製フィルタ(孔径0.1μm)にてろ過を行った。得られたポリマーは下記式(E3)で表される構造を含み、その重量平均分子量は、GPCによるポリスチレン換算でMw2,200であった。
Figure JPOXMLDOC01-appb-C000095
(合成例4)
 テトラエトキシシラン20.8g、メチルトリエトキシシラン5.1g、ジアリルイソシアヌレートプロピルトリエトキシシラン5.9g、及びプロピレングリコールモノエチルエーテル59.1gを300mlのフラスコに入れ、得られた混合溶液をマグネチックスターラーにて撹拌しながら0.2M硝酸水溶液8.4gを滴下した。
 滴下後、60℃に調整されたオイルバスにフラスコを移し、20時間還流させた。その後、反応副生物である、エタノール、及び水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノエチルエーテルを加え、プロピレングリコールモノエチルエーテル100%の溶媒比率として150℃における固形残物換算で20質量パーセントとなるように濃度調整し、ナイロン製フィルタ(孔径0.1μm)にてろ過を行った。得られたポリマーは下記式(E4)で表される構造を含み、その重量平均分子量は、GPCによるポリスチレン換算でMw2,300であった。
Figure JPOXMLDOC01-appb-C000096
[2]シリコン含有レジスト下層膜形成用組成物の調製
 上記合成例で得られたポリシロキサン(ポリマー)、酸(添加剤1)、硬化触媒(添加剤2)、硫酸、スルホン酸化合物、またはその塩(添加剤3)、及び溶媒を表1に示す割合で混合し、0.1μmのフッ素樹脂製のフィルタでろ過することによって、シリコン含有レジスト下層膜形成用組成物をそれぞれ調製した。表1中の各添加量は質量部で示した。
 なお、加水分解縮合物(ポリマー)は合成例で得た該縮合物を含む溶液として組成物を調製しているが、表1中のポリマーの添加割合はポリマー溶液の添加量ではなく、ポリマー自体の添加量を示した。
 表1中の略号の意味は以下のとおりである。
<溶媒>
 DIW:超純水
 PGEE:プロピレングリコールモノエチルエーテル
 PGME:プロピレングリコールモノメチルエーテル
<添加剤1>
 MA:マレイン酸
<添加剤2>
 TPSNO3:トリフェニルスルホニウム硝酸塩
<添加剤3>
 PrDSA:1,3-プロパンジスルホン酸
 BiPhDSA:4,4-ビフェニルジスルホン酸
 NaphDSA:1,5-ナフタレンジスルホン酸
 SA:硫酸
 TPS-PrDSA:トリフェニルスルホニウム1,3-プロパンジスルホン酸塩
 MSA:メタンスルホン酸
 PTSA:p-トルエンスルホン酸
Figure JPOXMLDOC01-appb-T000097
 ※実施例1~8及び比較例1~3は、合成例で調製したポリマー溶液に含まれる硝酸をさらに含む。
[3]有機下層膜形成用組成物の調製
 窒素下、100mlの四口フラスコにカルバゾール(6.69g、0.040mol、東京化成工業(株)製)、9-フルオレノン(7.28g、0.040mol、東京化成工業(株)製)、及びパラトルエンスルホン酸一水和物(0.76g、0.0040mol、東京化成工業(株)製)を加え、1,4-ジオキサン(6.69g、関東化学(株)製)を仕込み撹拌し、100℃まで昇温し溶解させ重合を開始した。24時間後、60℃まで放冷した。
 冷却した反応混合物に、クロロホルム(34g、関東化学(株)製)を加えて希釈し、希釈した混合物をメタノール(168g、関東化学(株)製)に添加して沈殿させた。
 得られた沈殿物をろ過して回収し、回収した固体を減圧乾燥機で80℃、24時間乾燥し、目的とする式(X)で表されるポリマー(以下PCzFLと略す)9.37gを得た。
 なお、PCzFLのH-NMRの測定結果は以下の通りであった。
 H-NMR(400MHz,DMSO-d):δ(ppm)7.03-7.55(br,12H),δ7.61-8.10(br,4H),δ11.18(br,1H)
 また、PCzFLの重量平均分子量Mwは、GPCによるポリスチレン換算では2,800、多分散度Mw/Mnは1.77であった。
 PCzFL 20gと、架橋剤としてテトラメトキシメチルグリコールウリル(日本サイテック・インダストリーズ(株)(旧 三井サイテック(株))製、商品名パウダーリンク1174)3.0gと、触媒としてピリジニウムパラトルエンスルホネート0.30gと、界面活性剤としてメガファックR-30(DIC(株)製、商品名)0.06gとを混合し、得られた混合物をプロピレングリコールモノメチルエーテルアセテート88gに溶解させ溶液とした。その後、得られた溶液を孔径0.10μmのポリエチレン製ミクロフィルタを用いてろ過し、更に、孔径0.05μmのポリエチレン製ミクロフィルタを用いてろ過して、有機下層膜形成用組成物を調製した。
[4]溶剤耐性試験
 実施例1~8及び比較例1~3で調製した組成物を、スピナーを用いてシリコンウェハー上にそれぞれ塗布した。ホットプレート上で215℃1分間加熱し、Si含有レジスト下層膜をそれぞれ形成し、得られたレジスト下層膜の膜厚を計測した。膜厚はおよそ10nmであった。
 その後、各Si含有レジスト下層膜上に、プロピレングリコールモノメチルエーテル/プロピレングリコールモノメチルエーテルアセテートの混合溶媒(7/3(V/V))を塗布してスピン乾燥した。塗布後の下層膜の膜厚を計測し、混合溶媒塗布前の膜厚を基準(100%)として、混合溶媒塗布後の膜厚の変化の割合(%)を算出した。混合溶媒塗布前後での膜厚変化の割合が1%以下のものを「良好」、膜厚変化の割合が1%超のものを「硬化せず」と評価した。
 得られた結果を表2に示す。
Figure JPOXMLDOC01-appb-T000099
[5]EUV露光によるレジストパターンの形成:ネガ型有機溶媒現像
 シリコンウェハー上に、上記有機下層膜形成用組成物をスピンコートし、ホットプレート上で215℃で1分間加熱することで、有機下層膜(A層)(膜厚90nm)を形成した。
 その上に、実施例1で得られた組成物をスピンコートし、ホットプレート上で215℃で1分間加熱することにより、レジスト下層膜(B)層(膜厚10nm)を形成した。
 更にその上に、EUV用レジスト溶液(スズ酸化物系レジスト)をスピンコートし、130℃で1分間加熱することにより、EUVレジスト層(C)層を形成し、その後、ASML製EUV露光装置(NXE3300B)を用い、NA=0.33、σ=0.67/0.90(outer/inner)、Dipoleの条件にて露光した。なお露光時には、下記現像後にEUVレジストのライン幅及びライン間の幅(スペース幅)が16nmとなるように、すなわち16nmのラインアンドスペース(L/S)=1/1のデンスラインが形成されるように設定されたマスクを通して露光を行った。
 露光後、露光後加熱(PEB、170℃1分間)を行い、クーリングプレート上で室温まで冷却し、有機溶媒(プロピレングリコールモノメチルエーテルアセテート)を用いて60秒現像し、リンス処理をし、レジストパターンを形成した。
 同様の手順にて、実施例2~8、比較例1~3で得られた各組成物のそれぞれを用いてレジストパターンを形成した。
 (株)日立ハイテクノロジーズ製の測長SEM(CG4100)を用いて、ライン寸法が16nmで形成されるときの露光量を測定してこれを感度とし、また、このときのライン60本の寸法を測定し、ライン幅ラフネス(line width roughness: LWR)を求めた。結果を表3に示す。
Figure JPOXMLDOC01-appb-T000100
 表3に示すように、硫酸、多官能スルホン酸、またはそれらの塩を含むシリコン含有レジスト下層膜形成用組成物を用いて形成したポリシロキサン膜をレジスト下層膜として使用すると、硫酸、多官能スルホン酸、またはそれらの塩を含まないシリコン含有レジスト下層膜形成用組成物(比較例1)と比べて感度を向上できることがわかる。一方、単官能スルホン酸を有する比較例2~比較例3の組成物にあっては感度の向上は見られなかった。

 

Claims (18)

  1.  [A]成分:ポリシロキサン、
     [B]成分:硫酸、多官能スルホン酸、又はそれらの塩、及び
     [C]成分:溶媒
    を含有する、シリコン含有レジスト下層膜形成用組成物。
  2.  前記多官能スルホン酸が、下記式(A)で表される化合物である、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000001
    (式(A)中、nは、1~3の整数を表す。Rは、炭素原子数1~15のn+1価の有機基を表す。)
  3.  前記[B]成分における前記塩が、アンモニウム塩、イミダゾリウム塩、ピリジニウム塩、スルホニウム塩、ホスホニウム塩、及びヨードニウム塩のいずれかである、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  4.  前記[A]成分であるポリシロキサンが、シラノール基の一部がアルコール変性された又はアセタール保護されたポリシロキサン変性物である、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  5.  前記[C]成分が、アルコール系溶媒を含有する、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  6.  前記[C]成分が、プロピレングリコールモノアルキルエーテルを含有する、請求項5に記載のシリコン含有レジスト下層膜形成用組成物。
  7.  [D]成分:硬化触媒を更に含有する、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  8.  [E]成分:硝酸を更に含有する、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  9.  前記[C]成分が、水を含有する、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  10.  EUVリソグラフィー用レジスト下層膜形成用である、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  11.  請求項1~10のいずれかに記載のシリコン含有レジスト下層膜形成用組成物の硬化物である、シリコン含有レジスト下層膜。
  12.  半導体基板と、
     請求項11に記載のシリコン含有レジスト下層膜と、
    を備える半導体加工用基板。
  13.  基板上に、有機下層膜を形成する工程と、
     前記有機下層膜の上に、請求項1~10のいずれかに記載のシリコン含有レジスト下層膜形成用組成物を用いてレジスト下層膜を形成する工程と、
     前記レジスト下層膜の上に、金属含有レジスト膜を形成する工程と、
    を含む、半導体素子の製造方法。
  14.  前記金属含有レジスト膜が、EUVリソグラフィー用金属含有レジストから形成される、
    請求項13に記載の半導体素子の製造方法。
  15.  前記レジスト下層膜を形成する工程において、ナイロンフィルタろ過したシリコン含有レジスト下層膜形成用組成物を用いる、
    請求項13に記載の半導体素子の製造方法。
  16.  半導体基板上に有機下層膜を形成する工程と、
     前記有機下層膜の上に、請求項1~10のいずれかに記載のシリコン含有レジスト下層膜形成用組成物を塗布し、焼成して、レジスト下層膜を形成する工程と、
     前記レジスト下層膜の上に、金属含有レジスト膜を形成する工程と、
     前記金属含有レジスト膜を露光、現像し、レジストパターンを得る工程と、
     前記レジストパターンをマスクに用い、前記レジスト下層膜をエッチングする工程と、
     パターン化された前記レジスト下層膜をマスクとして用い、前記有機下層膜をエッチングする工程と、
    を含む、パターン形成方法。
  17.  前記有機下層膜をエッチングする工程の後に、薬液を用いた湿式法により前記レジスト下層膜を除去する工程、
    を更に含む、請求項16に記載のパターン形成方法。
  18.  前記金属含有レジスト膜が、EUVリソグラフィー用金属含有レジストから形成される、
    請求項16に記載のパターン形成方法。
PCT/JP2023/026333 2022-07-20 2023-07-19 多官能スルホン酸を含むシリコン含有レジスト下層膜形成用組成物 WO2024019064A1 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2022115520 2022-07-20
JP2022-115520 2022-07-20

Publications (1)

Publication Number Publication Date
WO2024019064A1 true WO2024019064A1 (ja) 2024-01-25

Family

ID=89617715

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2023/026333 WO2024019064A1 (ja) 2022-07-20 2023-07-19 多官能スルホン酸を含むシリコン含有レジスト下層膜形成用組成物

Country Status (1)

Country Link
WO (1) WO2024019064A1 (ja)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012078602A (ja) * 2010-10-01 2012-04-19 Jsr Corp レジスト下層膜形成用組成物、レジスト下層膜及びパターン形成方法
WO2014098076A1 (ja) * 2012-12-19 2014-06-26 日産化学工業株式会社 環状ジエステル基を有するシリコン含有レジスト下層膜形成組成物
JP2015072329A (ja) * 2013-10-02 2015-04-16 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法
WO2022230940A1 (ja) * 2021-04-30 2022-11-03 日産化学株式会社 シリコン含有レジスト下層膜形成用組成物

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012078602A (ja) * 2010-10-01 2012-04-19 Jsr Corp レジスト下層膜形成用組成物、レジスト下層膜及びパターン形成方法
WO2014098076A1 (ja) * 2012-12-19 2014-06-26 日産化学工業株式会社 環状ジエステル基を有するシリコン含有レジスト下層膜形成組成物
JP2015072329A (ja) * 2013-10-02 2015-04-16 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法
WO2022230940A1 (ja) * 2021-04-30 2022-11-03 日産化学株式会社 シリコン含有レジスト下層膜形成用組成物

Similar Documents

Publication Publication Date Title
JP2021189314A (ja) シリコン含有レジスト下層膜の製造方法
WO2023037979A1 (ja) シリコン含有レジスト下層膜形成用組成物、該組成物を用いた積層体、及び半導体素子の製造方法
WO2022230940A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022114132A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2024019064A1 (ja) 多官能スルホン酸を含むシリコン含有レジスト下層膜形成用組成物
WO2022210960A1 (ja) 誘導自己組織化用シリコン含有下層膜形成用組成物
WO2024063044A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2023136250A1 (ja) シリコン含有レジスト下層膜形成用組成物、及びシリコン含有レジスト下層膜
WO2022260154A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2023008507A1 (ja) シリコン含有レジスト下層膜形成用組成物、及びシリコン含有レジスト下層膜
WO2024009993A1 (ja) 積層体の製造方法、及び半導体素子の製造方法
WO2022210901A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022210944A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2023157943A1 (ja) 不飽和結合及び環式構造を有するシリコン含有レジスト下層膜形成組成物
WO2022114134A1 (ja) レジスト下層膜形成用組成物
WO2022210954A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2021221171A1 (ja) レジスト下層膜形成用組成物
TW202411781A (zh) 含有多官能磺酸之含矽之光阻下層膜形成用組成物
WO2023074777A1 (ja) 添加剤含有シリコン含有レジスト下層膜形成組成物
CN116547345A (zh) 聚乙烯醇膜以及使用其的偏振膜和偏振板
KR20210148192A (ko) 막형성용 조성물

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23842987

Country of ref document: EP

Kind code of ref document: A1