WO2022210901A1 - シリコン含有レジスト下層膜形成用組成物 - Google Patents

シリコン含有レジスト下層膜形成用組成物 Download PDF

Info

Publication number
WO2022210901A1
WO2022210901A1 PCT/JP2022/016071 JP2022016071W WO2022210901A1 WO 2022210901 A1 WO2022210901 A1 WO 2022210901A1 JP 2022016071 W JP2022016071 W JP 2022016071W WO 2022210901 A1 WO2022210901 A1 WO 2022210901A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
underlayer film
resist underlayer
forming
composition
Prior art date
Application number
PCT/JP2022/016071
Other languages
English (en)
French (fr)
Inventor
諭 武田
亘 柴山
修平 志垣
宏大 加藤
Original Assignee
日産化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日産化学株式会社 filed Critical 日産化学株式会社
Priority to JP2023511488A priority Critical patent/JPWO2022210901A1/ja
Priority to KR1020237037208A priority patent/KR20230165801A/ko
Priority to CN202280025083.2A priority patent/CN117083570A/zh
Publication of WO2022210901A1 publication Critical patent/WO2022210901A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making

Definitions

  • the present invention relates to a composition for forming a resist underlayer film, particularly when used together with a thin resist film or when forming a thin resist underlayer film and using this composition, silicon having good lithography properties capable of suppressing pattern collapse and the like.
  • a composition for forming a silicon-containing resist underlayer film capable of forming a silicon-containing resist underlayer film.
  • Microfabrication by lithography using a photoresist has been conventionally performed in the manufacture of semiconductor devices.
  • the fine processing is obtained by forming a thin film of photoresist on a semiconductor substrate such as a silicon wafer, irradiating actinic rays such as ultraviolet rays through a mask pattern on which a semiconductor device pattern is drawn, and developing.
  • This is a processing method in which fine unevenness corresponding to the pattern is formed on the surface of the substrate by etching the substrate using the photoresist pattern as a protective film.
  • An object of the present invention is to provide a silicon-containing resist underlayer film-forming composition for forming a silicon-containing resist underlayer film.
  • a weight-average molecular weight obtained in terms of polystyrene by gel permeation chromatography (GPC) analysis is 1,800 or less, and Silicon-containing resist lower layer containing polysiloxane and [B] solvent, wherein the ratio of molecular weights exceeding 2,500 is less than 20% in the integral molecular weight distribution curve obtained by gel permeation chromatography (GPC) analysis in terms of polystyrene
  • GPC gel permeation chromatography
  • the above [A] polysiloxane is a polysiloxane having a molecular weight of more than 2,000 in an integrated molecular weight distribution curve obtained in terms of polystyrene by gel permeation chromatography (GPC) analysis and less than 35%. It relates to the composition for forming a silicon-containing resist underlayer film according to the first aspect. As a third aspect, the composition for forming a silicon-containing resist underlayer film according to the first aspect or the second aspect, wherein the [A] polysiloxane has a weight average molecular weight of 1,100 or more and 1,800 or less.
  • the composition for forming a silicon-containing resist underlayer film according to any one of the first to third aspects, which is a composition capable of forming a pattern even with a resist underlayer film having a thickness of 10 nm or less.
  • the [A] polysiloxane is a hydrolytic condensate of a hydrolyzable silane containing at least one hydrolyzable silane represented by the following formula (1), and a silanol group possessed by the condensate.
  • a modified hydrolytic condensate in which at least a portion of the condensate is alcohol-modified, a modified hydrolytic condensate in which at least a portion of the silanol groups of the condensate is acetal-protected, and a dehydration reaction between the condensate and alcohol The composition for forming a silicon-containing resist underlayer film according to any one of the first to fourth aspects, which contains at least one selected from the group consisting of substances.
  • R 1 is a group that binds to a silicon atom and is independently of each other an optionally substituted alkyl group, an optionally substituted aryl group, an optionally substituted aralkyl group, a substituted optionally substituted halogenated alkyl group, optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted alkoxyaryl group, substituted represents an optionally substituted alkoxyaralkyl group or an optionally substituted alkenyl group, or an epoxy group, acryloyl group, methacryloyl group, mercapto group, amino group, amido group, alkoxy group, sulfonyl group, or cyano group or a combination thereof
  • R 2 is a group or atom bonded to a silicon atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group,
  • the composition for forming a silicon-containing resist underlayer film according to any one of the first to sixth aspects wherein the solvent [B] contains water.
  • it relates to the composition for forming a silicon-containing resist underlayer film according to any one of the first to seventh aspects, further comprising a pH adjuster.
  • [C] the normal boiling point is 230.0 ° C. or higher and includes a glycol compound represented by the following formula (2), according to any one of the first to eighth aspects and a composition for forming a silicon-containing resist underlayer film.
  • composition for forming a silicon-containing resist underlayer film according to any one of the first to ninth aspects further comprising a surfactant.
  • composition for forming a silicon-containing resist underlayer film according to any one of the first to tenth aspects further contains a metal oxide.
  • a twelfth aspect relates to the composition for forming a silicon-containing resist underlayer film according to any one of the first to eleventh aspects, which is for forming a resist underlayer film for EUV lithography.
  • a thirteenth aspect relates to a resist underlayer film, which is a cured product of the composition for forming a silicon-containing resist underlayer film according to any one of the first to twelfth aspects.
  • a fourteenth aspect relates to the resist underlayer film according to the thirteenth aspect, which has a thickness of 10 nm or less.
  • a fifteenth aspect relates to a semiconductor processing substrate comprising a semiconductor substrate and the resist underlayer film according to the thirteenth or fourteenth aspect.
  • the present invention relates to a method of manufacturing a semiconductor device. As a seventeenth aspect, it relates to the production method according to the sixteenth aspect, wherein the silicon-containing resist underlayer film-forming composition filtered through a nylon filter is used in the step of forming the silicon-containing resist underlayer film.
  • An eighteenth aspect relates to a resist underlayer film obtained by coating a semiconductor substrate with the composition for forming a silicon-containing resist underlayer film according to any one of the first to twelfth aspects and baking the composition.
  • a step of applying the silicon-containing resist underlayer film forming composition according to any one of the first to twelfth aspects onto a semiconductor substrate and baking the composition to form a resist underlayer film forming a resist film by applying a composition for forming a resist film thereon; exposing the resist film; developing the resist after exposure to obtain a resist pattern; etching the resist underlayer film according to the resist pattern; and a method of manufacturing a semiconductor device including a step of processing a semiconductor substrate with a patterned resist underlayer film.
  • forming a resist film applying a resist film-forming composition on the resist underlayer film to form a resist film, exposing the resist film, developing the resist after exposure to obtain a resist pattern, resist pattern
  • the present invention relates to a method for manufacturing a semiconductor device, including a step of etching a resist underlayer film by using a resist underlayer film, a step of etching an organic underlayer film with a patterned resist underlayer film, and a step of processing a semiconductor substrate with the patterned organic underlayer film.
  • the present invention it is possible to provide a composition for forming a silicon-containing resist underlayer film, which can form a silicon-containing resist underlayer film from which a good resist pattern can be obtained without pattern collapse even when the film thickness is 10 nm or less. can. Further, according to the present invention, it is possible to provide a composition for forming a silicon-containing resist underlayer film that can be suitably used in a lithography process that requires further thinning and miniaturization.
  • the present invention is directed to a composition for forming a silicon-containing resist underlayer film capable of preventing collapse of a resist pattern accompanying thinning of a semiconductor system, and comprises [A] a polysiloxane having a specific molecular weight and [B] a solvent containing silicon.
  • the present invention relates to a composition for forming a resist underlayer film containing the composition (hereinafter also simply referred to as "composition for forming a resist underlayer film"). The present invention will be described in detail below.
  • [A] Polysiloxane in the present invention, is not particularly limited as long as it is a polymer having a siloxane bond.
  • the [A] polysiloxane in the present invention is a polysiloxane having a weight average molecular weight of 1,800 or less obtained in terms of polystyrene by gel permeation chromatography (GPC) analysis.
  • GPC gel permeation chromatography
  • a polysiloxane having a weight average molecular weight of 1,100 or more and 1,800 or less can be used.
  • the [A] polysiloxane of the present invention is a polysiloxane having a molecular weight of more than 2,500 in an integrated molecular weight distribution curve obtained in terms of polystyrene by gel permeation chromatography (GPC) analysis and less than 20%.
  • a polysiloxane having a molecular weight of 2,000 or more in the integral molecular weight distribution curve described above is less than 35%.
  • a polysiloxane having a molecular weight of 1,800 or less in a proportion of 60% or more, or a molecular weight of 1,400 or less in a proportion of 40% or more in the integral molecular weight distribution curve can be used.
  • the polydispersity Mw/Mn in [A] polysiloxane can be, for example, less than 1.30.
  • the composition for forming a silicon-containing resist underlayer film of the present invention is a so-called low-molecular-weight polysiloxane having a weight-average molecular weight of 1,800 or less. characterized by using polysiloxane.
  • a low-molecular-weight polysiloxane By using such a low-molecular-weight polysiloxane, it is possible to obtain a composition capable of forming a silicon-containing resist underlayer film capable of preventing collapse of a resist pattern that may occur due to thinning of a resist film or the like.
  • the composition for forming a silicon-containing resist underlayer film of the present invention can suppress pattern defects such as pattern peeling, pattern collapse, and contact between patterns in the upper resist pattern even when a resist underlayer film having a thickness of 10 nm or less is formed.
  • a composition for forming a resist underlayer film capable of forming a pattern having a good shape can suppress pattern defects such as pattern peeling, pattern collapse, and contact between patterns in the upper resist pattern even when a resist underlayer film having a thickness of 10 nm or less is formed.
  • the polysiloxane may include a modified polysiloxane in which a portion of the silanol groups are modified, for example, a modified polysiloxane in which a portion of the silanol groups are alcohol-modified or acetal-protected.
  • the polysiloxane includes, for example, a hydrolytic condensate of a hydrolyzable silane, and includes a modified polysiloxane in which at least part of the silanol groups of the hydrolytic condensate is alcohol-modified or acetal-protected. good too.
  • the hydrolyzable silane related to the hydrolyzed condensate can contain one or more hydrolyzable silanes.
  • the polysiloxane may have any structure having a cage, ladder, linear, or branched main chain. Furthermore, commercially available polysiloxane can be used as the polysiloxane.
  • the "hydrolytic condensate" of the hydrolyzable silane that is, the product of hydrolytic condensation
  • Such a partially hydrolyzed condensate is also a polymer obtained by hydrolysis and condensation of a hydrolyzable silane compound, like the condensate in which the condensation is completely completed, but the hydrolysis stops partially and the condensation does not occur. not, and therefore the Si--OH groups remain.
  • composition for forming a silicon-containing resist underlayer film of the present invention includes, in addition to the hydrolytic condensate, an uncondensed hydrolyzate (complete hydrolyzate, partial hydrolyzate) and a monomer (hydrolyzable silane compound). ) may remain.
  • hydrolyzable silane may be simply referred to as "silane compound”.
  • polysiloxane examples include hydrolytic condensates of hydrolyzable silanes containing at least one hydrolyzable silane represented by the following formula (1).
  • R 1 is a group bonded to a silicon atom and independently of each other, an optionally substituted alkyl group, an optionally substituted aryl group, an optionally substituted aralkyl optionally substituted halogenated alkyl group, optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted alkoxyaryl group, optionally substituted alkoxyaralkyl group, or optionally substituted alkenyl group, or epoxy group, acryloyl group, methacryloyl group, mercapto group, amino group, amido group, alkoxy group, represents a sulfonyl group, an organic group having a cyano group, or a combination thereof; R 2 is a group or atom bonded to a silicon atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a hal
  • the alkyl group includes, for example, a linear or branched alkyl group having 1 to 10 carbon atoms, such as a methyl group, an ethyl group, an n-propyl group, an i-propyl group, an -butyl group, i-butyl group, s-butyl group, t-butyl group, n-pentyl group, 1-methyl-n-butyl group, 2-methyl-n-butyl group, 3-methyl-n-butyl group , 1,1-dimethyl-n-propyl group, 1,2-dimethyl-n-propyl group, 2,2-dimethyl-n-propyl group, 1-ethyl-n-propyl group, n-hexyl group, 1- methyl-n-pentyl group, 2-methyl-n-pentyl group, 3-methyl-n-pentyl group, 4-methyl-n-pentyl group, 1,1-dimethyl
  • Cyclic alkyl groups can also be used, and examples of cyclic alkyl groups having 3 to 10 carbon atoms include cyclopropyl, cyclobutyl, 1-methyl-cyclopropyl, 2-methyl-cyclopropyl, cyclopentyl, 1 -methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3-methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2- ethyl-cyclopropyl group, cyclohexyl group, 1-methyl-cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group , 1,2-dimethyl-cyclobutyl group, 1,3-dimethyl
  • the aryl group is a phenyl group, a monovalent group derived by removing one hydrogen atom from a condensed ring aromatic hydrocarbon compound, or a monovalent group derived by removing one hydrogen atom from a ring-linked aromatic hydrocarbon compound.
  • the number of carbon atoms is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • the aryl group includes an aryl group having 6 to 20 carbon atoms, examples of which include a phenyl group, 1-naphthyl group, 2-naphthyl group, 1-anthryl group, 2-anthryl group, 9-anthryl group, 1 -phenanthryl group, 2-phenanthryl group, 3-phenanthryl group, 4-phenanthryl group, 9-phenanthryl group, 1-naphthacenyl group, 2-naphthacenyl group, 5-naphthacenyl group, 2-chrysenyl group, 1-pyrenyl group, 2 - pyrenyl group, pentacenyl group, benzopyrenyl group, triphenylenyl group; biphenyl-2-yl group (o-biphenylyl group), biphenyl-3-yl group (m-biphenylyl group), biphenyl-4-yl group (p-biphenyl group
  • An aralkyl group is an alkyl group substituted with an aryl group, and specific examples of such aryl and alkyl groups are the same as those described above.
  • the number of carbon atoms in the aralkyl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • aralkyl groups include phenylmethyl group (benzyl group), 2-phenylethylene group, 3-phenyl-n-propyl group, 4-phenyl-n-butyl group, 5-phenyl-n-pentyl group, 6 -phenyl-n-hexyl group, 7-phenyl-n-heptyl group, 8-phenyl-n-octyl group, 9-phenyl-n-nonyl group, 10-phenyl-n-decyl group and the like, but these is not limited to
  • halogenated alkyl group, halogenated aryl group, and halogenated aralkyl group are alkyl groups, aryl groups, and aralkyl groups substituted with one or more halogen atoms, and specific examples of such alkyl groups, aryl groups, and aralkyl groups Examples include the same as described above.
  • the halogen atom include a fluorine atom, a chlorine atom, a bromine atom and an iodine atom.
  • halogenated alkyl groups include monofluoromethyl group, difluoromethyl group, trifluoromethyl group, bromodifluoromethyl group, 2-chloroethyl group, 2-bromoethyl group, 1,1-difluoroethyl group, 2,2 ,2-trifluoroethyl group, 1,1,2,2-tetrafluoroethyl group, 2-chloro-1,1,2-trifluoroethyl group, pentafluoroethyl group, 3-bromopropyl group, 2,2 , 3,3-tetrafluoropropyl group, 1,1,2,3,3,3-hexafluoropropyl group, 1,1,1,3,3,3-hexafluoropropan-2-yl
  • halogenated aryl groups include 2-fluorophenyl group, 3-fluorophenyl group, 4-fluorophenyl group, 2,3-difluorophenyl group, 2,4-difluorophenyl group and 2,5-difluorophenyl group, 2,6-difluorophenyl group, 3,4-difluorophenyl group, 3,5-difluorophenyl group, 2,3,4-trifluorophenyl group, 2,3,5-trifluorophenyl group, 2, 3,6-trifluorophenyl group, 2,4,5-trifluorophenyl group, 2,4,6-trifluorophenyl group, 3,4,5-trifluorophenyl group, 2,3,4,5- tetrafluorophenyl group, 2,
  • halogenated aralkyl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • Specific examples of halogenated aralkyl groups include 2-fluorobenzyl, 3-fluorobenzyl, 4-fluorobenzyl, 2,3-difluorobenzyl, 2,4-difluorobenzyl and 2,5-difluorobenzyl.
  • fluorine atom (fluoro group) in these groups is arbitrarily substituted with a chlorine atom (chloro group), a bromine atom (bromo group), or an iodine atom (iodo group), but is not limited thereto.
  • alkoxyalkyl group, alkoxyaryl group, and alkoxyaralkyl group are alkyl groups, aryl groups, and aralkyl groups substituted with one or more alkoxy groups, and specific examples of such alkyl groups, aryl groups, and aralkyl groups are The same as those mentioned above can be mentioned.
  • alkoxy group examples include alkoxy groups having a linear, branched, or cyclic alkyl moiety having 1 to 20 carbon atoms.
  • linear or branched alkoxy groups include methoxy, ethoxy, n-propoxy, i-propoxy, n-butoxy, i-butoxy, s-butoxy, t-butoxy, n -pentyloxy group, 1-methyl-n-butoxy group, 2-methyl-n-butoxy group, 3-methyl-n-butoxy group, 1,1-dimethyl-n-propoxy group, 1,2-dimethyl-n- propoxy group, 2,2-dimethyl-n-propoxy group, 1-ethyl-n-propoxy group, n-hexyloxy group, 1-methyl-n-pentyloxy group, 2-methyl-n-pentyloxy group, 3-methyl- n-pentyloxy group, 4-methyl-n-pentyloxy group, 1,1-dimethyl-n-butoxy group,
  • cyclic alkoxy groups include cyclopropoxy, cyclobutoxy, 1-methyl-cyclopropoxy, 2-methyl-cyclopropoxy, cyclopentyloxy, 1-methyl-cyclobutoxy, 2-methyl- cyclobutoxy, 3-methyl-cyclobutoxy, 1,2-dimethyl-cyclopropoxy, 2,3-dimethyl-cyclopropoxy, 1-ethyl-cyclopropoxy, 2-ethyl-cyclopropoxy, cyclohexyloxy group, 1-methyl-cyclopentyloxy group, 2-methyl-cyclopentyloxy group, 3-methyl-cyclopentyloxy group, 1-ethyl-cyclobutoxy group, 2-ethyl-cyclobutoxy group, 3-ethyl-cyclo butoxy group, 1,2-dimethyl-cyclobutoxy group, 1,3-dimethyl-cyclobutoxy group, 2,2-dimethyl-cyclobutoxy group, 2,3-dimethyl-cyclobutoxy group, 2,4-dimethyl-
  • alkoxyalkyl groups include lower (about 5 carbon atoms or less) alkyloxy lower (carbon atom number 5 or less) alkyl group and the like, but are not limited to these.
  • alkoxyaryl group include a 2-methoxyphenyl group, a 3-methoxyphenyl group, a 4-methoxyphenyl group, a 2-(1-ethoxy)phenyl group, a 3-(1-ethoxy)phenyl group, a 4- (1-ethoxy) phenyl group, 2-(2-ethoxy) phenyl group, 3-(2-ethoxy) phenyl group, 4-(2-ethoxy) phenyl group, 2-methoxynaphthalen-1-yl group, 3- Methoxynaphthalen-1-yl group, 4-methoxynaphthalen-1-yl group, 5-methoxynaphthalen-1-yl group, 6-methoxynaphthalen-1-yl group, 7-meth
  • alkenyl group examples include alkenyl groups having 2 to 10 carbon atoms, such as ethenyl group (vinyl group), 1-propenyl group, 2-propenyl group, 1-methyl-1-ethenyl group, 1-butenyl group, 2-butenyl group, 3-butenyl group, 2-methyl-1-propenyl group, 2-methyl-2-propenyl group, 1-ethylethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group , 1-pentenyl group, 2-pentenyl group, 3-pentenyl group, 4-pentenyl group, 1-n-propylethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1- methyl-3-butenyl group, 2-ethyl-2-propenyl group, 2-methyl-1-butenyl group, 2-methyl-2-butenyl group, 2-methyl-3-butenyl group, 3-methyl-1-butenyl
  • substituents in the alkyl group, aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group, alkoxyalkyl group, alkoxyaryl group, alkoxyaralkyl group, and alkenyl group include, for example, alkyl groups, aryl groups, aralkyl groups, halogenated alkyl groups, halogenated aryl groups, halogenated aralkyl groups, alkoxyalkyl groups, aryloxy groups, alkoxyaryl groups, alkoxyaralkyl groups, alkenyl groups, alkoxy groups, aralkyloxy groups, etc.
  • the aryloxy group mentioned in the above substituent is a group to which an aryl group is bonded through an oxygen atom (--O--), and specific examples of such an aryl group include the same groups as those mentioned above. .
  • the number of carbon atoms in the aryloxy group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less. Specific examples thereof include a phenoxy group, naphthalene- Examples include, but are not limited to, 2-yloxy groups and the like.
  • the substituents may be combined to form a ring.
  • Examples of the organic group having an epoxy group include glycidoxymethyl group, glycidoxyethyl group, glycidoxypropyl group, glycidoxybutyl group and epoxycyclohexyl group.
  • Examples of the organic group having an acryloyl group include an acryloylmethyl group, an acryloylethyl group and an acryloylpropyl group.
  • Examples of the organic group having a methacryloyl group include a methacryloylmethyl group, a methacryloylethyl group, and a methacryloylpropyl group.
  • Examples of the organic group having a mercapto group include ethylmercapto group, butylmercapto group, hexylmercapto group, octylmercapto group and mercaptophenyl group.
  • Examples of the organic group containing an amino group include, but are not limited to, an amino group, an aminomethyl group, an aminoethyl group, an aminophenyl group, a dimethylaminoethyl group, and a dimethylaminopropyl group.
  • Examples of the organic group containing an alkoxy group include, but are not limited to, a methoxymethyl group and a methoxyethyl group. However, groups in which an alkoxy group is directly bonded to a silicon atom are excluded.
  • Examples of the organic group containing the sulfonyl group include, but are not limited to, a sulfonylalkyl group and a sulfonylaryl group.
  • Examples of the organic group having a cyano group include a cyanoethyl group, a cyanopropyl group, a cyanophenyl group, a thiocyanate group and the like.
  • the above aralkyloxy group is a group derived by removing a hydrogen atom from the hydroxy group of aralkyl alcohol, and specific examples of such aralkyl groups are the same as those described above.
  • the number of carbon atoms in the aralkyloxy group is not particularly limited, it can be, for example, 40 or less, preferably 30 or less, more preferably 20 or less.
  • aralkyloxy group examples include phenylmethyloxy group (benzyloxy group), 2-phenylethyleneoxy group, 3-phenyl-n-propyloxy group, 4-phenyl-n-butyloxy group, 5-phenyl- n-pentyloxy group, 6-phenyl-n-hexyloxy group, 7-phenyl-n-heptyloxy group, 8-phenyl-n-octyloxy group, 9-phenyl-n-nonyloxy group, 10-phenyl-n -decyloxy group and the like, but are not limited to these.
  • An acyloxy group is a group derived by removing a hydrogen atom from a carboxyl group (—COOH) of a carboxylic acid compound, and is typically a group that removes a hydrogen atom from the carboxyl group of an alkylcarboxylic acid, an arylcarboxylic acid or an aralkylcarboxylic acid. Examples include, but are not limited to, an alkylcarbonyloxy group, an arylcarbonyloxy group or an aralkylcarbonyloxy group derived by removal.
  • alkyl group, aryl group and aralkyl group in such alkylcarboxylic acid, arylcarboxylic acid and aralkylcarboxylic acid are the same as those mentioned above.
  • Specific examples of the acyloxy group include acyloxy groups having 2 to 20 carbon atoms, such as methylcarbonyloxy, ethylcarbonyloxy, n-propylcarbonyloxy, i-propylcarbonyloxy, n-butyl carbonyloxy group, i-butylcarbonyloxy group, s-butylcarbonyloxy group, t-butylcarbonyloxy group, n-pentylcarbonyloxy group, 1-methyl-n-butylcarbonyloxy group, 2-methyl-n-butyl carbonyloxy group, 3-methyl-n-butylcarbonyloxy group, 1,1-dimethyl-n-propylcarbonyloxy group, 1,2-dimethyl-n-propyloxy
  • hydrolyzable silanes represented by formula (1) include tetramethoxysilane, tetrachlorosilane, tetraacetoxysilane, tetraethoxysilane, tetra-n-propoxysilane, tetra-i-propoxysilane, tetra-n -butoxysilane, methyltrimethoxysilane, methyltrichlorosilane, methyltriacetoxysilane, methyltriethoxysilane, methyltripropoxysilane, methyltributoxysilane, methyltriamyloxysilane, methyltriphenoxysilane, methyltribenzyloxysilane, methyltriphenethyloxysilane, glycidoxymethyltrimethoxysilane, glycidoxymethyltriethoxysilane, ⁇ -glycidoxyethyltrimethoxysilane, ⁇ -glycidoxysi
  • R 5 is a group bonded to a silicon atom and independently of each other, an optionally substituted alkyl group, an optionally substituted aryl group, an optionally substituted aralkyl optionally substituted halogenated alkyl group, optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted alkoxyaryl group, optionally substituted alkoxyaralkyl group, or optionally substituted alkenyl group, or epoxy group, acryloyl group, methacryloyl group, mercapto group, amino group, amido group, alkoxy group, represents an organic group containing a sulfonyl group or a cyano group, or a combination thereof; R6 is a group or atom bonded to a silicon atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a
  • each group in R 5 and the preferred number of carbon atoms thereof include the groups and the number of carbon atoms described above for R 1 .
  • Specific examples of each group and atom for R 6 above and the preferred number of carbon atoms thereof include the groups and atoms and the number of carbon atoms described above for R 2 .
  • Specific examples of the alkylene group for R 7 include straight groups such as methylene, ethylene, trimethylene, tetramethylene, pentamethylene, hexamethylene, heptamethylene, octamethylene, nonamethylene and decamethylene groups.
  • alkylene group such as branched alkylene group such as 1-ethyltrimethylene group, methanetriyl group, ethane-1,1,2-triyl group, ethane-1,2,2-triyl group, ethane-2,2,2-triyl group, propane-1,1,1-triyl group, propane-1,1,2-triyl group, propane-1,2, 3-triyl group, propane-1,2,2-triyl group, propane-1,1,3-triyl group, butane-1,1,1-triyl group, butane-1,1,2-triyl group, butane -1,1,3-triyl group, butane-1,2,3-triyl group, butane-1,2,3-triyl group, but
  • arylene group examples include 1,2-phenylene group, 1,3-phenylene group, 1,4-phenylene group; 1,5-naphthalenediyl group, 1,8-naphthalenediyl group, 2,6- naphthalenediyl group, 2,7-naphthalenediyl group, 1,2-anthracenediyl group, 1,3-anthracenediyl group, 1,4-anthracenediyl group, 1,5-anthracenediyl group, 1,6-anthracenediyl 1,7-anthracenediyl group, 1,8-anthracenediyl group, 2,3-anthracenediyl group, 2,6-anthracenediyl group, 2,7-anthracenediyl group, 2,9-anthracenediyl group, groups derived by removing two hydrogen atoms on the aromatic ring of condensed ring aromatic hydrocarbon compounds such as 2,10-anthracenediyl group and 9,10-anthracenediy
  • hydrolyzable silanes represented by formula (3) include methylenebistrimethoxysilane, methylenebistrichlorosilane, methylenebistriacetoxysilane, ethylenebistriethoxysilane, ethylenebistrichlorosilane, ethylenebistriacetoxysilane, and propylenebistriethoxysilane.
  • hydrolyzable silane represented by the formula (1) and/or a hydrolyzable silane represented by the formula (3) and other hydrolyzable silanes listed below are added.
  • Hydrolytic condensates of decomposable silanes may be mentioned.
  • Other hydrolyzable silanes include silane compounds having an onium group in the molecule, silane compounds having a sulfone group, silane compounds having a sulfonamide group, and silane compounds having a cyclic urea skeleton in the molecule. is not limited to
  • silane compound having an onium group in the molecule hydrolyzable organosilane
  • a silane compound having an onium group in its molecule is expected to effectively and efficiently promote the cross-linking reaction of hydrolyzable silane.
  • a preferred example of a silane compound having an onium group in its molecule is represented by formula (4).
  • R 11 is a group bonded to a silicon atom and represents an onium group or an organic group containing it.
  • R 12 is a group that binds to a silicon atom and is independently of each other an optionally substituted alkyl group, an optionally substituted aryl group, an optionally substituted aralkyl group, a substituted optionally substituted halogenated alkyl group, optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted alkoxyaryl group, substituted an optionally substituted alkoxyaralkyl group or an optionally substituted alkenyl group, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, or a cyano group,
  • the onium group include a cyclic ammonium group and a chain ammonium group, preferably a tertiary ammonium group or a quaternary ammonium group. That is, preferred specific examples of an onium group or an organic group containing it include a cyclic ammonium group, a chain ammonium group, or an organic group containing at least one of these, a tertiary ammonium group or a quaternary ammonium group. or an organic group containing at least one of these is preferred.
  • the onium group is a cyclic ammonium group
  • the nitrogen atoms constituting the ammonium group also serve as atoms constituting the ring. In this case, the nitrogen atom and the silicon atom constituting the ring are bonded directly or via a divalent linking group, and the carbon atom and the silicon atom constituting the ring are directly or via a divalent linking group. may be connected via
  • the silicon-bonded group R 11 is a heteroaromatic cyclic ammonium group represented by the following formula (S1).
  • a 1 , A 2 , A 3 and A 4 each independently represent a group represented by any one of formulas (J1) to (J3) below, and A 1 to A At least one of 4 is a group represented by the following formula (J2).
  • Each of A 1 to A 4 and each of A 1 to A 4 and adjacent It is determined whether the bond between the atoms that together form the ring is a single bond or a double bond.
  • each R 10 is independently a single bond, a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, or Representing an alkenyl group, specific examples of an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group and an alkenyl group and their preferred number of carbon atoms are the same as those described above. mentioned.
  • R 14 independently represents an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, an alkenyl group or a hydroxy group, and R 14 is
  • the two R 14 may be bonded to each other to form a ring, and the ring formed by the two R 14 may be a bridged ring structure.
  • the cyclic ammonium group has an adamantane ring, a norbornene ring, a spiro ring and the like.
  • alkyl groups aryl groups, aralkyl groups, halogenated alkyl groups, halogenated aryl groups, halogenated aralkyl groups and alkenyl groups and their preferred numbers of carbon atoms are the same as those described above. .
  • n 1 is an integer of 1 to 8
  • m 1 is 0 or 1
  • m 2 is a positive number from 0 or 1 to the maximum number of monocyclic or polycyclic substitutable is an integer of When m 1 is 0, a (4+n 1 ) membered ring containing A 1 to A 4 is constructed.
  • a 5-membered ring when n1 is 1 a 6-membered ring when n1 is 2 , a 7-membered ring when n1 is 3 , an 8-membered ring when n1 is 4 ,
  • n1 is 5
  • it is a 9-membered ring
  • n1 is 6
  • it is a 10-membered ring
  • n1 is 7
  • it is an 11-membered ring
  • n1 is 8 it is a 12-membered ring.
  • a condensed ring is formed by condensing a (4+n 1 )-membered ring containing A 1 to A 3 with a 6-membered ring containing A 4 .
  • a 1 to A 4 may or may not have a hydrogen atom on a ring-constituting atom, depending on which of the formulas (J1) to (J3), but A When 1 to A 4 have a hydrogen atom on a ring-constituting atom, the hydrogen atom may be substituted with R 14 .
  • ring-constituting atoms other than the ring-constituting atoms in A 1 to A 4 may be substituted with R 14 .
  • m 2 is selected from integers from 0 or 1 to the maximum number of monocyclic or polycyclic substituents.
  • the bond of the heteroaromatic cyclic ammonium group represented by the above formula (S1) is present at any carbon atom or nitrogen atom present in such a monocyclic or condensed ring and is directly bonded to the silicon atom, Alternatively, the linking group is bonded to form an organic group containing cyclic ammonium, which is bonded to the silicon atom.
  • Such linking groups include, but are not limited to, alkylene groups, arylene groups, alkenylene groups, and the like. Specific examples of the alkylene group and arylene group and their preferred number of carbon atoms are the same as those described above.
  • An alkenylene group is a divalent group derived by removing one more hydrogen atom from an alkenyl group, and specific examples of such alkenyl groups are the same as those described above.
  • the number of carbon atoms in the alkenylene group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less. Specific examples thereof include, but are not limited to, vinylene, 1-methylvinylene, propenylene, 1-butenylene, 2-butenylene, 1-pentenylene, 2-pentenylene groups and the like.
  • silane compound (hydrolyzable organosilane) represented by the formula (4) having a heteroaromatic cyclic ammonium group represented by the formula (S1) include the following formulas (I-1) to (I -50), but not limited thereto.
  • the silicon-bonded group R 11 in the above formula (4) can be a heteroaliphatic cyclic ammonium group represented by the following formula (S2).
  • a 5 , A 6 , A 7 and A 8 each independently represent a group represented by any one of the following formulas (J4) to (J6), and A 5 to A At least one of 8 is a group represented by the following formula (J5).
  • each R 10 is independently a single bond, a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, or Represents an alkenyl group, specific examples of an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group and an alkenyl group and their preferred number of carbon atoms are the same as those described above. things are mentioned.
  • R 15 independently represents an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, an alkenyl group or a hydroxy group, and R 15 is When two or more R 15 are present, the two R 15 may be bonded to each other to form a ring, and the ring formed by the two R 15 may be a bridged ring structure. , the cyclic ammonium group has an adamantane ring, a norbornene ring, a spiro ring and the like.
  • alkyl group aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group and alkenyl group and their preferred number of carbon atoms are the same as those described above. .
  • n 2 is an integer of 1 to 8
  • m 3 is 0 or 1
  • m 4 is a positive number from 0 or 1 to the maximum number of monocyclic or polycyclic substitutable is an integer of When m 3 is 0, a (4+n 2 ) membered ring containing A 5 -A 8 is constructed.
  • n2 is 5
  • n2 is 6 it is a 10-membered ring
  • n2 is 7 it is an 11-membered ring
  • n2 is 8 it is a 12-membered ring.
  • m 3 1, a condensed ring is formed by condensing a (4+n 2 )-membered ring containing A 5 to A 7 with a 6-membered ring containing A 8 .
  • a 5 to A 8 may or may not have a hydrogen atom on a ring-constituting atom, but A When 5 to A 8 have a hydrogen atom on a ring-constituting atom, the hydrogen atom may be substituted with R 15 . In addition, ring-constituting atoms other than the ring-constituting atoms in A 5 to A 8 may be substituted with R 15 . Under these circumstances, as described above, m4 is selected from integers from 0 or 1 to the maximum number of monocyclic or polycyclic substitutable numbers.
  • the bond of the heteroaliphatic cyclic ammonium group represented by the above formula (S2) is present at any carbon atom or nitrogen atom present in such a monocyclic or condensed ring and is directly bonded to the silicon atom, Alternatively, the linking group is bonded to form an organic group containing cyclic ammonium, which is bonded to the silicon atom.
  • a linking group includes an alkylene group, an arylene group, or an alkenylene group, and specific examples of the alkylene group, arylene group, and alkenylene group and the preferred number of carbon atoms thereof are the same as those described above.
  • silane compound (hydrolyzable organosilane) represented by the formula (4) having a heteroaliphatic cyclic ammonium group represented by the formula (S2) include the following formulas (II-1) to (II) -30), but not limited thereto.
  • R 11 which is a silicon-bonded group in formula (4) above, can be a chain ammonium group represented by formula (S3) below.
  • each R 10 independently represents a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group or an alkenyl group, an alkyl group, Specific examples of the aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group and alkenyl group and their preferred number of carbon atoms are the same as those described above.
  • the chain ammonium group represented by formula (S3) is directly bonded to a silicon atom, or is bonded to a linking group to form an organic group containing a chain ammonium group, which is bonded to a silicon atom.
  • a linking group includes an alkylene group, an arylene group, or an alkenylene group, and specific examples of the alkylene group, arylene group, and alkenylene group are the same as those described above.
  • silane compound (hydrolyzable organosilane) represented by formula (4) having a chain ammonium group represented by formula (S3) include the following formulas (III-1) to (III-28) ), but not limited thereto.
  • silane compound having sulfone group or sulfonamide group hydrolyzable organosilane
  • examples of the silane compound having a sulfone group and the silane compound having a sulfonamide group include, but are not limited to, compounds represented by the following formulas (B-1) to (B-36). In the formula below, Me represents a methyl group, and Et represents an ethyl group.
  • Hydrolyzable organosilanes having a cyclic urea skeleton in the molecule include, for example, hydrolyzable organosilanes represented by the following formula (5-1).
  • R 501 is a group bonded to a silicon atom and independently represents a group represented by formula (5-2) below.
  • R 502 is a group bonded to a silicon atom, and independently of each other, an optionally substituted alkyl group, an optionally substituted aryl group, an optionally substituted aralkyl group, an optionally substituted optionally substituted halogenated alkyl group, optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted alkoxyaryl group, substituted represents an optionally substituted alkoxyaralkyl group, an optionally substituted alkenyl group, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group or a cyano group.
  • R 503 is a group or atom bonded to a silicon atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group or a halogen atom.
  • x is 1 or 2
  • y is 0 or 1
  • R 504 independently represents a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an organic group containing an epoxy group or a sulfonyl group.
  • R 505 independently of each other represent an alkylene group, a hydroxyalkylene group, a sulfide bond (-S-), an ether bond (-O-) or an ester bond (-CO-O- or -O-CO-) .
  • Specific examples of organic groups including optionally substituted alkyl groups, optionally substituted alkenyl groups, and epoxy groups for R 504 , preferred number of carbon atoms, etc. are the same as those described above for R 1 .
  • the optionally substituted alkyl group of R 504 is preferably an alkyl group in which the terminal hydrogen atom is substituted with a vinyl group, and specific examples thereof include an allyl group, 2- vinylethyl group, 3-vinylpropyl group, 4-vinylbutyl group and the like.
  • the organic group containing a sulfonyl group is not particularly limited as long as it contains a sulfonyl group, and may be an optionally substituted alkylsulfonyl group, an optionally substituted arylsulfonyl group, or an optionally substituted aralkylsulfonyl group.
  • optionally substituted halogenated alkylsulfonyl group optionally substituted halogenated arylsulfonyl group, optionally substituted halogenated aralkylsulfonyl group, optionally substituted alkoxyalkylsulfonyl group, substituted optionally substituted alkoxyarylsulfonyl group, optionally substituted alkoxyaralkylsulfonyl group, optionally substituted alkenylsulfonyl group, and the like.
  • suitable number of carbon atoms, etc. are the same as those described above for R 1 .
  • the alkylene group of R 505 is a divalent group derived by removing one more hydrogen atom from the above alkyl group, and may be linear, branched or cyclic. Examples include the same as described above. Although the number of carbon atoms in the alkylene group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less, still more preferably 10 or less.
  • alkylene group of R 505 may have one or more selected from a sulfide bond, an ether bond and an ester bond at the terminal or in the middle, preferably in the middle.
  • alkylene groups include linear groups such as methylene, ethylene, trimethylene, methylethylene, tetramethylene, pentamethylene, hexamethylene, heptamethylene, octamethylene, nonamethylene and decamethylene groups.
  • alkylene group 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1 , 2-dimethyltrimethylene group, 2,2-dimethyltrimethylene group, branched alkylene groups such as 1-ethyltrimethylene group, 1,2-cyclopropipanediyl group, 1,2-cyclobutanediyl, 1, 3-cyclobutanediyl group, cyclic alkylenes such as 1,2-cyclohexanediyl and 1,3-cyclohexanediyl, -CH 2 OCH 2 -, -CH 2 CH 2 OCH 2 -, -CH 2 CH 2 OCH 2 CH2- , -CH2CH2CH2OCH2CH2- , -CH2CH2OCH2CH2CH2- , -CH2CH2CH2OCH2CH2CH2- ,
  • the hydroxyalkylene group is obtained by replacing at least one hydrogen atom of the above alkylene group with a hydroxy group.
  • a hydroxymethylene group a 1-hydroxyethylene group, a 2-hydroxyethylene group, a -dihydroxyethylene group, 1-hydroxytrimethylene group, 2-hydroxytrimethylene group, 3-hydroxytrimethylene group, 1-hydroxytetramethylene group, 2-hydroxytetramethylene group, 3-hydroxytetramethylene group, 4-hydroxy tetramethylene group, 1,2-dihydroxytetramethylene group, 1,3-dihydroxytetramethylene group, 1,4-dihydroxytetramethylene group, 2,3-dihydroxytetramethylene group, 2,4-dihydroxytetramethylene group, 4 , 4-dihydroxytetramethylene group and the like, but are not limited to these.
  • X 501 independently represents any of the groups represented by the following formulas (5-3) to (5-5), and the following formula (5-4) and the carbon atom of the ketone group in formula (5-5) is bonded to the nitrogen atom to which R 505 in formula (5-2) is bonded.
  • R 506 to R 510 are each independently a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an epoxy represents an organic group containing a group or a sulfonyl group.
  • Specific examples of an optionally substituted alkyl group, an optionally substituted alkenyl group, an epoxy group, or an organic group including a sulfonyl group, and preferred numbers of carbon atoms are the same as those described above for R 504 . be done.
  • X 501 is preferably a group represented by formula (5-5) from the viewpoint of achieving excellent lithography properties with good reproducibility.
  • At least one of R 504 and R 506 to R 510 is preferably an alkyl group having a terminal hydrogen atom substituted with a vinyl group.
  • the hydrolyzable organosilane represented by formula (5-1) above may be a commercially available product, or may be synthesized by a known method described in International Publication No. 2011/102470.
  • hydrolyzable organosilane represented by the formula (5-1) include silanes represented by the following formulas (5-1-1) to (5-1-29). , but not limited to.
  • Polysiloxane can be a hydrolytic condensate of a hydrolyzable silane containing a silane compound other than those exemplified above as long as it does not impair the effects of the present invention.
  • modified polysiloxane in which at least part of the silanol groups are modified can be used as [A] polysiloxane.
  • a modified polysiloxane in which some of the silanol groups are alcohol-modified or an acetal-protected polysiloxane modified product can be used.
  • the modified polysiloxane is a reaction product obtained by reacting at least part of the silanol groups of the condensate with the hydroxy groups of the alcohol in the hydrolytic condensate of the hydrolyzable silane. Examples include a dehydration reaction product of a compound and an alcohol, and a modified product obtained by protecting at least part of the silanol groups of the condensate with an acetal group.
  • monohydric alcohols can be used, such as methanol, ethanol, 2-propanol, 1-butanol, 2-butanol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 3 -pentanol, 1-heptanol, 2-heptanol, tert-amyl alcohol, neopentyl alcohol, 2-methyl-1-propanol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3 -pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-diethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-p
  • 3-methoxybutanol ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether (1-ethoxy -2-propanol), propylene glycol monobutyl ether (1-butoxy-2-propanol) and other alkoxy group-containing alcohols can be used.
  • the reaction between the silanol group of the condensate and the hydroxy group of the alcohol is carried out by contacting the polysiloxane with the alcohol and reacting at a temperature of 40 to 160° C., for example, 60° C., for 0.1 to 48 hours, for example, 24 hours.
  • a modified polysiloxane with capped silanol groups is obtained.
  • the alcohol of the capping agent can be used as a solvent in the composition containing polysiloxane.
  • the dehydration reaction product of polysiloxane composed of the hydrolytic condensate of the hydrolyzable silane and alcohol is obtained by reacting the polysiloxane with alcohol in the presence of an acid as a catalyst, capping the silanol group with alcohol, It can be produced by removing the water produced by dehydration out of the reaction system.
  • An organic acid having an acid dissociation constant (pka) of -1 to 5, preferably 4 to 5 can be used as the above acid.
  • the acid can be trifluoroacetic acid, maleic acid, benzoic acid, isobutyric acid, acetic acid, etc. Among them, benzoic acid, isobutyric acid, acetic acid, etc. can be exemplified.
  • an acid having a boiling point of 70 to 160° C. can be used, and examples thereof include trifluoroacetic acid, isobutyric acid, acetic acid, and nitric acid.
  • the above acid preferably has physical properties such as an acid dissociation constant (pka) of 4 to 5 or a boiling point of 70 to 160°C. That is, one with weak acidity or one with strong acidity but low boiling point can be used.
  • pka acid dissociation constant
  • the acid it is possible to use any of the properties of the acid dissociation constant and the boiling point.
  • Acetal protection of the silanol group of the condensate can be performed using a vinyl ether, for example, a vinyl ether represented by the following formula (6). It can be introduced into siloxane.
  • R 1a , R 2a , and R 3a each represent a hydrogen atom or an alkyl group having 1 to 10 carbon atoms
  • R 4a represents an alkyl group having 1 to 10 carbon atoms
  • R 2a and R 4a may combine with each other to form a ring. Examples of the above-mentioned alkyl group can be exemplified above.
  • R 1 ', R 2 ', and R 3 ' each represent a hydrogen atom or an alkyl group having 1 to 10 carbon atoms
  • R 4 ' represents an alkyl group having 1 to 10 carbon atoms.
  • R 2 ' and R 4 ' may combine with each other to form a ring.
  • * indicates a bond with an adjacent atom. Adjacent atoms include, for example, oxygen atoms in siloxane bonds, oxygen atoms in silanol groups, and carbon atoms derived from R 1 in formula (1). Examples of the above-mentioned alkyl group can be exemplified above.
  • Examples of the vinyl ether represented by the formula (6) include aliphatic vinyl ether compounds such as methyl vinyl ether, ethyl vinyl ether, isopropyl vinyl ether, normal butyl vinyl ether, 2-ethylhexyl vinyl ether, tert-butyl vinyl ether, and cyclohexyl vinyl ether; Cyclic vinyl ether compounds such as 3-dihydrofuran, 4-methyl-2,3-dihydrofuran, and 3,4-dihydro-2H-pyran can be used.
  • ethyl vinyl ether propyl vinyl ether, butyl vinyl ether, ethylhexyl vinyl ether, cyclohexyl vinyl ether, 3,4-dihydro-2H-pyran, or 2,3-dihydrofuran can be preferably used.
  • the acetal protection of the silanol group is performed by using polysiloxane, the vinyl ether, and an aprotic solvent such as propylene glycol monomethyl ether acetate, ethyl acetate, dimethylformamide, tetrahydrofuran, 1,4-dioxane as a solvent, and pyridium paratoluene. It can be carried out using a catalyst such as sulfonic acid, trifluoromethanesulfonic acid, p-toluenesulfonic acid, methanesulfonic acid, hydrochloric acid, sulfuric acid and the like.
  • a catalyst such as sulfonic acid, trifluoromethanesulfonic acid, p-toluenesulfonic acid, methanesulfonic acid, hydrochloric acid, sulfuric acid and the like.
  • the capping of the silanol group with an alcohol and the acetal protection may be performed simultaneously with the hydrolysis and condensation of the hydrolyzable silane, which will be described later.
  • [A] polysiloxane is a hydrolyzable silane represented by formula (1), optionally a hydrolyzable silane represented by formula (3), and other hydrolyzable at least one of hydrolytic condensates of hydrolyzable silanes and modified products thereof, including silanes.
  • [A] polysiloxane includes a dehydration reaction product of the above hydrolyzed condensate and alcohol.
  • the hydrolytic condensate of hydrolyzable silane (which may also include modified products) has a weight-average molecular weight in the range of, for example, 500 to 1,000,000.
  • the weight average molecular weight can be 500,000 or less, more preferably 250,000 or less, and still more preferably 100,000 or less.
  • it is preferably 700 or more, more preferably 1,000 or more.
  • [A] polysiloxane that is, a hydrolytic condensate (including a modified product) of the above hydrolyzable silane, is used from the viewpoint that the collapse of the upper resist pattern can be prevented when the film is thinned.
  • a weight average molecular weight is a molecular weight obtained by polystyrene conversion by GPC analysis.
  • GPC analysis for example, GPC apparatus (trade name HLC-8220GPC, manufactured by Tosoh Corporation), GPC column (trade name Shodex (registered trademark) KF803L, KF802, KF801, manufactured by Showa Denko Co., Ltd.), the column temperature is 40 ° C., Tetrahydrofuran can be used as an eluent (elution solvent), the flow rate (flow rate) can be set to 1.0 mL/min, and polystyrene (manufactured by Showa Denko KK) can be used as a standard sample.
  • the ratio of the specific molecular weight mentioned above refers to the ratio in the integral molecular weight distribution curve obtained by polystyrene conversion by GPC analysis.
  • the weight-average molecular weight of the hydrolytic condensate can be adjusted, for example, by adjusting the hydrolysis/condensation conditions of the hydrolyzable silane to be described later, such as the solvent to be used, the reaction temperature/time, and the like.
  • a hydrolytic condensate of hydrolyzed silane is obtained by hydrolyzing and condensing the above silane compound (hydrolyzable silane).
  • the above silane compound (hydrolyzable silane) contains an alkoxy group, an aralkyloxy group, an acyloxy group, and a halogen atom directly bonded to a silicon atom, that is, an alkoxysilyl group, an aralkyloxysilyl group, an acyloxysilyl group, and a silyl halide group. (hereinafter referred to as a hydrolyzable group).
  • water is generally used in an amount of 0.1 to 100 mol, for example 0.5 to 100 mol, preferably 1 to 10 mol, per 1 mol of hydrolyzable group.
  • a hydrolysis catalyst may be used for the purpose of promoting the reaction, or the hydrolysis and condensation may be performed without using a hydrolysis catalyst.
  • a hydrolysis catalyst it can be used in an amount of generally 0.0001 to 10 mol, preferably 0.001 to 1 mol, per 1 mol of hydrolyzable group.
  • the reaction temperature for the hydrolysis and condensation is usually in the range of room temperature or higher and the reflux temperature or lower of the organic solvent that can be used for hydrolysis under normal pressure, for example, 20 to 110°C, or for example, 20 to 80°C.
  • the hydrolysis may be complete hydrolysis, ie converting all hydrolyzable groups to silanol groups, or it may be partially hydrolyzed, ie leaving unreacted hydrolyzable groups.
  • Hydrolysis catalysts that can be used for hydrolysis and condensation include metal chelate compounds, organic acids, inorganic acids, organic bases, and inorganic bases.
  • Metal chelate compounds as hydrolysis catalysts include, for example, triethoxy mono(acetylacetonato)titanium, tri-n-propoxy mono(acetylacetonato)titanium, tri-i-propoxy mono(acetylacetonato)titanium, tri -n-butoxy mono(acetylacetonato)titanium, tri-sec-butoxy mono(acetylacetonato)titanium, tri-t-butoxy mono(acetylacetonato)titanium, diethoxy bis(acetylacetonato)titanium , di-n-propoxy bis (acetylacetonato) titanium, di-i-propoxy bis (acetylacetonato) titanium, di-n-butoxy bis (acetylacetonate) titanium, di-sec-butoxy bis (acetylacetonato)titanium, di-t-butoxy bis(acet
  • Organic acids as hydrolysis catalysts are, for example, acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacine.
  • Acid gallic acid, butyric acid, mellitic acid, arachidonic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linoleic acid, linoleic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfone Acids include, but are not limited to, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, malonic acid, sulfonic acid, phthalic acid, fumaric acid, citric acid, tartaric acid, and the like.
  • inorganic acids as hydrolysis catalysts include, but are not limited to, hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, and phosphoric acid.
  • Organic bases as hydrolysis catalysts include, for example, pyridine, pyrrole, piperazine, pyrrolidine, piperidine, picoline, trimethylamine, triethylamine, monoethanolamine, diethanolamine, dimethylmonoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, dia Zabicyclononane, diazabicycloundecene, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, trimethylphenylammonium hydroxide, benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide etc., but not limited to these.
  • inorganic bases as hydrolysis catalysts include, but are not limited to, ammonia, sodium hydroxide, potassium hydroxide, barium hydroxide, and calcium hydroxide.
  • metal chelate compounds organic acids, and inorganic acids are preferred, and these may be used singly or in combination of two or more.
  • nitric acid can be preferably used as the hydrolysis catalyst in the present invention.
  • the storage stability of the reaction solution after hydrolysis and condensation can be improved, and in particular, the change in the molecular weight of the hydrolyzed condensate can be suppressed.
  • the stability of hydrolytic condensates in liquid depends on the pH of the solution.
  • nitric acid can also be used when obtaining a modified product of a hydrolytic condensation product, for example, when capping a silanol group with an alcohol. It is also preferable from the viewpoint that it can contribute to both reactions of alcohol capping of substances.
  • An organic solvent may be used as a solvent for the hydrolysis and condensation, and specific examples include n-pentane, i-pentane, n-hexane, i-hexane, n-heptane, i-heptane, 2 , 2,4-trimethylpentane, n-octane, i-octane, cyclohexane, aliphatic hydrocarbon solvents such as methylcyclohexane; benzene, toluene, xylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propylbenzene, i- Aromatic hydrocarbon solvents such as propylbenzene, diethylbenzene, i-butylbenzene, triethylbenzene, di-i-propylbenzene, n-amylnaphthalene; methanol, ethanol,
  • reaction solution is diluted or concentrated, neutralized, and treated with an ion-exchange resin to hydrolyze the acids, bases, etc. used in the hydrolysis and condensation.
  • Catalyst can be removed.
  • by-products such as alcohol and water, and the used hydrolysis catalyst can be removed from the reaction solution by vacuum distillation or the like.
  • the hydrolytic condensate (hereinafter also referred to as polysiloxane) thus obtained is obtained in the form of a polysiloxane varnish dissolved in an organic solvent, and this is directly used in the composition for forming a resist underlayer film described later.
  • the above reaction solution can be used as it is (or after being diluted) to prepare a composition for forming a resist underlayer film.
  • the obtained polysiloxane varnish may be subjected to solvent replacement or may be diluted with a solvent as appropriate.
  • the polysiloxane varnish thus obtained may have a solid concentration of 100% by distilling off the organic solvent if the storage stability is not poor.
  • the organic solvent used for solvent substitution, dilution, etc. of the polysiloxane varnish may be the same as or different from the organic solvent used for the hydrolysis and condensation reaction of the hydrolyzable silane.
  • the diluting solvent is not particularly limited, and one or two or more can be arbitrarily selected and used.
  • the [B] solvent used in the composition for forming a silicon-containing resist underlayer film of the present invention is not particularly limited as long as it is a solvent capable of dissolving and mixing the above [A] polysiloxane and other components described later. can be used.
  • the [B] solvent does not contain the [C] glycol compound described later, and when the reaction solution of [A] polysiloxane is used as it is (or diluted) for the preparation of the composition for forming a resist underlayer film.
  • the solvent used for the hydrolysis and condensation of the polysiloxane does not contain the [C] glycol compound described later.
  • the solvent include methyl cellosolve acetate, ethyl cellosolve acetate, propylene glycol, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether (1-ethoxy-2-propanol), Methyl isobutyl carbinol (4-methyl-2-pentanol), propylene glycol monobutyl ether, propylene glycol monomethyl ether acetate (1-methoxy-2-propanol monoacetate), propylene glycol monoethyl ether acetate, propylene glycol monopropyl ether acetate , propylene glycol monobutyl ether acetate, toluene, xylene, methyl ethyl ketone, cyclopentanone, cyclohexanone, ethyl 2-hydroxypropionate, ethyl 2-hydroxy-2-methylpropionate,
  • composition for forming a silicon-containing resist underlayer film of the present invention may contain water as a solvent.
  • water When water is included as a solvent, its content is, for example, 30% by mass or less, preferably 20% by mass or less, and even more preferably 15% by mass or less, relative to the total mass of the solvent contained in the composition. can.
  • the composition for forming a silicon-containing resist underlayer film of the present invention includes [C] a glycol compound (high (also referred to as boiling point glycol compounds).
  • high also referred to as boiling point glycol compounds
  • normal boiling point in this specification refers to the boiling point at 1 atmosphere (101325 Pa) (Normal Boiling Point, NBP).
  • NBP Normal Boiling Point
  • the glycol compound contributes to suppressing the occurrence of defects due to fine particles that may occur when forming a coating film of the composition for forming a resist underlayer film in forming a silicon-containing resist underlayer film.
  • the above coating defects include volatilization of the solvent contained in the composition for forming a resist underlayer film, generation of fine particles (solid matter) due to solidification (condensation, etc.) of polymer components such as polysiloxane contained in the composition, and the like. considered to be one of the factors.
  • Such volatilization of the solvent and generation of solid matter may occur not only during storage of the composition for forming a resist underlayer film but also during formation of the resist underlayer film.
  • the coating film in the actual site of forming the resist underlayer film, the coating film can be continuously formed by the coating device, and at this time, not only the volatilization of the solvent but also the generation of fine particles can occur in the ejection nozzle of the coating device.
  • Containing the high boiling point glycol compound in the silicon-containing resist underlayer film-forming composition improves the stability of the composition in the ejection nozzle of a coating device and prevents the generation of fine particles in the nozzle. Thus, it becomes possible to suppress the occurrence of defects in the coating film.
  • R 3 and R 4 each independently represent a hydrogen atom, an alkyl group having 1 to 4 carbon atoms or an acyl group having 3 to 4 carbon atoms, and n is an integer of 3 or more. show.
  • a plurality of R 3 may be the same or different.
  • alkyl groups having 1 to 4 carbon atoms for R 3 and R 4 include linear alkyl groups such as methyl group, ethyl group, n-propyl group and n-butyl group; isopropyl group and isobutyl group; , sec-butyl group, t-butyl group and other branched alkyl groups.
  • the acyl group having 3 to 4 carbon atoms for R 3 and R 4 include ethylcarbonyl group (propionyl group) and propylcarbonyl group (butyryl group).
  • n is an integer of 3 or more, and its upper limit is 7, for example.
  • R 4 is preferably an alkyl group having 1 to 4 carbon atoms or an acyl group having 3 to 4 carbon atoms
  • R 3 is preferably a hydrogen atom or a methyl group.
  • glycol compounds represented by the above formula (2) specific examples of compounds having a normal boiling point of 230.0° C. or higher include triethylene glycol (normal boiling point: 276° C.), triethylene glycol monomethyl ether (normal boiling point : 248°C), triethylene glycol monoethyl ether (normal boiling point: 255°C), triethylene glycol monobutyl ether (normal boiling point: 271°C), tripropylene glycol monomethyl ether (normal boiling point: 242°C), tetraethylene glycol monomethyl ether (normal boiling point: 325°C), pentaethylene glycol monomethyl ether (normal boiling point: >300°C), heptaethylene glycol monomethyl ether (normal boiling point: >300°C), etc., but are not limited to these compounds. .
  • the amount thereof can be less than 1% by mass based on the total mass of the silicon-containing resist underlayer film-forming composition, and the lower limit thereof is 0.5% by mass. 01% by mass.
  • the amount of the glycol compound in the above range the composition for forming a silicon-containing resist underlayer film of the present invention provides a film obtained from the composition with solvent resistance and effectively generates defects. It is preferable because it can be expected to suppress the
  • an organic solvent corresponding to the [C] glycol compound is used as a solvent, and the reaction solution is used as it is to form a resist underlayer film.
  • the organic solvent corresponding to the [C]glycol compound remaining in the reaction solution can be included as the [C]glycol compound as it is.
  • composition for forming silicon-containing resist underlayer film contains the above-described [A] polysiloxane, [B] solvent, optionally [C] a glycol compound, and may further contain other components described later. .
  • concentration of solids in the composition for forming a resist underlayer film is, for example, 0.1 to 50% by mass, 0.1 to 30% by mass, 0.1 to 25% by mass, 0 0.5 to 20.0 mass %.
  • the said solid content refers to the component except [B] solvent component and [C] glycol compound from all the components of the said composition.
  • the content of the [A] polysiloxane in the solid content is usually 20% by mass to 100% by mass, but from the viewpoint of obtaining the above-described effects of the present invention with good reproducibility, etc., the lower limit is preferably 50%. % by mass, more preferably 60% by mass, even more preferably 70% by mass, still more preferably 80% by mass, the upper limit is preferably 99% by mass, and the remainder is used as an additive described later. be able to.
  • the composition for forming a resist underlayer film preferably has pH 2-5, more preferably pH 3-4.
  • the composition for forming a resist underlayer film is obtained by mixing the above [A] polysiloxane, [B] solvent, [C] glycol compound, and, if other components are included, the other components. can be manufactured.
  • a solution containing [A] polysiloxane may be prepared in advance, and this solution may be mixed with [B] solvent, and [C] glycol compound and other components.
  • the reaction solution used in the preparation of [A] polysiloxane can be used as it is in the preparation of the composition for forming a resist underlayer film.
  • [C] the glycol compound may be added during the production of polysiloxane.
  • the mixing order is not particularly limited.
  • [A] a solution containing polysiloxane, [B] a solvent may be added and mixed, and [C] a glycol compound and other components may be added to the mixture, and [A] a solution containing polysiloxane, [B]
  • the solvent, [C] the glycol compound, and other components may be mixed together.
  • the [B] solvent may be additionally added at the end, or some components that are relatively soluble in the [B] solvent may be left out of the mixture and added at the end.
  • a solution in which [A] polysiloxane is well dissolved is prepared in advance, and the composition is prepared using this.
  • [A] polysiloxane aggregates or precipitates when these are mixed depending on the type and amount of [B] solvent mixed together, and the amount and properties of [C] glycol compound and other ingredients. Note that this is possible. Further, when preparing a composition using a solution in which [A] polysiloxane is dissolved, [A] polysiloxane is added so that the desired amount of [A] polysiloxane in the finally obtained composition is Also note that the concentration of the solution and the amount to be used need to be determined. In the preparation of the composition, the composition may be appropriately heated as long as the components do not decompose or deteriorate.
  • the composition for forming a resist underlayer film may be filtered using a submicrometer-order filter or the like in the middle of manufacturing the composition or after mixing all the components.
  • the material of the filter used at this time is not limited, but for example, a nylon filter, a fluororesin filter, or the like can be used.
  • composition for forming a silicon-containing resist underlayer film of the present invention can be suitably used as a composition for forming a resist underlayer film used in a lithography process.
  • additives can be added to the composition for forming a silicon-containing resist underlayer film of the present invention, depending on the use of the composition.
  • examples of the above additives include curing catalysts (ammonium salts, phosphines, phosphonium salts, sulfonium salts, nitrogen-containing silane compounds, etc.), cross-linking agents, cross-linking catalysts, stabilizers (organic acids, water, alcohols, etc.), organic Polymer compounds, acid generators, surfactants (nonionic surfactants, anionic surfactants, cationic surfactants, silicone surfactants, fluorine surfactants, UV curable surfactants, etc.), Materials (compositions) for forming various films that can be used in the manufacture of semiconductor devices, such as pH adjusters, metal oxides, rheology adjusters, adhesion aids, resist underlayer films, antireflection films, pattern reversal films, etc.
  • Known additives blended in can be mentioned.
  • the composition for forming a silicon-containing resist underlayer film of the present invention may be a composition containing no curing catalyst, but may contain a curing catalyst.
  • a curing catalyst ammonium salts, phosphines, phosphonium salts, sulfonium salts and the like can be used.
  • the following salts described as examples of curing catalysts may be added in the form of salts, or those that form salts in the composition (when added, they are added as separate compounds and form salts in the system. to do).
  • the ammonium salt has the formula (D-1): (Wherein, m a is an integer of 2 to 11, n a is an integer of 2 to 3, R 21 is an alkyl group or an aryl group, and Y - represents an anion.)
  • the formula (D-7) (wherein R 31 , R 32 , R 33 and R 34 represent an alkyl group or an aryl group, P represents a phosphorus atom, Y- represents an anion, and R 31 , R 32 , R 33 and R 34 are each bonded to a phosphorus atom).
  • the formula (D-8) (wherein R 35 , R 36 and R 37 represent an alkyl group or an aryl group, S represents a sulfur atom, Y- represents an anion, and R 35 , R 36 and R 37 each represent a sulfur atom and tertiary sulfonium salts represented by ) can be mentioned.
  • the compound of formula (D-1) above is a quaternary ammonium salt derived from an amine, where ma represents an integer of 2 to 11 and n a represents an integer of 2 to 3.
  • R 21 of this quaternary ammonium salt represents an alkyl group having 1 to 18 carbon atoms, preferably 2 to 10 carbon atoms, or an aryl group having 6 to 18 carbon atoms, such as ethyl group, propyl group, butyl group, etc. linear alkyl group, benzyl group, cyclohexyl group, cyclohexylmethyl group, dicyclopentadienyl group and the like.
  • the anion (Y ⁇ ) includes halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ) and other acid groups.
  • halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ) and other acid groups.
  • the compound of formula (D-2) above is a quaternary ammonium salt represented by R 22 R 23 R 24 R 25 N + Y - .
  • R 22 , R 23 , R 24 and R 25 of this quaternary ammonium salt are alkyl groups of 1 to 18 carbon atoms or aryl groups of 6 to 18 carbon atoms.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ). , alcoholate (—O ⁇ ) and other acid groups.
  • the quaternary ammonium salts are commercially available, for example tetramethylammonium acetate, tetrabutylammonium acetate, triethylbenzylammonium chloride, triethylbenzylammonium bromide, trioctylmethylammonium chloride, tributylbenzyl chloride. Ammonium, trimethylbenzylammonium chloride and the like are exemplified.
  • the compound of formula (D-3) above is a quaternary ammonium salt derived from 1-substituted imidazole, R 26 and R 27 have 1 to 18 carbon atoms, and R 26 and R 27 The total number of carbon atoms is preferably 7 or more.
  • R26 can be exemplified by a methyl group, ethyl group, propyl group, phenyl group and benzyl group, and R27 can be exemplified by a benzyl group, octyl group and octadecyl group.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ). , alcoholate (—O ⁇ ) and other acid groups.
  • This compound can be obtained as a commercial product.
  • imidazole compounds such as 1-methylimidazole and 1-benzylimidazole are reacted with alkyl and aryl halides such as benzyl bromide and methyl bromide. can be manufactured by
  • the compound of formula (D-4) above is a quaternary ammonium salt derived from pyridine, and R 28 is an alkyl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms, or a carbon atom It is an aryl group of numbers 6 to 18, and examples thereof include butyl, octyl, benzyl and lauryl groups.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ).
  • This compound can be obtained as a commercial product, and is produced, for example, by reacting pyridine with an alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide. can do.
  • alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide.
  • alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide.
  • alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide.
  • the compound of formula (D-5) above is a quaternary ammonium salt derived from a substituted pyridine typified by picoline and the like, and R 29 has 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms. or an aryl group having 6 to 18 carbon atoms, such as a methyl group, an octyl group, a lauryl group and a benzyl group.
  • R 30 is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms, for example, when it is a quaternary ammonium derived from picoline, R 30 is a methyl group.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ). , alcoholate (—O ⁇ ) and other acid groups.
  • This compound is also commercially available, and for example, by reacting a substituted pyridine such as picoline with an alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride, benzyl bromide, or an aryl halide. can be produced by Examples of this compound include N-benzylpicolinium chloride, N-benzylpicolinium bromide, N-laurylpicolinium chloride and the like.
  • the compound of formula (D-6) above is a tertiary ammonium salt derived from an amine, where ma represents an integer of 2 to 11 and n a represents an integer of 2 to 3.
  • the anion (Y ⁇ ) includes halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ) and other acid groups.
  • This compound can be produced by reacting an amine with a weak acid such as a carboxylic acid or phenol.
  • Carboxylic acids include formic acid and acetic acid.
  • the anion (Y ⁇ ) is (HCOO ⁇ ), and when acetic acid is used, the anion (Y ⁇ ) is (CH 3 COO - ). Also, when phenol is used, the anion (Y ⁇ ) is (C 6 H 5 O ⁇ ).
  • the compound of formula (D-7) above is a quaternary phosphonium salt having a structure of R 31 R 32 R 33 R 34 P + Y - .
  • R 31 , R 32 , R 33 and R 34 are alkyl groups having 1 to 18 carbon atoms or aryl groups having 6 to 18 carbon atoms, preferably among the four substituents R 31 to R 34 three of which are phenyl groups or substituted phenyl groups, examples of which include phenyl groups and tolyl groups, and the remaining one being an alkyl group having 1 to 18 carbon atoms and 6 to 18 carbon atoms. It is an aryl group.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ) and other acid groups.
  • This compound can be obtained as a commercial product, and examples thereof include tetraalkylphosphonium halides such as tetra-n-butylphosphonium halide and tetra-n-propylphosphonium halide, and trialkylbenzyl halides such as triethylbenzylphosphonium halide.
  • Phosphonium triphenylmethylphosphonium halide, triphenylmonoalkylphosphonium halide such as triphenylethylphosphonium halide, triphenylbenzylphosphonium halide, tetraphenylphosphonium halide, tritolylmonoarylphosphonium halide, or tritolylmonohalide
  • Alkylphosphonium (wherein the halogen atom is a chlorine atom or a bromine atom) can be mentioned.
  • triphenylmonoalkylphosphonium halides such as triphenylmethylphosphonium halide and triphenylethylphosphonium halide
  • triphenylmonoarylphosphonium halides such as triphenylbenzylphosphonium halide
  • halogens such as tritolylmonophenylphosphonium halide
  • Tritolylmonoalkylphosphonium halides halogen atoms are chlorine atoms or bromine atoms
  • tritolylmonoarylphosphonium halides and tritolylmonomethylphosphonium halides are preferred.
  • Phosphines include primary phosphines such as methylphosphine, ethylphosphine, propylphosphine, isopropylphosphine, isobutylphosphine and phenylphosphine, and secondary phosphines such as dimethylphosphine, diethylphosphine, diisopropylphosphine, diisoamylphosphine and diphenylphosphine. , trimethylphosphine, triethylphosphine, triphenylphosphine, methyldiphenylphosphine, dimethylphenylphosphine and the like.
  • the compound of formula (D-8) above is a tertiary sulfonium salt having a structure of R 35 R 36 R 37 S + Y - .
  • R 35 , R 36 and R 37 are alkyl groups having 1 to 18 carbon atoms or aryl groups having 6 to 18 carbon atoms, preferably two of the three substituents of R 35 to R 37 are phenyl or a substituted phenyl group such as a phenyl group and a tolyl group, and the remaining one is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms. be.
  • the anion (Y ⁇ ) includes halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ), maleate anion, nitrate anion and the like.
  • halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ), maleate anion, nitrate anion and the like.
  • This compound can be obtained as a commercial product, and examples thereof include trialkylsulfonium halides such as tri-n-butylsulfonium halide and tri-n-propylsulfonium halide, and dialkylbenzylsulfonium halides such as diethylbenzylsulfonium halide.
  • trialkylsulfonium halides such as tri-n-butylsulfonium halide and tri-n-propylsulfonium halide
  • dialkylbenzylsulfonium halides such as diethylbenzylsulfonium halide.
  • triphenylsulfonium halides halogen atoms are chlorine atoms or bromine atoms
  • tri-n-butylsulfonium carboxylate tri-n- trialkylsulfonium carboxylates such as propylsulfonium carboxylate
  • dialkylbenzylsulfonium carboxylates such as diethylbenzylsulfonium carboxylate
  • diphenylmethylsulfonium carboxylate are mentioned.
  • triphenylsulfonium halide and triphenylsulfonium carboxylate can be preferably used.
  • Nitrogen-containing silane compounds include imidazole ring-containing silane compounds such as N-(3-triethoxysilipropyl)-4,5-dihydroimidazole.
  • the stabilizing agent may be added for the purpose of stabilizing the hydrolysis condensate of the hydrolyzable silane mixture, and specific examples thereof include adding an organic acid, water, alcohol, or a combination thereof. can be done.
  • the organic acid include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartaric acid, phthalic acid, citric acid, glutaric acid, lactic acid and salicylic acid. Among them, oxalic acid and maleic acid are preferred.
  • the amount added is 0.1 to 5.0% by mass based on the mass of the hydrolytic condensate of the hydrolyzable silane mixture.
  • These organic acids can also act as pH adjusters.
  • As the water pure water, ultrapure water, ion-exchanged water, or the like can be used.
  • the amount added is 1 part by mass to 20 parts by mass with respect to 100 parts by mass of the composition for forming a resist underlayer film.
  • the above alcohol is preferably one that is easily dispersed (volatilized) by heating after application, and examples thereof include methanol, ethanol, propanol, i-propanol, and butanol.
  • the amount added can be 1 part by mass to 20 parts by mass with respect to 100 parts by mass of the composition for forming a resist underlayer film.
  • Organic polymer By adding the organic polymer compound to the composition for forming a resist underlayer film, the dry etching rate (decrease in film thickness per unit time) of the film (resist underlayer film) formed from the composition, Also, the attenuation coefficient, refractive index, etc. can be adjusted.
  • the organic polymer compound is not particularly limited, and is appropriately selected from various organic polymers (condensation polymer and addition polymer) according to the purpose of addition.
  • addition polymerization polymers and condensation polymerization polymers such as polyester, polystyrene, polyimide, acrylic polymer, methacrylic polymer, polyvinyl ether, phenol novolac, naphthol novolac, polyether, polyamide, and polycarbonate.
  • organic polymers containing aromatic rings such as benzene, naphthalene, anthracene, triazine, quinoline and quinoxaline rings and heteroaromatic rings that function as light absorbing sites are also used when such functions are required. can be preferably used.
  • organic polymeric compounds include addition-polymerizable Examples include, but are not limited to, addition polymerized polymers containing monomers as their structural units, and condensation polymerized polymers such as phenol novolacs and naphthol novolacs.
  • the polymer compound may be either a homopolymer or a copolymer.
  • Addition-polymerizable monomers are used in the production of addition-polymerized polymers, and specific examples of such addition-polymerizable monomers include acrylic acid, methacrylic acid, acrylic acid ester compounds, methacrylic acid ester compounds, acrylamide compounds, methacrylic Examples include, but are not limited to, amide compounds, vinyl compounds, styrene compounds, maleimide compounds, maleic anhydride, acrylonitrile, and the like.
  • acrylic acid ester compounds include methyl acrylate, ethyl acrylate, normal hexyl acrylate, i-propyl acrylate, cyclohexyl acrylate, benzyl acrylate, phenyl acrylate, anthryl methyl acrylate, 2-hydroxyethyl acrylate, 3-chloro-2 - hydroxypropyl acrylate, 2-hydroxypropyl acrylate, 2,2,2-trifluoroethyl acrylate, 2,2,2-trichloroethyl acrylate, 2-bromoethyl acrylate, 4-hydroxybutyl acrylate, 2-methoxyethyl acrylate, tetrahydrofurfuryl acrylate, 2-methyl-2-adamantyl acrylate, 5-acryloyloxy-6-hydroxynorbornene-2-carboxylic-6-lactone, 3-acryloxypropyltriethoxysilane, glycidyl acrylate, etc
  • methacrylate compounds include methyl methacrylate, ethyl methacrylate, normal hexyl methacrylate, i-propyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, phenyl methacrylate, anthrylmethyl methacrylate, 2-hydroxyethyl methacrylate, and 2-hydroxypropyl methacrylate.
  • acrylamide compounds include acrylamide, N-methylacrylamide, N-ethylacrylamide, N-benzylacrylamide, N-phenylacrylamide, N,N-dimethylacrylamide, N-anthrylacrylamide and the like. Not limited.
  • methacrylamide compounds include methacrylamide, N-methylmethacrylamide, N-ethylmethacrylamide, N-benzylmethacrylamide, N-phenylmethacrylamide, N,N-dimethylmethacrylamide, and N-anthrylmethacrylamide. etc., but not limited to these.
  • vinyl compounds include vinyl alcohol, 2-hydroxyethyl vinyl ether, methyl vinyl ether, ethyl vinyl ether, benzyl vinyl ether, vinyl acetate, vinyltrimethoxysilane, 2-chloroethyl vinyl ether, 2-methoxyethyl vinyl ether, vinyl naphthalene, vinyl Examples include, but are not limited to, anthracene.
  • styrene compounds include, but are not limited to, styrene, hydroxystyrene, chlorostyrene, bromostyrene, methoxystyrene, cyanostyrene, and acetylstyrene.
  • Maleimide compounds include, but are not limited to, maleimide, N-methylmaleimide, N-phenylmaleimide, N-cyclohexylmaleimide, N-benzylmaleimide, N-hydroxyethylmaleimide, and the like.
  • a polycondensation polymer when used as the polymer, such a polymer includes, for example, polycondensation of a glycol compound and a dicarboxylic acid compound.
  • Glycol compounds include diethylene glycol, hexamethylene glycol, butylene glycol and the like.
  • Dicarboxylic acid compounds include succinic acid, adipic acid, terephthalic acid, maleic anhydride and the like.
  • Further examples include, but are not limited to, polyesters such as polypyromellitimide, poly(p-phenylene terephthalamide), polybutylene terephthalate, and polyethylene terephthalate, polyamides, and polyimides.
  • the organic polymer compound contains a hydroxy group, this hydroxy group can undergo a cross-linking reaction with a hydrolytic condensate or the like.
  • the weight-average molecular weight of the above organic polymer compound can be usually 1,000 to 1,000,000.
  • the weight average molecular weight thereof is, for example, 3,000 to 300,000, or 5,000, from the viewpoint of suppressing precipitation in the composition while sufficiently obtaining the effect of the function as a polymer. It can be ⁇ 300,000, or 10,000 to 200,000, and so on.
  • Such organic polymer compounds may be used singly or in combination of two or more.
  • the content thereof is determined as appropriate in consideration of the function of the organic polymer compound, and cannot be unconditionally defined.
  • the mass of polysiloxane it can be in the range of 1 to 200% by mass, and from the viewpoint of suppressing precipitation in the composition, for example, 100% by mass or less, preferably 50% by mass or less, more preferably can be 30% by mass or less, and from the viewpoint of sufficiently obtaining the effect, for example, 5% by mass or more, preferably 10% by mass or more, more preferably 30% by mass or more.
  • acid generators include thermal acid generators and photoacid generators, and photoacid generators can be preferably used.
  • Photoacid generators include, but are not limited to, onium salt compounds, sulfonimide compounds, disulfonyldiazomethane compounds, and the like.
  • the photoacid generator may also function as a curing catalyst, depending on the type of the onium salt compound, such as carboxylates such as nitrates and maleates, and hydrochlorides, which will be described later.
  • thermal acid generators include tetramethylammonium nitrate and the like, but are not limited thereto.
  • onium salt compounds include diphenyliodonium hexafluorophosphate, diphenyliodonium trifluoromethanesulfonate, diphenyliodonium nonafluoro-normal butanesulfonate, diphenyliodonium perfluoro-normal octane sulfonate, diphenyliodonium camphorsulfonate, bis(4-t-butylphenyl ) iodonium salt compounds such as iodonium camphorsulfonate, bis(4-t-butylphenyl)iodonium trifluoromethanesulfonate, triphenylsulfonium hexafluoroantimonate, triphenylsulfonium nonafluoron-butanesulfonate, triphenylsulfonium camphorsulfonate, triphenylsulfonium Examples include, but are not limited to,
  • sulfonimide compounds include N-(trifluoromethanesulfonyloxy)succinimide, N-(nonafluoro-normalbutanesulfonyloxy)succinimide, N-(camphorsulfonyloxy)succinimide, and N-(trifluoromethanesulfonyloxy)naphthalimide. etc., but not limited to these.
  • disulfonyldiazomethane compounds include bis(trifluoromethylsulfonyl)diazomethane, bis(cyclohexylsulfonyl)diazomethane, bis(phenylsulfonyl)diazomethane, bis(p-toluenesulfonyl)diazomethane, and bis(2,4-dimethylbenzene).
  • sulfonyl)diazomethane methylsulfonyl-p-toluenesulfonyldiazomethane, and the like, but are not limited thereto.
  • the content thereof is determined as appropriate in consideration of the type of the acid generator and the like, and cannot be unconditionally defined. It is in the range of 0.01 to 5% by mass relative to the mass of siloxane, preferably 3% by mass or less, more preferably 1% by mass or less, from the viewpoint of suppressing precipitation of the acid generator in the composition. From the viewpoint of sufficiently obtaining the effect, the content is preferably 0.1% by mass or more, more preferably 0.5% by mass or more.
  • the acid generators may be used singly or in combination of two or more, and a photoacid generator and a thermal acid generator may be used in combination.
  • Surfactants are effective in suppressing the occurrence of pinholes, striations, etc. when the composition for forming a resist underlayer film is applied to a substrate.
  • the surfactant include nonionic surfactants, anionic surfactants, cationic surfactants, silicon surfactants, fluorochemical surfactants, and UV curable surfactants.
  • polyoxyethylene alkyl ethers such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, polyoxyethylene oleyl ether, polyoxyethylene octylphenol ether, polyoxyethylene nonylphenol
  • Polyoxyethylene alkylaryl ethers such as ethers, polyoxyethylene/polyoxypropylene block copolymers, sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan monooleate, sorbitan trioleate, sorbitan tristearate sorbitan fatty acid esters such as polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, polyoxyethylene sorbitan tristearate
  • Nonionic surfactants such as sorbitan fatty acid esters, trade name Ftop (registered trademark)
  • composition for forming a silicon-containing resist underlayer film of the present invention contains a surfactant
  • the content thereof is usually 0.0001 to 5% by mass, preferably 0%, based on the mass of [A] polysiloxane. 0.001 to 4% by weight, more preferably 0.01 to 3% by weight.
  • the rheology modifier mainly improves the fluidity of the composition for forming a resist underlayer film. It is added for the purpose of enhancement.
  • Specific examples include phthalic acid derivatives such as dimethyl phthalate, diethyl phthalate, di-i-butyl phthalate, dihexyl phthalate, butyl i-decyl phthalate, di-n-butyl adipate, di-i-butyl adipate, di-i-octyl adipate, Adipic acid derivatives such as octyldecyl adipate, maleic acid derivatives such as di-n-butyl maleate, diethyl maleate and dinonyl maleate, oleic acid derivatives such as methyl oleate, butyl oleate and tetrahydrofurfuryl oleate, or n-butyl stearate and glyceryl stear Examples include
  • the adhesion aid mainly improves the adhesion between the substrate or the resist and the film (resist underlayer film) formed from the resist underlayer film-forming composition, and particularly suppresses/prevents peeling of the resist during development. added for a purpose.
  • chlorosilanes such as trimethylchlorosilane, dimethylvinylchlorosilane, methyldiphenylchlorosilane, and chloromethyldimethylchlorosilane
  • alkoxysilanes such as trimethylmethoxysilane, dimethyldiethoxysilane, methyldimethoxysilane, and dimethylvinylethoxysilane
  • Disilazane, N,N'-bis(trimethylsilyl)urea dimethyltrimethylsilylamine, silazanes such as trimethylsilylimidazole, ⁇ -chloropropyltrimethoxysilane, ⁇ -aminopropyltriethoxysilane, ⁇ -glycidoxypropyltrimethoxysilane
  • Heterocyclic compounds such as other silanes such as benzotriazole, benzimidazole, indazole, imidazole, 2-mercaptobenzimidazole, 2-
  • ⁇ pH adjuster> bisphenol S or a bisphenol S derivative can be added in addition to an acid having one or more carboxylic acid groups such as the organic acid exemplified above as the ⁇ stabilizer>.
  • the amount added is 0.01 to 20 parts by weight, or 0.01 to 10 parts by weight, or 0.01 to 5 parts by weight, relative to 100 parts by weight of [A] polysiloxane. It can be a ratio of parts by mass.
  • bisphenol S and bisphenol S derivatives include, but are not limited to, compounds represented by the following formulas (C-1) to (C-23).
  • Non-limiting examples include oxides of one or a combination of two or more of the semimetals.
  • substrates used in the manufacture of precision integrated circuit elements e.g., semiconductor substrates such as silicon wafers coated with a silicon oxide film, silicon nitride film or silicon oxynitride film, silicon nitride substrates, quartz substrates, glass substrates (no Alkali glass, low alkali glass, crystallized glass), glass substrates with ITO (indium tin oxide) or IZO (indium zinc oxide) films, plastic (polyimide, PET, etc.) substrates, low dielectric material (low-k material) coated substrate, flexible substrate, etc.] by a suitable coating method such as a spinner or a coater, the silicon-containing resist underlayer film forming composition of the present invention is applied, and then a hot plate The composition is made into a cured film by baking using a heating means such as the above to form a resist underlayer film.
  • a suitable coating method such as a spinner or a coater
  • the resist underlayer film refers to a film formed from the composition for forming a silicon-containing resist underlayer film of the present invention.
  • the firing conditions are appropriately selected from a firing temperature of 40° C. to 400° C. or 80° C. to 250° C. and a firing time of 0.3 minutes to 60 minutes.
  • the firing temperature is 150° C. to 250° C. and the firing time is 0.5 minutes to 2 minutes.
  • the film thickness of the resist underlayer film can be, for example, about 10 nm to 1,000 nm. As described above, in recent years, the resist film has become thinner, and a thinner resist underlayer film has been demanded.
  • the object is a resist underlayer film having a film thickness of 10 nm or less.
  • the resist underlayer film of the present invention which is a cured product thereof, has a thickness of 10 nm or less.
  • the resist underlayer film can suppress pattern defects such as collapse.
  • the resist underlayer film of the present invention can have a film thickness of 1 nm to 10 nm.
  • a composition for forming a resist underlayer film that has been filtered through a nylon filter can be used as the composition for forming a resist underlayer film used for forming the resist underlayer film.
  • the composition for forming a resist underlayer film that has been filtered through a nylon filter is a composition that has been filtered through a nylon filter in the middle of manufacturing the composition for forming a resist underlayer film or after mixing all the components. point to
  • the organic underlayer film may be formed on the substrate and then the resist underlayer film may be formed thereon.
  • the organic underlayer film used here is not particularly limited, and can be arbitrarily selected from those conventionally used in lithography processes. By providing an organic underlayer film on the substrate, a resist underlayer film thereon, and a resist film described later thereon, the pattern width of the photoresist film is narrowed, and the photoresist film is used to prevent pattern collapse. Even if the film is thinly coated, the substrate can be processed by selecting an appropriate etching gas, which will be described later.
  • the silicon-containing resist underlayer film of the present invention can be processed by using a fluorine-based gas having a sufficiently high etching rate with respect to a photoresist film as an etching gas, and the silicon-containing resist underlayer film of the present invention can be processed.
  • a fluorine-based gas having a sufficiently high etching rate can be used as an etching gas to process the organic underlayer film
  • a fluorine-based gas having a sufficiently high etching rate for the organic underlayer film can be used as an etching gas.
  • a substrate can be processed by using it. The substrate and coating method that can be used at this time are the same as those described above.
  • a layer (resist film) of, for example, a photoresist material is formed on the resist underlayer film.
  • the resist film can be formed by a well-known method, that is, by applying a coating-type resist material (for example, a composition for forming a photoresist film) on the resist underlayer film and baking it.
  • the film thickness of the resist film is, for example, 10 nm to 10,000 nm, 100 nm to 2,000 nm, 200 nm to 1,000 nm, or 30 nm to 200 nm.
  • the photoresist material used for the resist film formed on the resist underlayer film is not particularly limited as long as it is sensitive to the light used for exposure (for example, KrF excimer laser, ArF excimer laser, etc.). Both negative photoresist materials and positive photoresist materials can be used.
  • a positive photoresist material composed of a novolac resin and a 1,2-naphthoquinonediazide sulfonic acid ester a chemically amplified photoresist composed of a binder having a group that decomposes with an acid to increase the alkali dissolution rate
  • a photoacid generator for example, a positive photoresist material composed of a novolac resin and a 1,2-naphthoquinonediazide sulfonic acid ester, a chemically amplified photoresist composed of a binder having a group that decomposes with an acid to increase the alkali dissolution rate.
  • a chemically amplified photoresist material composed of a low-molecular-weight compound, an alkali-soluble binder, and a photoacid generator that decomposes with an acid to increase the alkali dissolution rate of the photoresist material
  • a chemically amplified photoresist material composed of a binder having a group that causes a reaction, a low-molecular-weight compound that is decomposed by an acid to increase the alkali dissolution rate of the photoresist material, and a photoacid generator.
  • the resist film formed on the resist underlayer film may be a resist film for electron beam lithography (also referred to as an electron beam resist film) or a resist film for EUV lithography (also referred to as an EUV resist film) instead of the photoresist film.
  • the composition for forming a silicon-containing resist underlayer film of the present invention can be used for forming a resist underlayer film for electron beam lithography or for forming a resist underlayer film for EUV lithography. It is particularly suitable as a composition for forming a resist underlayer film for EUV lithography.
  • As the electron beam resist material either a negative type material or a positive type material can be used.
  • Specific examples thereof include a chemically amplified resist material composed of an acid generator and a binder having a group that is decomposed by an acid to change the alkali dissolution rate;
  • a chemically amplified resist material composed of a low-molecular-weight compound that changes the dissolution rate, a binder having a group that is decomposed by an acid generator and an acid to change the alkali dissolution rate, and a binder that is decomposed by the acid to change the alkali dissolution rate of the resist material.
  • non-chemically amplified resist materials made of binders Even when these electron beam resist materials are used, a resist film pattern can be formed in the same manner as when a photoresist material is used with an electron beam as the irradiation source.
  • a methacrylate resin-based resist material can be used as the EUV resist material.
  • the resist film formed on the upper layer of the resist underlayer film is exposed through a predetermined mask (reticle).
  • KrF excimer laser (wavelength 248 nm), ArF excimer laser (wavelength 193 nm), F2 excimer laser (wavelength 157 nm), EUV (wavelength 13.5 nm), electron beam, etc. can be used for exposure.
  • a post exposure bake can be performed if necessary.
  • the post-exposure heating is performed under conditions appropriately selected from a heating temperature of 70° C. to 150° C. and a heating time of 0.3 minutes to 10 minutes.
  • a developer for example, an alkaline developer
  • a developer for example, an alkaline developer
  • alkali metal hydroxides such as potassium hydroxide and sodium hydroxide
  • alkaline aqueous solutions such as aqueous solutions of amines such as amine, propylamine and ethylenediamine. Further, a surfactant or the like can be added to these developers.
  • the development conditions are appropriately selected from a temperature of 5 to 50° C. and a time of 10 to 600 seconds.
  • an organic solvent can be used as a developer, and development is performed with the developer (solvent) after exposure.
  • the developer solvent
  • the photoresist film in the unexposed portions is removed to form a pattern of the photoresist film.
  • Examples of the developer (organic solvent) include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, ethyl methoxyacetate, ethyl ethoxyacetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, ethylene glycol monophenyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monopropyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol monophenyl ether acetate, diethylene glycol monobutyl ether acetate, 2- methoxybutyl acetate, 3-methoxybutyl acetate, 4-methoxybutyl acetate, 3-methyl
  • the resist lower layer film (intermediate layer) is removed, and then the pattern of the patterned resist lower layer film (intermediate layer) is used as a protective film, The organic underlayer film (lower layer) is removed. Finally, the substrate is processed using the patterned resist underlayer film (intermediate layer) and the patterned organic underlayer film (lower layer) as protective films.
  • the removal (patterning) of the resist underlayer film (intermediate layer), which is performed using the pattern of the resist film (upper layer) as a protective film, is performed by dry etching using tetrafluoromethane (CF 4 ) and perfluorocyclobutane (C 4 F 8 ).
  • perfluoropropane ( C3F8 ) trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, chlorine trifluoride, chlorine, trichloroborane and dichloroborane, etc. of gas can be used.
  • a halogen-based gas for the dry etching of the resist underlayer film.
  • a resist film photoresist film
  • a silicon-containing resist underlayer film containing a large amount of silicon atoms is quickly removed by a halogen-based gas. Therefore, reduction in the thickness of the photoresist film due to dry etching of the resist underlayer film can be suppressed. As a result, it becomes possible to use a thin photoresist film. Therefore , the dry etching of the resist underlayer film is preferably performed using a fluorine - based gas. 8 ), trifluoromethane, difluoromethane (CH 2 F 2 ), and the like, but are not limited thereto.
  • the patterned resist film (upper layer) if remaining) and the patterned resist underlayer film (intermediate layer ) is used as a protective film to remove (pattern) the organic underlayer film (lower layer), which may be performed by dry etching using an oxygen-based gas (oxygen gas, oxygen/carbonyl sulfide (COS) mixed gas, etc.).
  • oxygen-based gas oxygen gas, oxygen/carbonyl sulfide (COS) mixed gas, etc.
  • Subsequent (semiconductor) substrate processing is preferably performed by dry etching with a fluorine-based gas.
  • fluorine-based gases include tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, and difluoromethane (CH 2 F 2 ). mentioned.
  • removal of the resist underlayer film may be performed. Removal of the resist underlayer film can be performed by dry etching or wet etching. The dry etching of the resist underlayer film is preferably performed using a fluorine - based gas as mentioned in the patterning described above. 3 F 8 ), trifluoromethane, difluoromethane (CH 2 F 2 ), and the like, but are not limited thereto.
  • Chemicals used for wet etching of the resist underlayer film include dilute hydrofluoric acid (hydrofluoric acid), buffered hydrofluoric acid (mixed solution of HF and NH 4 F), aqueous solution containing hydrochloric acid and hydrogen peroxide (SC- 2 chemical solution), an aqueous solution containing sulfuric acid and hydrogen peroxide (SPM chemical solution), an aqueous solution containing hydrofluoric acid and hydrogen peroxide (FPM chemical solution), and an aqueous solution containing ammonia and hydrogen peroxide (SC-1 chemical solution). are mentioned.
  • ammonia hydrogen peroxide mixture obtained by mixing ammonia, hydrogen peroxide water and water, ammonia, tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide, tetrapropyl ammonium hydroxide, tetrabutylammonium hydroxide, choline hydroxide, benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide, DBU (diazabicycloundecene), DBN (diazabicyclononene), hydroxylamine, 1-butyl- 1-methylpyrrolidinium hydroxide, 1-propyl-1-methylpyrrolidinium hydroxide, 1-butyl-1-methylpiperidinium hydroxide, 1-propyl-1-methylpiperidinium hydroxide, mepic Aqueous solutions containing 1 to 99% by
  • an organic antireflection film can be formed on the upper layer of the resist underlayer film before forming the resist film.
  • the antireflection coating composition used there is not particularly limited, and can be used by arbitrarily selecting, for example, those conventionally used in lithographic processes. , a spinner, or a coater, and baking to form the antireflection film.
  • the substrate to which the composition for forming a silicon-containing resist underlayer film of the present invention is applied may have an organic or inorganic antireflection film formed on its surface by a CVD method or the like.
  • a resist underlayer film can also be formed thereon.
  • the substrate to be used has an organic or inorganic antireflection film formed on its surface by a CVD method or the like. may have.
  • the resist underlayer film formed from the composition for forming a silicon-containing resist underlayer film of the present invention may also absorb light. In such a case, it can function as an antireflection film having the effect of preventing reflected light from the substrate.
  • the resist underlayer film is a layer for preventing interaction between the substrate and the resist film (photoresist film, etc.), a material used for the resist film, or a substance generated when the resist film is exposed to light that adversely affects the substrate. a layer with a function to prevent diffusion of substances generated from the substrate during heating and baking into the upper resist film, and a barrier layer for reducing the poisoning effect of the resist film due to the dielectric layer of the semiconductor substrate, etc. It is also possible to use
  • the resist underlayer film can be applied to a substrate having via holes formed therein for use in a dual damascene process, and can be used as a hole-filling material (filling material) capable of filling the holes without gaps. It can also be used as a planarizing material for planarizing the uneven surface of a semiconductor substrate.
  • the above-mentioned resist underlayer film does not intermix with the EUV resist film, for example, and is not suitable for EUV exposure (wavelength 13.5 nm).
  • EUV resist film can prevent reflection of UV (ultraviolet) light and DUV (deep ultraviolet) light (:ArF light, KrF light) from a substrate or an interface. That is, it can efficiently prevent reflection as a lower layer of the EUV resist film.
  • UV ultraviolet
  • DUV deep ultraviolet
  • the process can be performed in the same manner as for the photoresist underlayer film.
  • the semiconductor substrate can be suitably processed. Further, as described above, the step of forming an organic underlayer film, and the step of forming a silicon-containing resist underlayer film on the organic underlayer film using the composition for forming a silicon-containing resist underlayer film of the present invention; According to the method of manufacturing a semiconductor device, which includes the step of forming a resist film on the silicon-containing resist underlayer film, highly accurate semiconductor substrate processing can be achieved with good reproducibility, thereby stably manufacturing semiconductor devices. I can expect it.
  • the equipment and conditions used for analyzing the physical properties of the samples are as follows.
  • the molecular weight of the polysiloxane used in the present invention is the molecular weight obtained in terms of polystyrene by GPC analysis.
  • GPC measurement conditions include, for example, a GPC device (trade name HLC-8220GPC, manufactured by Tosoh Corporation), a GPC column (trade name Shodex (registered trademark) KF803L, KF802, KF801, manufactured by Showa Denko KK), and a column temperature of 40°C.
  • the eluent (elution solvent) is tetrahydrofuran
  • the flow rate (flow rate) is 1.0 mL/min
  • the standard sample is polystyrene (manufactured by Showa Denko KK).
  • 1 H-NMR Evaluation was performed using a nuclear magnetic resonance apparatus 1 H-NMR (400 MHz) manufactured by JEOL and d6-acetone as a solvent.
  • the resulting polymer contained polysiloxane (P1) having a structure represented by the following formula (E1), and had a weight average molecular weight of Mw 1,300 in terms of polystyrene by GPC.
  • reaction by-products ethanol, methanol and water, were distilled off under reduced pressure and concentrated to obtain an aqueous solution of a hydrolyzed condensate (polymer).
  • propylene glycol monoethyl ether was added, and the concentration was adjusted so that the solvent ratio of 100% propylene glycol monoethyl ether was 20% by mass in terms of solid residue at 150 ° C., and filtered through a nylon filter (pore size 0.1 ⁇ m). Filtration was performed.
  • the resulting polymer contained polysiloxane (P2) having a structure represented by formula (E1) above, and had a weight average molecular weight of Mw 1,800 in terms of polystyrene by GPC.
  • reaction by-products ethanol, methanol and water, were distilled off under reduced pressure and concentrated to obtain an aqueous solution of a hydrolyzed condensate (polymer).
  • propylene glycol monoethyl ether was added, and the concentration was adjusted so that the solvent ratio of 100% propylene glycol monoethyl ether was 20% by mass in terms of solid residue at 150 ° C., and filtered through a nylon filter (pore size 0.1 ⁇ m). Filtration was performed.
  • the resulting polymer contained polysiloxane (P3) having a structure represented by formula (E1) above, and had a weight average molecular weight of Mw 2,200 in terms of polystyrene by GPC.
  • the obtained polymer contained polysiloxane (P4) having a structure represented by formula (E1) above, and had a weight average molecular weight of Mw 2,600 in terms of polystyrene by GPC.
  • reaction by-products ethanol, methanol and water, were distilled off under reduced pressure and concentrated to obtain an aqueous solution of a hydrolyzed condensate (polymer).
  • polymer propylene glycol monoethyl ether was added, and the concentration was adjusted so that the solvent ratio of 100% propylene glycol monoethyl ether was 20% by mass in terms of solid residue at 150°C. Filtration was performed.
  • the resulting polymer contained polysiloxane (P5) having a structure represented by formula (E1) above, and had a weight average molecular weight of Mw 2,900 in terms of polystyrene by GPC.
  • Table 1 shows the number average molecular weight (Mn), weight average molecular weight (Mw), average molecular weight (Mz) of the polymers obtained in Synthesis Examples 1 to 5, and the proportion of polysiloxane having a molecular weight range of more than 2500. show.
  • composition for forming resist underlayer film Polysiloxane (polymer) obtained in the above synthesis example, acid (additive 1), condensation catalyst (additive 2), high boiling point glycol compound (additive 3), A composition for forming a resist underlayer film was prepared by mixing solvents in the proportions shown in Table 1 and filtering through a 0.1 ⁇ m fluororesin filter. Each addition amount in Table 1 is shown in parts by mass.
  • the hydrolytic condensate (polymer) is prepared as a solution containing the condensate obtained in Synthesis Example, but the addition ratio of the polymer in Table 1 is not the amount of the polymer solution added, but the polymer itself. is added.
  • DIW means ultrapure water
  • PGEE propylene glycol monoethyl ether
  • PGME propylene glycol monomethyl ether.
  • MA means maleic acid
  • IMTEOS triethoxysilylpropyl-4,5-dihydroimidazole
  • TPSNO3 triphenylsulfonium nitrate
  • TEGEE triethylene glycol monoethyl ether.
  • composition for forming organic resist underlayer film Carbazole (6.69 g, 0.040 mol, manufactured by Tokyo Chemical Industry Co., Ltd.), 9-fluorenone (7.28 g, 0 040 mol, manufactured by Tokyo Chemical Industry Co., Ltd.), p-toluenesulfonic acid monohydrate (0.76 g, 0.0040 mol, manufactured by Tokyo Chemical Industry Co., Ltd.) was added, and 1,4-dioxane (6.69 g, Kanto Kagaku Co., Ltd.) was charged, stirred, heated to 100° C. and dissolved to initiate polymerization. After 24 hours, it was allowed to cool to 60°C.
  • the cooled reaction mixture was diluted by adding chloroform (34 g, manufactured by Kanto Chemical Co., Ltd.), and the diluted mixture was added to methanol (168 g, manufactured by Kanto Chemical Co., Ltd.) to precipitate.
  • the obtained precipitate was collected by filtration, and the collected solid was dried in a vacuum dryer at 80° C. for 24 hours to obtain 9.37 g of the target polymer represented by the formula (X) (hereinafter abbreviated as PCzFL). Obtained.
  • the measurement results of 1 H-NMR of PCzFL were as follows.
  • the solution is filtered using a polyethylene microfilter with a pore size of 0.10 ⁇ m, and further filtered using a polyethylene microfilter with a pore size of 0.05 ⁇ m to form an organic resist underlayer film used in a lithography process using a multilayer film.
  • a composition was prepared for
  • Examples 2 to 4 (film thickness 10 nm), Examples 5 to 6 (film thickness 5 nm), Comparative Example 1 (film thickness 20 nm), Comparative Examples 2 to 4 (film thickness 10 nm) were obtained.
  • a resist pattern was formed using each composition.
  • whether or not lines and spaces of 44 nm pitch and 22 nm can be formed was evaluated by confirming the pattern shape by observing the cross section of the pattern.
  • "good” means that the shape is between the footing and the undercut and there is no significant residue in the space
  • "collapsed” means that the resist pattern is peeled off and collapsed.
  • An unfavorable state in which the tops or bottoms of the resist patterns are in contact with each other was evaluated as "bridge”. Table 4 shows the results obtained.
  • the compositions of Examples 1 to 6 in which the proportion of polysiloxane having a molecular weight of 2500 or more is 19% or less, have solvent resistance and developer resistance, and the photoresist pattern It was confirmed that the composition can form a resist underlayer film with excellent formability and has excellent patterning properties at a film thickness of 5 nm.
  • the compositions of Comparative Examples 1 to 4 in which the ratio of polysiloxane having a molecular weight exceeding 2500 is 30% or more, exhibited good patterning properties at a film thickness of 20 nm. However, at a film thickness of 10 nm, the patterning shape was evaluated as "collapsed", resulting in poor patterning characteristics.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Silicon Polymers (AREA)

Abstract

【課題】膜厚が10nm以下など、従来と比して厚さの薄いレジスト下層膜であっても、パターン倒壊のない良好なレジストパターンを得られるシリコン含有レジスト下層膜を形成するための、シリコン含有下層膜形成用組成物を提供すること。 【解決手段】[A]ゲル浸透クロマトグラフィー(GPC)分析によるポリスチレン換算にて得られる重量平均分子量が1,800以下であり、かつ、ゲル浸透クロマトグラフィー(GPC)分析によるポリスチレン換算にて得られる積分分子量分布曲線において分子量2,500超の割合が20%未満である、ポリシロキサン、及び[B]溶媒を含有する、シリコン含有レジスト下層膜形成用組成物。

Description

シリコン含有レジスト下層膜形成用組成物
 本発明は、レジスト下層膜形成用組成物に関し、特に薄いレジスト膜ととも用いる場合や薄いレジスト下層膜を形成し、これを用いる場合においても、パターン倒壊などが抑制できる良好なリソグラフィー特性を有するシリコン含有レジスト下層膜を形成できる、シリコン含有レジスト下層膜形成用組成物を提供する。
 従来から半導体装置の製造において、フォトレジストを用いたリソグラフィーによる微細加工が行われている。上記微細加工はシリコンウエハー等の半導体基板上にフォトレジストの薄膜を形成し、その上に半導体デバイスのパターンが描かれたマスクパターンを介して紫外線などの活性光線を照射し、現像し、得られたフォトレジストパターンを保護膜として基板をエッチング処理することにより、基板表面に、上記パターンに対応する微細凹凸を形成する加工法である。
 半導体デバイスの高集積度化が進む中、使用される活性光線もKrFエキシマレーザー(248nm)からArFエキシマレーザー(193nm)へと短波長化される傾向にあり、さらには、EUV(Extreme Ultra violet:極端紫外線)や電子線を用いた露光技術が検討されている。活性光線の短波長化に伴い、活性光線の半導体基板からの反射の影響が大きな問題となる中、フォトレジストと被加工基板の間に反射防止膜(BottomAnti-ReflectiveCoating、BARC)と呼ばれるレジスト下層膜を設ける方法が広く適用されるようになってきた。こうしたレジスト下層膜として、例えばシリコン等を含有する下層膜が提案されている(特許文献1等)。
 近年の最先端半導体デバイスにおけるレジストパターンの微細化に伴い、レジストの薄膜化への要望はより顕著となっている。とりわけレジスト膜、シリコン含有レジスト下層膜、有機下層膜からなる3層プロセスにおいては、レジストの薄膜化のみならずシリコン含有レジスト下層膜の薄膜化も求められ、そしてシリコン含有レジスト下層膜上におけるレジストにおける良好なリソグラフィー特性が求められている。
特開2007-163846号公報
 上述したレジスト膜及びレジスト下層膜のさらなる薄膜化により、これまでは良好なレジストパターンが形成できていたシステムにおいても、パターンの倒壊やパターン形状の悪化が散見され、パターンに用いられる膜の薄膜化が顕著である近年の半導体製造プロセスにおいて、レジスト下層膜の良好なリソグラフィー特性の付与性能は重要である。
 本発明は、上記事情に鑑みてなされたものであって、例えば膜厚が10nm以下など、従来と比して薄いレジスト下層膜であっても、パターン倒壊のない良好なレジストパターンを得られるシリコン含有レジスト下層膜を形成するための、シリコン含有レジスト下層膜形成用組成物を提供することを目的とする。
 本発明は第1観点として、
[A]ゲル浸透クロマトグラフィー(GPC)分析によるポリスチレン換算にて得られる重量平均分子量が1,800以下であり、かつ、
ゲル浸透クロマトグラフィー(GPC)分析によるポリスチレン換算にて得られる積分分子量分布曲線において分子量2,500超の割合が20%未満である、ポリシロキサン、及び
[B]溶媒
を含有する、シリコン含有レジスト下層膜形成用組成物に関する。
 第2観点として、上記[A]ポリシロキサンが、ゲル浸透クロマトグラフィー(GPC)分析によるポリスチレン換算にて得られる積分分子量分布曲線において分子量2,000超の割合が35%未満のポリシロキサンである、第1観点に記載のシリコン含有レジスト下層膜形成用組成物に関する。
 第3観点として、上記[A]ポリシロキサンが、1,100以上1,800以下の重量平均分子量を有する、第1観点又は第2観点に記載のシリコン含有レジスト下層膜形成用組成物に関する。
 第4観点として、膜厚10nm以下のレジスト下層膜でもパターン形成可能な組成物である、第1観点乃至第3観点のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物に関する。
 第5観点として、上記[A]ポリシロキサンが、下記式(1)で表される少なくとも1種の加水分解性シランを含む加水分解性シランの加水分解縮合物、該縮合物が有するシラノール基の少なくとも一部がアルコール変性された加水分解縮合物の変性物、該縮合物が有するシラノール基の少なくとも一部がアセタール保護された加水分解縮合物の変性物、及び、該縮合物とアルコールの脱水反応物からなる群から選択される少なくとも一種を含む、第1観点乃至第4観点のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物に関する。
Figure JPOXMLDOC01-appb-C000003
(式中、
は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、もしくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、もしくはシアノ基を有する有機基、又はそれらの組み合わせを表し、
は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表し、
aは0乃至3の整数を表す。)
 第6観点として、硬化触媒を含有しない、第1観点乃至第5観点のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物に関する。
 第7観点として、上記[B]溶媒が、水を含む、第1観点乃至第6観点のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物に関する。
 第8観点として、pH調整剤を更に含む、第1観点乃至第7観点のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物に関する。
 第9観点として、[C]標準沸点が230.0℃以上であり、かつ、下記式(2)で表されるグリコール化合物を含む、第1観点乃至第8観点のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物に関する。
Figure JPOXMLDOC01-appb-C000004
(式中、R及びRは、それぞれ独立して、水素原子、炭素原子数1乃至4のアルキル基又は炭素原子数3乃至4のアシル基を表し、nは3以上の整数を表す)
 第10観点として、界面活性剤を更に含む、第1観点乃至第9観点のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物に関する。
 第11観点として、金属酸化物を更に含む、第1観点乃至第10観点のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物に関する。
 第12観点として、EUVリソグラフィー用レジスト下層膜形成用である、第1観点乃至第11観点のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物に関する。
 第13観点として、第1観点乃至第12観点のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物の硬化物である、レジスト下層膜に関する。
 第14観点として、10nm以下の膜厚を有する、第13観点に記載のレジスト下層膜に関する。
 第15観点として、半導体基板と、第13観点又は第14観点に記載のレジスト下層膜とを備える半導体加工用基板に関する。
 第16観点として、
基板上に、有機下層膜を形成する工程と、
上記有機下層膜上に、第1観点乃至第12観点のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物を用いてシリコン含有レジスト下層膜を形成する工程と、
上記シリコン含有レジスト下層膜上に、レジスト膜を形成する工程とを含む、
半導体素子の製造方法に関する。
 第17観点として、上記シリコン含有レジスト下層膜を形成する工程において、ナイロンフィルタろ過したシリコン含有レジスト下層膜形成用組成物を使用する、第16観点に記載の製造方法に関する。
 第18観点として、第1観点乃至第12観点のいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物を半導体基板上に塗布し焼成することによって得られるレジスト下層膜に関する。
 第19観点として、第1観点乃至第12観点のいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物を半導体基板上に塗布し、焼成しレジスト下層膜を形成する工程、前記下層膜の上にレジスト膜形成用組成物を塗布しレジスト膜を形成する工程、前記レジスト膜を露光する工程、露光後にレジストを現像しレジストパターンを得る工程、レジストパターンによりレジスト下層膜をエッチングする工程、及びパターン化されたレジスト下層膜により半導体基板を加工する工程を含む半導体装置の製造方法に関する。
 第20観点として、半導体基板上に有機下層膜を形成する工程、その上に第1観点乃至第12観点のいずれか一項に記載のレジスト下層膜形成用組成物を塗布し焼成しレジスト下層膜を形成する工程、前記レジスト下層膜の上にレジスト膜形成用組成物を塗布しレジスト膜を形成する工程、前記レジスト膜を露光する工程、露光後にレジストを現像しレジストパターンを得る工程、レジストパターンによりレジスト下層膜をエッチングする工程、パターン化されたレジスト下層膜により有機下層膜をエッチングする工程、及びパターン化された有機下層膜により半導体基板を加工する工程を含む半導体装置の製造方法に関する。
 本発明によれば、膜厚が10nm以下であっても、パターン倒壊のない良好なレジストパターンを得られるシリコン含有レジスト下層膜を形成できる、シリコン含有レジスト下層膜形成用組成物を提供することができる。
 そして本発明によれば、さらなる薄膜化・微細化が求められるリソグラフィー工程において好適に用いることができるシリコン含有レジスト下層膜形成用組成物を提供できる。
 本発明は、半導体システムの薄膜化に伴うレジストパターンの倒壊を防止できるシリコン含有レジスト下層膜を形成する組成物を対象とし、[A]特定分子量のポリシロキサン及び[B]溶媒を含有する、シリコン含有レジスト下層膜形成用組成物(以下、単に「レジスト下層膜形成用組成物」ともいう)に関する。
 以下、本発明について詳述する。
[A]ポリシロキサン
 本発明において[A]ポリシロキサンは、シロキサン結合を有するポリマーである限り、その構造は特に限定されない。
 本発明における[A]ポリシロキサンは、ゲル浸透クロマトグラフィー(GPC)分析によるポリスチレン換算にて得られる重量平均分子量が1,800以下のポリシロキサンである。例えば一態様において、上記重量平均分子量が1,100以上1,800以下のポリシロキサンを使用することができる。
 また本発明の[A]ポリシロキサンは、ゲル浸透クロマトグラフィー(GPC)分析によるポリスチレン換算にて得られる積分分子量分布曲線において分子量2,500超の割合が20%未満のポリシロキサンである。例えば一態様において、上記積分分子量分布曲線において分子量2,000以上の割合が35%未満であるポリシロキサンを使用することができる。また例えば、上記積分分子量分布曲線において、分子量1,800以下の割合が60%以上、或いは分子量1400以下の割合が40%以上であるポリシロキサンを使用することができる。
 なお[A]ポリシロキサンにおける多分散度Mw/Mnは、例えば1.30未満とすることができる。
 本発明のシリコン含有レジスト下層膜形成用組成物は、上記の通り重量平均分子量が1,800以下である、謂わば低分子量のポリシロキサンであって、分子量が2,500超の割合が抑制されたポリシロキサンを用いることを特徴とする。こうした低分子量のポリシロキサンの使用により、レジスト膜等の薄膜化によって生じ得るレジストパターンの倒壊を防止できるシリコン含有レジスト下層膜を形成できる組成物とすることができる。例えば本発明のシリコン含有レジスト下層膜形成用組成物は、膜厚10nm以下のレジスト下層膜とした場合においても、上層のレジストパターンにおいてパターン剥がれやパターン倒れ、パターン同士の接触といったパターン不良を抑制でき、形状が良好なパターンを形成可能なレジスト下層膜形成用組成物である。
 上記ポリシロキサンは、シラノール基の一部が変性されている変性ポリシロキサン、例えばシラノール基の一部がアルコール変性された又はアセタール保護されたポリシロキサン変性物を含んでいてもよい。
 また上記ポリシロキサンは、一例として加水分解性シランの加水分解縮合物を含み、該加水分解縮合物が有するシラノール基の少なくとも一部がアルコール変性された又はアセタール保護された変性ポリシロキサンを含んでいてもよい。上記加水分解縮合物に係る加水分解性シランは、一種又は二種以上の加水分解性シランを含むことができる。
 また上記ポリシロキサンは、かご型、ラダー型、直鎖型、分岐型のいずれの主鎖を有する構造であるものとすることができる。さらに上記ポリシロキサンとして、市販のポリシロキサンを使用することができる。
 なお、本発明において、上記加水分解性シランの「加水分解縮合物」、すなわち加水分解縮合の生成物には、縮合が完全に完了した縮合物であるポリオルガノシロキサンポリマーだけでなく、縮合が完全に完了しない部分加水分解縮合物であるポリオルガノシロキサンポリマーも包含される。このような部分加水分解縮合物も、縮合が完全に完了した縮合物と同様、加水分解性シラン化合物の加水分解及び縮合によって得られたポリマーであるが、部分的に加水分解で止まり、縮合しておらず、それ故、Si-OH基が残存しているものである。また、本発明のシリコン含有レジスト下層膜形成用組成物は、加水分解縮合物の他に、未縮合の加水分解物(完全加水分解物、部分加水分解物)や、モノマー(加水分解性シラン化合物)が残存していてもよい。
 なお、本明細書において、「加水分解性シラン」を単に「シラン化合物」とも称することがある。
 [A]ポリシロキサンとして、例えば下記式(1)で表される少なくとも1種の加水分解性シランを含む加水分解性シランの加水分解縮合物を挙げることができる。
Figure JPOXMLDOC01-appb-C000005
 式(1)中、Rは、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、もしくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、もしくはシアノ基を有する有機基、又はそれらの組み合わせを表す。
 またRは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 そしてaは0乃至3の整数を表す。
 上記式(1)中、アルキル基として、例えば直鎖又は分枝を有する炭素原子数1乃至10のアルキル基が挙げられ、例えばメチル基、エチル基、n-プロピル基、i-プロピル基、n-ブチル基、i-ブチル基、s-ブチル基、t-ブチル基、n-ペンチル基、1-メチル-n-ブチル基、2-メチル-n-ブチル基、3-メチル-n-ブチル基、1,1-ジメチル-n-プロピル基、1,2-ジメチル-n-プロピル基、2,2-ジメチル-n-プロピル基、1-エチル-n-プロピル基、n-ヘキシル基、1-メチル-n-ペンチル基、2-メチル-n-ペンチル基、3-メチル-n-ペンチル基、4-メチル-n-ペンチル基、1,1-ジメチル-n-ブチル基、1,2-ジメチル-n-ブチル基、1,3-ジメチル-n-ブチル基、2,2-ジメチル-n-ブチル基、2,3-ジメチル-n-ブチル基、3,3-ジメチル-n-ブチル基、1-エチル-n-ブチル基、2-エチル-n-ブチル基、1,1,2-トリメチル-n-プロピル基、1,2,2-トリメチル-n-プロピル基、1-エチル-1-メチル-n-プロピル基及び1-エチル-2-メチル-n-プロピル基等が挙げられる。
 また環状アルキル基を用いることもでき、例えば炭素原子数3乃至10の環状アルキル基として、シクロプロピル基、シクロブチル基、1-メチル-シクロプロピル基、2-メチル-シクロプロピル基、シクロペンチル基、1-メチル-シクロブチル基、2-メチル-シクロブチル基、3-メチル-シクロブチル基、1,2-ジメチル-シクロプロピル基、2,3-ジメチル-シクロプロピル基、1-エチル-シクロプロピル基、2-エチル-シクロプロピル基、シクロヘキシル基、1-メチル-シクロペンチル基、2-メチル-シクロペンチル基、3-メチル-シクロペンチル基、1-エチル-シクロブチル基、2-エチル-シクロブチル基、3-エチル-シクロブチル基、1,2-ジメチル-シクロブチル基、1,3-ジメチル-シクロブチル基、2,2-ジメチル-シクロブチル基、2,3-ジメチル-シクロブチル基、2,4-ジメチル-シクロブチル基、3,3-ジメチル-シクロブチル基、1-n-プロピル-シクロプロピル基、2-n-プロピル-シクロプロピル基、1-i-プロピル-シクロプロピル基、2-i-プロピル-シクロプロピル基、1,2,2-トリメチル-シクロプロピル基、1,2,3-トリメチル-シクロプロピル基、2,2,3-トリメチル-シクロプロピル基、1-エチル-2-メチル-シクロプロピル基、2-エチル-1-メチル-シクロプロピル基、2-エチル-2-メチル-シクロプロピル基及び2-エチル-3-メチル-シクロプロピル基等のシクロアルキル基、ビシクロブチル基、ビシクロペンチル基、ビシクロヘキシル基、ビシクロヘプチル基、ビシクロオクチル基、ビシクロノニル基及びビシクロデシル基等の架橋環式のシクロアルキル基等が挙げられる。
 アリール基は、フェニル基、縮合環芳香族炭化水素化合物の水素原子を一つ取り除いて誘導される1価の基、環連結芳香族炭化水素化合物の水素原子を一つ取り除いて誘導される1価の基のいずれでもよく、その炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 例えばアリ-ル基として炭素原子数6乃至20のアリール基が挙げられ、一例としてフェニル基、1-ナフチル基、2-ナフチル基、1-アントリル基、2-アントリル基、9-アントリル基、1-フェナントリル基、2-フェナントリル基、3-フェナントリル基、4-フェナントリル基、9-フェナントリル基、1-ナフタセニル基、2-ナフタセニル基、5-ナフタセニル基、2-クリセニル基、1-ピレニル基、2-ピレニル基、ペンタセニル基、ベンゾピレニル基、トリフェニレニル基;ビフェニル-2-イル基(o-ビフェニリル基)、ビフェニル-3-イル基(m-ビフェニリル基)、ビフェニル-4-イル基(p-ビフェニリル基)、パラテルフェニル-4-イル基、メタテルフェニル-4-イル基、オルトテルフェニル-4-イル基、1,1’-ビナフチル-2-イル基、2,2’-ビナフチル-1-イル基等が挙げられるが、これらに限定されない。
 アラルキル基は、アリール基が置換したアルキル基であり、このようなアリール基及びアルキル基の具体例としては、上述したものと同じものが挙げられる。アラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アラルキル基の具体例としては、フェニルメチル基(ベンジル基)、2-フェニルエチレン基、3-フェニル-n-プロピル基、4-フェニル-n-ブチル基、5-フェニル-n-ペンチル基、6-フェニル-n-ヘキシル基、7-フェニル-n-ヘプチル基、8-フェニル-n-オクチル基、9-フェニル-n-ノニル基、10-フェニル-n-デシル基等が挙げられるが、これらに限定されない。
 上記ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基は、1以上のハロゲン原子により置換されたアルキル基、アリール基、アラルキル基であり、このようなアルキル基、アリール基及びアラルキル基の具体例としては上述したものと同じものが挙げられる。
 上記ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられる。
 上記ハロゲン化アルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 ハロゲン化アルキル基の具体例としては、モノフルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、ブロモジフルオロメチル基、2-クロロエチル基、2-ブロモエチル基、1,1-ジフルオロエチル基、2,2,2-トリフルオロエチル基、1,1,2,2-テトラフルオロエチル基、2-クロロ-1,1,2-トリフルオロエチル基、ペンタフルオロエチル基、3-ブロモプロピル基、2,2,3,3-テトラフルオロプロピル基、1,1,2,3,3,3-ヘキサフルオロプロピル基、1,1,1,3,3,3-ヘキサフルオロプロパン-2-イル基、3-ブロモ-2-メチルプロピル基、4-ブロモブチル基、パーフルオロペンチル基等が挙げられるが、これらに限定されない。
 上記ハロゲン化アリール基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アリール基の具体例としては、2-フルオロフェニル基、3-フルオロフェニル基、4-フルオロフェニル基、2,3-ジフルオロフェニル基、2,4-ジフルオロフェニル基、2,5-ジフルオロフェニル基、2,6-ジフルオロフェニル基、3,4-ジフルオロフェニル基、3,5-ジフルオロフェニル基、2,3,4-トリフルオロフェニル基、2,3,5-トリフルオロフェニル基、2,3,6-トリフルオロフェニル基、2,4,5-トリフルオロフェニル基、2,4,6-トリフルオロフェニル基、3,4,5-トリフルオロフェニル基、2,3,4,5-テトラフルオロフェニル基、2,3,4,6-テトラフルオロフェニル基、2,3,5,6-テトラフルオロフェニル基、ペンタフルオロフェニル基、2-フルオロ-1-ナフチル基、3-フルオロ-1-ナフチル基、4-フルオロ-1-ナフチル基、6-フルオロ-1-ナフチル基、7-フルオロ-1-ナフチル基、8-フルオロ-1-ナフチル基、4,5-ジフルオロ-1-ナフチル基、5,7-ジフルオロ-1-ナフチル基、5,8-ジフルオロ-1-ナフチル基、5,6,7,8-テトラフルオロ-1-ナフチル基、ヘプタフルオロ-1-ナフチル基、1-フルオロ-2-ナフチル基、5-フルオロ-2-ナフチル基、6-フルオロ-2-ナフチル基、7-フルオロ-2-ナフチル基、5,7-ジフルオロ-2-ナフチル基、ヘプタフルオロ-2-ナフチル基等が挙げられ、またこれらの基におけるフッ素原子(フルオロ基)が塩素原子(クロロ基)、臭素原子(ブロモ基)、ヨウ素原子(ヨード基)に任意に置換された基が挙げられるが、これらに限定されない。
 上記ハロゲン化アラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アラルキル基の具体例としては、2-フルオロベンジル基、3-フルオロベンジル基、4-フルオロベンジル基、2,3-ジフルオロベンジル基、2,4-ジフルオロベンジル基、2,5-ジフルオロベンジル基、2,6-ジフルオロベンジル基、3,4-ジフルオロベンジル基、3,5-ジフルオロベンジル基、2,3,4-トリフルオロベンジル基、2,3,5-トリフルオロベンジル基、2,3,6-トリフルオロベンジル基、2,4,5-トリフルオロベンジル基、2,4,6-トリフルオロベンジル基、2,3,4,5-テトラフルオロベンジル基、2,3,4,6-テトラフルオロベンジル基、2,3,5,6-テトラフルオロベンジル基、2,3,4,5,6-ペンタフルオロベンジル基等が挙げられ、またこれらの基におけるフッ素原子(フルオロ基)が塩素原子(クロロ基)、臭素原子(ブロモ基)、ヨウ素原子(ヨード基)に任意に置換された基が挙げられるが、これらに限定されない。
 上記アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基は、1以上のアルコキシ基により置換されたアルキル基、アリール基、アラルキル基であり、このようなアルキル基、アリール基及びアラルキル基の具体例としては上述したものと同じものが挙げられる。
 上記アルコキシ基としては、炭素原子数1乃至20の直鎖、分岐、環状のアルキル部分を有するアルコキシ基が挙げられる。直鎖又は分枝を有するアルコキシ基としては、例えばメトキシ基、エトキシ基、n-プロポキシ基、i-プロポキシ基、n-ブトキシ基、i-ブトキシ基、s-ブトキシ基、t-ブトキシ基、n-ペンチロキシ基、1-メチル-n-ブトキシ基、2-メチル-n-ブトキシ基、3-メチル-n-ブトキシ基、1,1-ジメチル-n-プロポキシ基、1,2-ジメチル-n-プロポキシ基、2,2-ジメチル-n-プロポキシ基、1-エチル-n-プロポキシ基、n-ヘキシロキシ基、1-メチル-n-ペンチロキシ基、2-メチル-n-ペンチロキシ基、3-メチル-n-ペンチロキシ基、4-メチル-n-ペンチロキシ基、1,1-ジメチル-n-ブトキシ基、1,2-ジメチル-n-ブトキシ基、1,3-ジメチル-n-ブトキシ基、2,2-ジメチル-n-ブトキシ基、2,3-ジメチル-n-ブトキシ基、3,3-ジメチル-n-ブトキシ基、1-エチル-n-ブトキシ基、2-エチル-n-ブトキシ基、1,1,2-トリメチル-n-プロポキシ基、1,2,2-トリメチル-n-プロポキシ基、1-エチル-1-メチル-n-プロポキシ基及び1-エチル-2-メチル-n-プロポキシ基等が挙げられる。また環状のアルコキシ基としては、例えばシクロプロポキシ基、シクロブトキシ基、1-メチル-シクロプロポキシ基、2-メチル-シクロプロポキシ基、シクロペンチロキシ基、1-メチル-シクロブトキシ基、2-メチル-シクロブトキシ基、3-メチル-シクロブトキシ基、1,2-ジメチル-シクロプロポキシ基、2,3-ジメチル-シクロプロポキシ基、1-エチル-シクロプロポキシ基、2-エチル-シクロプロポキシ基、シクロヘキシロキシ基、1-メチル-シクロペンチロキシ基、2-メチル-シクロペンチロキシ基、3-メチル-シクロペンチロキシ基、1-エチル-シクロブトキシ基、2-エチル-シクロブトキシ基、3-エチル-シクロブトキシ基、1,2-ジメチル-シクロブトキシ基、1,3-ジメチル-シクロブトキシ基、2,2-ジメチル-シクロブトキシ基、2,3-ジメチル-シクロブトキシ基、2,4-ジメチル-シクロブトキシ基、3,3-ジメチル-シクロブトキシ基、1-n-プロピル-シクロプロポキシ基、2-n-プロピル-シクロプロポキシ基、1-i-プロピル-シクロプロポキシ基、2-i-プロピル-シクロプロポキシ基、1,2,2-トリメチル-シクロプロポキシ基、1,2,3-トリメチル-シクロプロポキシ基、2,2,3-トリメチル-シクロプロポキシ基、1-エチル-2-メチル-シクロプロポキシ基、2-エチル-1-メチル-シクロプロポキシ基、2-エチル-2-メチル-シクロプロポキシ基及び2-エチル-3-メチル-シクロプロポキシ基等が挙げられる。
 上記アルコキシアルキル基の具体例としては、メトキシメチル基、エトキシメチル基、1-エトキシエチル基、2-エトキシエチル基、エトキシメチル基等の低級(炭素原子数5以下程度)アルキルオキシ低級(炭素原子数5以下程度)アルキル基等が挙げられるが、これらに限定されない。
 上記アルコキシアリール基の具体例としては、2-メトキシフェニル基、3-メトキシフェニル基、4-メトキシフェニル基、2-(1-エトキシ)フェニル基、3-(1-エトキシ)フェニル基、4-(1-エトキシ)フェニル基、2-(2-エトキシ)フェニル基、3-(2-エトキシ)フェニル基、4-(2-エトキシ)フェニル基、2-メトキシナフタレン-1-イル基、3-メトキシナフタレン-1-イル基、4-メトキシナフタレン-1-イル基、5-メトキシナフタレン-1-イル基、6-メトキシナフタレン-1-イル基、7-メトキシナフタレン-1-イル基等が挙げられるが、これらに限定されない。
 上記アルコキシアラルキル基の具体例としては、3-(メトキシフェニル)ベンジル基、4-(メトキシフェニル)ベンジル基等が挙げられるが、これらに限定されない。
 上記アルケニル基としては炭素原子数2乃至10のアルケニル基が挙げられ、例えばエテニル基(ビニル基)、1-プロペニル基、2-プロペニル基、1-メチル-1-エテニル基、1-ブテニル基、2-ブテニル基、3-ブテニル基、2-メチル-1-プロペニル基、2-メチル-2-プロペニル基、1-エチルエテニル基、1-メチル-1-プロペニル基、1-メチル-2-プロペニル基、1-ペンテニル基、2-ペンテニル基、3-ペンテニル基、4-ペンテニル基、1-n-プロピルエテニル基、1-メチル-1-ブテニル基、1-メチル-2-ブテニル基、1-メチル-3-ブテニル基、2-エチル-2-プロペニル基、2-メチル-1-ブテニル基、2-メチル-2-ブテニル基、2-メチル-3-ブテニル基、3-メチル-1-ブテニル基、3-メチル-2-ブテニル基、3-メチル-3-ブテニル基、1,1-ジメチル-2-プロペニル基、1-i-プロピルエテニル基、1,2-ジメチル-1-プロペニル基、1,2-ジメチル-2-プロペニル基、1-シクロペンテニル基、2-シクロペンテニル基、3-シクロペンテニル基、1-ヘキセニル基、2-ヘキセニル基、3-ヘキセニル基、4-ヘキセニル基、5-ヘキセニル基、1-メチル-1-ペンテニル基、1-メチル-2-ペンテニル基、1-メチル-3-ペンテニル基、1-メチル-4-ペンテニル基、1-n-ブチルエテニル基、2-メチル-1-ペンテニル基、2-メチル-2-ペンテニル基、2-メチル-3-ペンテニル基、2-メチル-4-ペンテニル基、2-n-プロピル-2-プロペニル基、3-メチル-1-ペンテニル基、3-メチル-2-ペンテニル基、3-メチル-3-ペンテニル基、3-メチル-4-ペンテニル基、3-エチル-3-ブテニル基、4-メチル-1-ペンテニル基、4-メチル-2-ペンテニル基、4-メチル-3-ペンテニル基、4-メチル-4-ペンテニル基、1,1-ジメチル-2-ブテニル基、1,1-ジメチル-3-ブテニル基、1,2-ジメチル-1-ブテニル基、1,2-ジメチル-2-ブテニル基、1,2-ジメチル-3-ブテニル基、1-メチル-2-エチル-2-プロペニル基、1-s-ブチルエテニル基、1,3-ジメチル-1-ブテニル基、1,3-ジメチル-2-ブテニル基、1,3-ジメチル-3-ブテニル基、1-i-ブチルエテニル基、2,2-ジメチル-3-ブテニル基、2,3-ジメチル-1-ブテニル基、2,3-ジメチル-2-ブテニル基、2,3-ジメチル-3-ブテニル基、2-i-プロピル-2-プロペニル基、3,3-ジメチル-1-ブテニル基、1-エチル-1-ブテニル基、1-エチル-2-ブテニル基、1-エチル-3-ブテニル基、1-n-プロピル-1-プロペニル基、1-n-プロピル-2-プロペニル基、2-エチル-1-ブテニル基、2-エチル-2-ブテニル基、2-エチル-3-ブテニル基、1,1,2-トリメチル-2-プロペニル基、1-t-ブチルエテニル基、1-メチル-1-エチル-2-プロペニル基、1-エチル-2-メチル-1-プロペニル基、1-エチル-2-メチル-2-プロペニル基、1-i-プロピル-1-プロペニル基、1-i-プロピル-2-プロペニル基、1-メチル-2-シクロペンテニル基、1-メチル-3-シクロペンテニル基、2-メチル-1-シクロペンテニル基、2-メチル-2-シクロペンテニル基、2-メチル-3-シクロペンテニル基、2-メチル-4-シクロペンテニル基、2-メチル-5-シクロペンテニル基、2-メチレン-シクロペンチル基、3-メチル-1-シクロペンテニル基、3-メチル-2-シクロペンテニル基、3-メチル-3-シクロペンテニル基、3-メチル-4-シクロペンテニル基、3-メチル-5-シクロペンテニル基、3-メチレン-シクロペンチル基、1-シクロヘキセニル基、2-シクロヘキセニル基及び3-シクロヘキセニル基等が挙げられ、またビシクロへプテニル基(ノルボルニル基)等の架橋環式のアルケニル基も挙げることができる。
 また、上記アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基における置換基としては、例えば、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アリールオキシ基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、アルコキシ基、アラルキルオキシ基等が挙げられ、これらの具体例及びそれらの好適な炭素原子数としては、上述又は後述のものと同じものが挙げられる。
 また上記置換基において挙げたアリールオキシ基は、アリール基が酸素原子(-O-)を介して結合する基であり、このようなアリール基の具体例としては上述したものと同じものが挙げられる。上記アリールオキシ基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下であり、その具体例としては、フェノキシ基、ナフタレン-2-イルオキシ基等が挙げられるが、これらに限定されない。
 また、置換基が2以上存在する場合、置換基同士が結合して環を形成してもよい。
 上記エポキシ基を有する有機基としては、グリシドキシメチル基、グリシドキシエチル基、グリシドキシプロピル基、グリシドキシブチル基、エポキシシクロヘキシル基等が挙げられる。
 上記アクリロイル基を有する有機基としては、アクリロイルメチル基、アクリロイルエチル基、アクリロイルプロピル基等が挙げられる。
 上記メタクリロイル基を有する有機基としては、メタクリロイルメチル基、メタクリロイルエチル基、メタクリロイルプロピル基等が挙げられる。
 上記メルカプト基を有する有機基としては、エチルメルカプト基、ブチルメルカプト基、ヘキシルメルカプト基、オクチルメルカプト基、メルカプトフェニル基等が挙げられる。
 上記アミノ基を含む有機基としては、アミノ基、アミノメチル基、アミノエチル基、アミノフェニル基、ジメチルアミノエチル基、ジメチルアミノプロピル基等が挙げられるがこれらに限定されない。
 上記アルコキシ基を含む有機基としては、例えばメトキシメチル基、メトキシエチル基が挙げられるがこれらに限定されない。ただし、アルコキシ基が直接ケイ素原子に結合する基は除かれる。
 上記スルホニル基を含む有機基としては、例えばスルホニルアルキル基や、スルホニルアリール基が挙げられるがこれらに限定されない。
 上記シアノ基を有する有機基としては、シアノエチル基、シアノプロピル基、シアノフェニル基、チオシアネート基等が挙げられる。
 上記アラルキルオキシ基は、アラルキルアルコールのヒドロキシ基から水素原子を取り除いて誘導される基であり、このようなアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 上記アラルキルオキシ基の炭素原子数は特に限定されるものではないが、例えば40以下、好ましくは30以下、より好ましくは20以下とすることができる。
 上記アラルキルオキシ基の具体例としては、フェニルメチルオキシ基(ベンジルオキシ基)、2-フェニルエチレンオキシ基、3-フェニル-n-プロピルオキシ基、4-フェニル-n-ブチルオキシ基、5-フェニル-n-ペンチルオキシ基、6-フェニル-n-ヘキシルオキシ基、7-フェニル-n-ヘプチルオキシ基、8-フェニル-n-オクチルオキシ基、9-フェニル-n-ノニルオキシ基、10-フェニル-n-デシルオキシ基等が挙げられるが、これらに限定されない。
 アシルオキシ基は、カルボン酸化合物のカルボキシル基(-COOH)から水素原子を取り除いて誘導される基であり、典型的には、アルキルカルボン酸、アリールカルボン酸又はアラルキルカルボン酸のカルボキシル基から水素原子を取り除いて誘導されるアルキルカルボニルオキシ基、アリールカルボニルオキシ基又はアラルキルカルボニルオキシ基が挙げられるが、これらに限定されない。このようなアルキルカルボン酸、アリールカルボン酸及びアラルキルカルボン酸におけるアルキル基、アリール基及びアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 上記アシルオキシ基の具体例としては、炭素原子数2乃至20のアシルオキシ基が挙げられ、例えばメチルカルボニルオキシ基、エチルカルボニルオキシ基、n-プロピルカルボニルオキシ基、i-プロピルカルボニルオキシ基、n-ブチルカルボニルオキシ基、i-ブチルカルボニルオキシ基、s-ブチルカルボニルオキシ基、t-ブチルカルボニルオキシ基、n-ペンチルカルボニルオキシ基、1-メチル-n-ブチルカルボニルオキシ基、2-メチル-n-ブチルカルボニルオキシ基、3-メチル-n-ブチルカルボニルオキシ基、1,1-ジメチル-n-プロピルカルボニルオキシ基、1,2-ジメチル-n-プロピルカルボニルオキシ基、2,2-ジメチル-n-プロピルカルボニルオキシ基、1-エチル-n-プロピルカルボニルオキシ基、n-ヘキシルカルボニルオキシ基、1-メチル-n-ペンチルカルボニルオキシ基、2-メチル-n-ペンチルカルボニルオキシ基、3-メチル-n-ペンチルカルボニルオキシ基、4-メチル-n-ペンチルカルボニルオキシ基、1,1-ジメチル-n-ブチルカルボニルオキシ基、1,2-ジメチル-n-ブチルカルボニルオキシ基、1,3-ジメチル-n-ブチルカルボニルオキシ基、2,2-ジメチル-n-ブチルカルボニルオキシ基、2,3-ジメチル-n-ブチルカルボニルオキシ基、3,3-ジメチル-n-ブチルカルボニルオキシ基、1-エチル-n-ブチルカルボニルオキシ基、2-エチル-n-ブチルカルボニルオキシ基、1,1,2-トリメチル-n-プロピルカルボニルオキシ基、1,2,2-トリメチル-n-プロピルカルボニルオキシ基、1-エチル-1-メチル-n-プロピルカルボニルオキシ基、1-エチル-2-メチル-n-プロピルカルボニルオキシ基、フェニルカルボニルオキシ基、及びトシルカルボニルオキシ基等が挙げられる。
 式(1)で表される加水分解性シランの具体例としては、テトラメトキシシラン、テトラクロロシラン、テトラアセトキシシラン、テトラエトキシシラン、テトラ-n-プロポキシシラン、テトラ-i-プロポキシシラン、テトラ-n-ブトキシシラン、メチルトリメトキシシラン、メチルトリクロロシラン、メチルトリアセトキシシラン、メチルトリエトキシシラン、メチルトリプロポキシシラン、メチルトリブトキシシラン、メチルトリアミロキシシラン、メチルトリフェノキシシラン、メチルトリベンジルオキシシラン、メチルトリフェネチルオキシシラン、グリシドキシメチルトリメトキシシラン、グリシドキシメチルトリエトキシシラン、α-グリシドキシエチルトリメトキシシラン、α-グリシドキシエチルトリエトキシシラン、β-グリシドキシエチルトリメトキシシラン、β-グリシドキシエチルトリエトキシシラン、α-グリシドキシプロピルトリメトキシシラン、α-グリシドキシプロピルトリエトキシシラン、β-グリシドキシプロピルトリメトキシシラン、β-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン、γ-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリプロポキシシラン、γ-グリシドキシプロピルトリブトキシシラン、γ-グリシドキシプロピルトリフェノキシシラン、α-グリシドキシブチルトリメトキシシラン、α-グリシドキシブチルトリエトキシシラン、β-グリシドキシブチルトリエトキシシラン、γ-グリシドキシブチルトリメトキシシラン、γ-グリシドキシブチルトリエトキシシラン、δ-グリシドキシブチルトリメトキシシラン、δ-グリシドキシブチルトリエトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリメトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリプロポキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリブトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリフェノキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリメトキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリエトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリメトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリエトキシシラン、グリシドキシメチルメチルジメトキシシラン、グリシドキシメチルメチルジエトキシシラン、α-グリシドキシエチルメチルジメトキシシラン、α-グリシドキシエチルメチルジエトキシシラン、β-グリシドキシエチルメチルジメトキシシラン、β-グリシドキシエチルエチルジメトキシシラン、α-グリシドキシプロピルメチルジメトキシシラン、α-グリシドキシプロピルメチルジエトキシシラン、β-グリシドキシプロピルメチルジメトキシシラン、β-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルメチルジメトキシシラン、γ-グリシドキシプロピルメチルジエトキシシラン、γ-グリシドキシプロピルメチルジプロポキシシラン、γ-グリシドキシプロピルメチルジブトキシシラン、γ-グリシドキシプロピルメチルジフェノキシシラン、γ-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルエチルジエトキシシラン、γ-グリシドキシプロピルビニルジメトキシシラン、γ-グリシドキシプロピルビニルジエトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ビニルトリメトキシシラン、ビニルトリエトキシシラン、ビニルトリクロロシラン、ビニルトリアセトキシシラン、メチルビニルジメトキシシラン、メチルビニルジエトキシシラン、メチルビニルジクロロシラン、メチルビニルジアセトキシシラン、ジメチルビニルメトキシシラン、ジメチルビニルエトキシシラン、ジメチルビニルクロロシラン、ジメチルビニルアセトキシシラン、ジビニルジメトキシシラン、ジビニルジエトキシシラン、ジビニルジクロロシラン、ジビニルジアセトキシシラン、γ-グリシドキシプロピルビニルジメトキシシラン、γ-グリシドキシプロピルビニルジエトキシシラン、アリルトリメトキシシラン、アリルトリエトキシシラン、アリルトリクロロシラン、アリルトリアセトキシシシラン、アリルメチルジメトキシシラン、アリルメチルジエトキシシラン、アリルメチルジクロロシラン、アリルメチルジアセトキシシラン、アリルジメチルメトキシシラン、アリルジメチルエトキシシラン、アリルジメチルクロロシラン、アリルジメチルアセトキシシラン、ジアリルジメトキシシラン、ジアリルジエトキシシラン、ジアリルジクロロシラン、ジアリルジアセトキシシラン、3-アリルアミノプロピルトリメトキシシラン、3-アリルアミノプロピルトリエトキシシラン、p-スチリルトリメトキシシラン、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリクロロシラン、フェニルトリアセトキシシラン、フェニルメチルジメトキシシラン、フェニルメチルジエトキシシラン、フェニルメチルジクロロシラン、フェニルメチルジアセトキシシラン、フェニルジメチルメトキシシラン、フェニルジメチルエトキシシラン、フェニルジメチルクロロシラン、フェニルジメチルアセトキシシラン、ジフェニルメチルメトキシシラン、ジフェニルメチルエトキシシラン、ジフェニルメチルクロロシラン、ジフェニルメチルアセトキシシラン、ジフェニルジメトキシシラン、ジフェニルジエトキシシラン、ジフェニルジクロロシラン、ジフェニルジアセトキシシラン、トリフェニルメトキシシシラン、トリフェニルエトキシシラン、トリフェニルアセトキシシラン、トリフェニルクロロシラン、3-フェニルアミノプロピルトリメトキシシラン、3-フェニルアミノプロピルトリエトキシシラン、ジメトキシメチル-3-(3-フェノキシプロピルチオプロピル)シラン、トリエトキシ((2-メトキシ-4-(メトキシメチル)フェノキシ)メチル)シラン、ベンジルトリメトキシシラン、ベンジルトリエトキシシラン、ベンジルメチルジメトキシシラン、ベンジルメチルジエトキシシラン、ベンジルジメチルメトキシシラン、ベンジルジメチルエトキシシラン、ベンジルジメチルクロロシラン、フェネチルトリメトキシシラン、フェネチルトリエトキシシラン、フェネチルトリクロロシラン、フェネチルトリアセトキシシラン、フェネチルメチルジメトキシシラン、フェネチルメチルジエトキシシラン、フェネチルメチルジクロロシラン、フェネチルメチルジアセトキシシラン、メトキシフェニルトリメトキシシラン、メトキシフェニルトリエトキシシラン、メトキシフェニルトリアセトキシシラン、メトキシフェニルトリクロロシラン、メトキシベンジルトリメトキシシラン、メトキシベンジルトリエトキシシラン、メトキシベンジルトリアセトキシシラン、メトキシベンジルトリクロロシラン、メトキシフェネチルトリメトキシシラン、メトキシフェネチルトリエトキシシラン、メトキシフェネチルトリアセトキシシラン、メトキシフェネチルトリクロロシラン、エトキシフェニルトリメトキシシラン、エトキシフェニルトリエトキシシラン、エトキシフェニルトリアセトキシシラン、エトキシフェニルトリクロロシラン、エトキシベンジルトリメトキシシラン、エトキシベンジルトリエトキシシラン、エトキシベンジルトリアセトキシシラン、エトキシベンジルトリクロロシラン、i-プロポキシフェニルトリメトキシシラン、i-プロポキシフェニルトリエトキシシラン、i-プロポキシフェニルトリアセトキシシラン、i-プロポキシフェニルトリクロロシラン、i-プロポキシベンジルトリメトキシシラン、i-プロポキシベンジルトリエトキシシラン、i-プロポキシベンジルトリアセトキシシラン、i-プロポキシベンジルトリクロロシラン、t-ブトキシフェニルトリメトキシシラン、t-ブトキシフェニルトリエトキシシラン、t-ブトキシフェニルトリアセトキシシラン、t-ブトキシフェニルトリクロロシラン、t-ブトキシベンジルトリメトキシシラン、t-ブトキシベンジルトリエトキシシラン、t-ブトキシベンジルトリアセトキシシラン、t-ブトキシシベンジルトリクロロシラン、メトキシナフチルトリメトキシシラン、メトキシナフチルトリエトキシシラン、メトキシナフチルトリアセトキシシラン、メトキシナフチルトリクロロシラン、エトキシナフチルトリメトキシシラン、エトキシナフチルトリエトキシシラン、エトキシナフチルトリアセトキシシラン、エトキシナフチルトリクロロシラン、γ-クロロプロピルトリメトキシシラン、γ-クロロプロピルトリエトキシシラン、γ-クロロプロピルトリアセトキシシラン、3,3,3-トリフルオロプロピルトリメトキシシラン、γ-メタクリルオキシプロピルトリメトキシシラン、γ-メルカプトプロピルトリメトキシシラン、γ-メルカプトプロピルトリエトキシシラン、β-シアノエチルトリエトキシシラン、チオシアネートプロピルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロメチルトリエトキシシラン、トリエトキシシリルプロピルジアリルイソシアヌレート、ビシクロ[2,2,1]ヘプテニルトリエトキシシラン、ベンゼンスルホニルプロピルトリエトキシシラン、ベンゼンスルホンアミドプロピルトリエトキシシラン、ジメチルアミノプロピルトリメトキシシラン、ジメチルジメトキシシラン、フェニルメチルジメトキシシラン、ジメチルジエトキシシラン、フェニルメチルジエトキシシラン、γ-クロロプロピルメチルジメトキシシラン、γ-クロロプロピルメチルジエトキシシラン、ジメチルジアセトキシシラン、γ-メタクリルオキシプロピルメチルジメトキシシラン、γ-メタクリルオキシプロピルメチルジエトキシシラン、γ-メルカプトプロピルメチルジメトキシシラン、γ-メルカプトプロピルメチルジエトキシシラン、メチルビニルジメトキシシラン、メチルビニルジエトキシシランや、下記式(A-1)乃至式(A-41)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000006
Figure JPOXMLDOC01-appb-C000007
Figure JPOXMLDOC01-appb-C000008
 また[A]ポリシロキサンとして、式(1)で表される加水分解性シランとともに、あるいは式(1)で表される加水分解性シランの代わりに、下記式(3)で表される加水分解性シランを含む、加水分解性シランの加水分解縮合物を挙げることができる。
Figure JPOXMLDOC01-appb-C000009
 式(3)中、Rは、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。
 またRは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 Rは、ケイ素原子に結合する基であって、互いに独立して、アルキレン基又はアリーレン基を表す。
 そして、bは、0又は1の整数を表し、cは、0又は1の整数を表す。
 上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、Rについて上述した基及び炭素原子数を挙げることができる。
 上記Rにおける各基及び原子の具体例、及びそれらの好適な炭素原子数としては、Rについて上述した基及び原子並びに炭素原子数を挙げることができる。
 また上記Rにおけるアルキレン基の具体例としては、メチレン基、エチレン基、トリメチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、1-メチルトリメチレン基、2-メチルトリメチレン基、1,1-ジメチルエチレン基、1-メチルテトラメチレン基、2-メチルテトラメチレン基、1,1-ジメチルトリメチレン基、1,2-ジメチルトリメチレン基、2,2-ジメチルトリメチレン基、1-エチルトリメチレン基等の分岐鎖状アルキレン基等のアルキレン基、メタントリイル基、エタン-1,1,2-トリイル基、エタン-1,2,2-トリイル基、エタン-2,2,2-トリイル基、プロパン-1,1,1-トリイル基、プロパン-1,1,2-トリイル基、プロパン-1,2,3-トリイル基、プロパン-1,2,2-トリイル基、プロパン-1,1,3-トリイル基、ブタン-1,1,1-トリイル基、ブタン-1,1,2-トリイル基、ブタン-1,1,3-トリイル基、ブタン-1,2,3-トリイル基、ブタン-1,2,4-トリイル基、ブタン-1,2,2-トリイル基、ブタン-2,2,3-トリイル基、2-メチルプロパン-1,1,1-トリイル基、2-メチルプロパン-1,1,2-トリイル基、2-メチルプロパン-1,1,3-トリイル基等のアルカントリイル基等が挙げられるが、これらに限定されない。
 またアリーレン基の具体例としては、1,2-フェニレン基、1,3-フェニレン基、1,4-フェニレン基;1,5-ナフタレンジイル基、1,8-ナフタレンジイル基、2,6-ナフタレンジイル基、2,7-ナフタレンジイル基、1,2-アントラセンジイル基、1,3-アントラセンジイル基、1,4-アントラセンジイル基、1,5-アントラセンジイル基、1,6-アントラセンジイル基、1,7-アントラセンジイル基、1,8-アントラセンジイル基、2,3-アントラセンジイル基、2,6-アントラセンジイル基、2,7-アントラセンジイル基、2,9-アントラセンジイル基、2,10-アントラセンジイル基、9,10-アントラセンジイル基等の縮合環芳香族炭化水素化合物の芳香環上の水素原子を二つ取り除いて誘導される基;4,4’-ビフェニルジイル基、4,4”-パラテルフェニルジイル基の環連結芳香族炭化水素化合物の芳香環上の水素原子を二つ取り除いて誘導される基等が挙げられるが、これらに限定されない。
 またbは好ましくは0又は1を表し、より好ましくは0である。
 さらにcは好ましくは1である。
 式(3)で表される加水分解性シランの具体例としては、メチレンビストリメトキシシラン、メチレンビストリクロロシラン、メチレンビストリアセトキシシラン、エチレンビストリエトキシシラン、エチレンビストリクロロシラン、エチレンビストリアセトキシシラン、プロピレンビストリエトキシシラン、ブチレンビストリメトキシシラン、フェニレンビストリメトキシシラン、フェニレンビストリエトキシシラン、フェニレンビスメチルジエトキシシラン、フェニレンビスメチルジメトキシシラン、ナフチレンビストリメトキシシラン、ビストリメトキシジシラン、ビストリエトキシジシラン、ビスエチルジエトキシジシラン、ビスメチルジメトキシジシラン等が挙げられるが、これらに限定されない。
 また[A]ポリシロキサンとして、式(1)で表される加水分解性シラン及び/又は式(3)で表される加水分解性シランとともに、下記に挙げるその他の加水分解性シランを含む、加水分解性シランの加水分解縮合物を挙げることができる。
 その他の加水分解性シランとして、オニウム基を分子内に有するシラン化合物、スルホン基を有するシラン化合物、スルホンアミド基を有するシラン化合物、環状尿素骨格を分子内に有するシラン化合物等が挙げられるが、これらに限定されない。
〈オニウム基を分子内に有するシラン化合物(加水分解性オルガノシラン)〉
 オニウム基を分子内に有するシラン化合物は、加水分解性シランの架橋反応を効果的に且つ効率的に促進できることが期待される。
 オニウム基を分子内に有するシラン化合物の好適な一例は、式(4)で表される。
Figure JPOXMLDOC01-appb-C000010
 R11は、ケイ素原子に結合する基であって、オニウム基又はそれを含む有機基を表す。
 R12は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。
 R13は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 fは1又は2を表し、gは0又は1を表し、1≦f+g≦2を満たす。
 上記アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、並びに、エポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基又はシアノ基を含む有機基、アルコキシ基、アラルキルオキシ基、アシルオキシ基、ハロゲン原子の具体例、またアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基及びアルケニル基の置換基の具体例、及びそれらの好適な炭素原子数としては、R12については、Rに関して上述したものを、R13については、Rに関して上述したものをそれぞれ挙げることができる。
 より詳述すれば、オニウム基の具体例としては、環状アンモニウム基又は鎖状アンモニウム基が挙げられ、第3級アンモニウム基又は第4級アンモニウム基が好ましい。
 すなわち、オニウム基又はそれを含む有機基の好適な具体例としては、環状アンモニウム基若しくは鎖状アンモニウム基又はこれらの少なくとも一方を含む有機基が挙げられ、第3級アンモニウム基若しくは第4級アンモニウム基又はこれらの少なくとも一方を含む有機基が好ましい。
 なお、オニウム基が環状アンモニウム基である場合、アンモニウム基を構成する窒素原子が環を構成する原子を兼ねる。この際、環を構成する窒素原子とシリコン原子とが直接又は2価の連結基を介して結合している場合と、環を構成する炭素原子とシリコン原子が直接に又は2価の連結基を介して結合している場合とがある。
 本発明の好適な態様の一例においては、ケイ素原子に結合する基であるR11は、下記式(S1)で表されるヘテロ芳香族環状アンモニウム基である。
Figure JPOXMLDOC01-appb-C000011
 式(S1)中、A、A、A及びAは、互いに独立して、下記式(J1)~式(J3)のいずれかで表される基を表すが、A~Aのうち少なくとも1つは、下記式(J2)で表される基である。上記式(4)におけるケイ素原子がA~Aのいずれと結合するかに応じて、構成される環が芳香族性を示すように、A~Aそれぞれと、それら各々に隣接し共に環を構成する原子との間の結合が、単結合であるか、二重結合であるかが定まる。
Figure JPOXMLDOC01-appb-C000012
 式(J1)~式(J3)中、R10は、互いに独立して、単結合、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述と同じものが挙げられる。
 式(S1)中、R14は、互いに独立して、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基又はヒドロキシ基を表し、R14が2つ以上存在する場合、2つのR14は、互いに結合して環を形成していてもよく、2つのR14が形成する環は架橋環構造であってもよく、このような場合においては、環状アンモニウム基は、アダマンタン環、ノルボルネン環、スピロ環等を有することとなる。
 このようなアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述と同じものが挙げられる。
 式(S1)中、nは、1~8の整数であり、mは、0又は1であり、mは、0又は1から単環若しくは多環に置換可能な最大数までの正の整数である。
 mが0である場合、A~Aを含む(4+n)員環が構成される。すなわち、nが1であるときは5員環、nが2であるときは6員環、nが3であるときは7員環、nが4であるときは8員環、nが5であるときは9員環、nが6であるときは10員環、nが7であるときは11員環、nが8であるときは12員環が、それぞれ構成される。
 mが1である場合、A~Aを含む(4+n)員環とAを含む6員環とが縮合した縮合環が形成される。
 A~Aは、式(J1)~式(J3)のいずれであるか次第で、環を構成する原子上に水素原子を有する場合と、水素原子を有さない場合があるが、A~Aが、環を構成する原子上に水素原子を有する場合、その水素原子は、R14に置き換わっていてもよい。また、A~A中の環構成原子以外の環構成原子に、R14が置換していてもよい。このような事情から、上述の通り、mは、0又は1から単環若しくは多環に置換可能な最大数までの整数から選択される。
 上記式(S1)で表されるヘテロ芳香族環状アンモニウム基の結合手は、このような単環又は縮合環に存在する任意の炭素原子又は窒素原子に存在し、ケイ素原子と直接結合するか、又は連結基が結合して環状アンモニウムを含む有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基、アルケニレン基等が挙げられるが、これらに限定されない。
 アルキレン基及びアリーレン基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
 またアルケニレン基は、アルケニル基の水素原子を更に1つ取り除いて誘導される2価の基であり、このようなアルケニル基の具体例としては、上述したものと同じものが挙げられる。アルケニレン基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 その具体例としては、ビニレン、1-メチルビニレン、プロペニレン、1-ブテニレン、2-ブテニレン、1-ペンテニレン、2-ペンテニレン基等が挙げられるが、これらに限定されない。
 上記式(S1)で表されるヘテロ芳香族環状アンモニウム基を有する式(4)で表されるシラン化合物(加水分解性オルガノシラン)の具体例として、下記式(I-1)乃至式(I-50)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000013
Figure JPOXMLDOC01-appb-C000014
Figure JPOXMLDOC01-appb-C000015
 またその他の一例において、上記式(4)中のケイ素原子に結合する基であるR11は、下記式(S2)で表されるヘテロ脂肪族環状アンモニウム基とすることができる。
Figure JPOXMLDOC01-appb-C000016
 式(S2)中、A、A、A及びAは、互いに独立して、下記式(J4)~式(J6)のいずれかで表される基を表すが、A~Aのうち少なくとも1つは、下記式(J5)で表される基である。上記式(4)におけるケイ素原子がA~Aのいずれと結合するかに応じて、構成される環が非芳香族性を示すように、A~Aそれぞれと、それら各々に隣接し共に環を構成する原子との結合が、単結合であるか、二重結合であるかが定まる。
Figure JPOXMLDOC01-appb-C000017
 式(J4)~式(J6)中、R10は、互いに独立して、単結合、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
 式(S2)中、R15は、互いに独立して、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基又はヒドロキシ基を表し、R15が2つ以上存在する場合、2つのR15は、互いに結合して環を形成していてもよく、2つのR15が形成する環は架橋環構造であってもよく、このような場合においては、環状アンモニウム基は、アダマンタン環、ノルボルネン環、スピロ環等を有することとなる。
 上記アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
 式(S2)中、nは、1~8の整数であり、mは、0又は1であり、mは、0又は1から単環若しくは多環に置換可能な最大数までの正の整数である。
 mが0である場合、A~Aを含む(4+n)員環が構成される。すなわち、nが1であるときは5員環、nが2であるときは6員環、nが3であるときは7員環、nが4であるときは8員環、nが5であるときは9員環、nが6であるときは10員環、nが7であるときは11員環、nが8であるときは12員環が、それぞれ構成される。
 mが1である場合、A~Aを含む(4+n)員環とAを含む6員環とが縮合した縮合環が形成される。
 A~Aは、式(J4)~式(J6)のいずれであるか次第で、環を構成する原子上に水素原子を有する場合と、水素原子を有さない場合があるが、A~Aが、環を構成する原子上に水素原子を有する場合、その水素原子は、R15に置き換わっていてもよい。また、A~A中の環構成原子以外の環構成原子に、R15が置換していてもよい。
 このような事情から、上述の通り、mは、0又は1から単環若しくは多環に置換可能な最大数までの整数から選択される。
 上記式(S2)で表されるヘテロ脂肪族環状アンモニウム基の結合手は、このような単環又は縮合環に存在する任意の炭素原子又は窒素原子に存在し、ケイ素原子と直接結合するか、又は連結基が結合して環状アンモニウムを含む有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基又はアルケニレン基が挙げられ、アルキレン基、アリーレン基及びアルケニレン基の具体例及びそれらの好適な炭素原子数としては、上述と同じものが挙げられる。
 上記式(S2)で表されるヘテロ脂肪族環状アンモニウム基を有する式(4)で表されるシラン化合物(加水分解性オルガノシラン)の具体例として、下記式(II-1)乃至式(II-30)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000018
Figure JPOXMLDOC01-appb-C000019
 さらにその他の一例において、上記式(4)中のケイ素原子に結合する基であるR11は、下記式(S3)で表される鎖状アンモニウム基とすることができる。
Figure JPOXMLDOC01-appb-C000020
 式(S3)中、R10は、互いに独立して、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
 式(S3)で表される鎖状アンモニウム基は、ケイ素原子と直接結合するか、又は連結基が結合して鎖状アンモニウム基を含む有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基又はアルケニレン基が挙げられ、アルキレン基、アリーレン基及びアルケニレン基の具体例としては、上述と同じものが挙げられる。
 上記式(S3)で表される鎖状アンモニウム基を有する式(4)で表されるシラン化合物(加水分解性オルガノシラン)の具体例として、下記式(III-1)乃至式(III-28)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000021
Figure JPOXMLDOC01-appb-C000022
〈スルホン基又はスルホンアミド基を有するシラン化合物(加水分解性オルガノシラン)〉
 スルホン基を有するシラン化合物、及びスルホンアミド基を有するシラン化合物としては、例えば下記式(B-1)~式(B-36)で表される化合物が挙げられるが、これらに限定されない。
 下記式中、Meはメチル基を、Etはエチル基をそれぞれ表す。
Figure JPOXMLDOC01-appb-C000023
Figure JPOXMLDOC01-appb-C000024
Figure JPOXMLDOC01-appb-C000025
〈環状尿素骨格を分子内に有するシラン化合物(加水分解性オルガノシラン)〉
 環状尿素骨格を分子内に有する加水分解性オルガノシランとして、例えば下記式(5-1)で表される加水分解性オルガノシランが挙げられる。
Figure JPOXMLDOC01-appb-C000026
 式(5-1)中、R501は、ケイ素原子に結合する基であり、互いに独立して、下記式(5-2)で表される基を表す。
 R502は、ケイ素原子に結合する基であり、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基若しくはシアノ基を含む有機基を表す。
 R503は、ケイ素原子に結合する基又は原子であり、互いに独立して、アルコキシ基、アラルキルオキシ、アシルオキシ基又はハロゲン原子を表す。
 xは、1又は2であり、yは、0又は1であり、x+y≦2を満たす。
 上記R502のアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、及び、エポキシ基、アクリロイル基、メタクリロイル基、メルカプト基又はシアノ基を含む有機基、並びに、R503のアルコキシ基、アラルキルオキシ基、アシルオキシ基及びハロゲン原子、並びにこれらの置換基の具体例、好適な炭素原子数等は、R及びRに関し上述したものと同じものが挙げられる。
Figure JPOXMLDOC01-appb-C000027
 式(5-2)中、R504は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を含む有機基を表し、R505は、互いに独立して、アルキレン基、ヒドロキシアルキレン基、スルフィド結合(-S-)、エーテル結合(-O-)又はエステル結合(-CO-O-又は-O-CO-)を表す。
 なお、R504の置換されていてもよいアルキル基、置換されていてもよいアルケニル基及びエポキシ基を含む有機基の具体例、好適な炭素原子数等は、Rに関し上述したものと同じものが挙げられるが、これらの他、R504の置換されていてもよいアルキル基としては、末端の水素原子がビニル基で置換されたアルキル基が好ましく、その具体例としては、アリル基、2-ビニルエチル基、3-ビニルプロピル基、4-ビニルブチル基等が挙げられる。
 スルホニル基を含む有機基としては、スルホニル基を含む限り特に限定されるものではなく、置換されていてもよいアルキルスルホニル基、置換されていてもよいアリールスルホニル、置換されていてもよいアラルキルスルホニル基、置換されていてもよいハロゲン化アルキルスルホニル基、置換されていてもよいハロゲン化アリールスルホニル基、置換されていてもよいハロゲン化アラルキルスルホニル基、置換されていてもよいアルコキシアルキルスルホニル基、置換されていてもよいアルコキシアリールスルホニル基、置換されていてもよいアルコキシアラルキルスルホニル基、置換されていてもよいアルケニルスルホニル基等が挙げられる。
 これらの基におけるアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、及びアルケニル基、並びにそれらの置換基の具体例、好適な炭素原子数等は、Rに関し上述したものと同じものが挙げられる。
 R505のアルキレン基は、上記アルキル基の水素原子を更に一つ取り除いて誘導される2価の基であり、直鎖状、分岐鎖状、環状のいずれでもよく、このようなアルキレン基の具体例としては、上述したものと同じものが挙げられる。アルキレン基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 また、R505のアルキレン基は、スルフィド結合、エーテル結合及びエステル結合から選ばれる1種又は2種以上を、その末端又は途中、好ましくは途中に有していてもよい。
 アルキレン基の具体例としては、メチレン基、エチレン基、トリメチレン基、メチルエチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、1-メチルトリメチレン基、2-メチルトリメチレン基、1,1-ジメチルエチレン基、1-メチルテトラメチレン基、2-メチルテトラメチレン基、1,1-ジメチルトリメチレン基、1,2-ジメチルトリメチレン基、2,2-ジメチルトリメチレン基、1-エチルトリメチレン基等の分岐鎖状アルキレン基、1,2-シクロプロピパンジイル基、1,2-シクロブタンジイル、1,3-シクロブチタンジイル基、1,2-シクロヘキサンジイル、1,3-シクロヘキサンジイル等の環状アルキレン等、-CHOCH-、-CHCHOCH-、-CHCHOCHCH-、-CHCHCHOCHCH-、-CHCHOCHCHCH-、-CHCHCHOCHCHCH-、-CHSCH-、-CHCHSCH-、-CHCHSCHCH-、-CHCHCHSCHCH-、-CHCHSCHCHCH-、-CHCHCHSCHCHCH-、-CHOCHCHSCH-等のエーテル基等を含むアルキレン基が挙げられるが、これらに限定されない。
 ヒドロキシアルキレン基は、上記アルキレン基の水素原子の少なくとも1つが、ヒドロキシ基に置き換わったものであり、その具体例としては、ヒドロキシメチレン基、1-ヒドロキシエチレン基、2-ヒドロキシエチレン基、1,2-ジヒドロキシエチレン基、1-ヒドロキシトリメチレン基、2-ヒドロキシトリメチレン基、3-ヒドロキシトリメチレン基、1-ヒドロキシテトラメチレン基、2-ヒドロキシテトラメチレン基、3-ヒドロキシテトラメチレン基、4-ヒドロキシテトラメチレン基、1,2-ジヒドロキシテトラメチレン基、1,3-ジヒドロキシテトラメチレン基、1,4-ジヒドロキシテトラメチレン基、2,3-ジヒドロキシテトラメチレン基、2,4-ジヒドロキシテトラメチレン基、4,4-ジヒドロキシテトラメチレン基等が挙げられるが、これらに限定されない。
 式(5-2)中、X501は、互いに独立して、下記式(5-3)乃至式(5-5)で表される基のいずれかを表すとともに、下記式(5-4)及び式(5-5)におけるケトン基の炭素原子は、式(5-2)におけるR505が結合する窒素原子と結合する。
Figure JPOXMLDOC01-appb-C000028
 式(5-3)乃至式(5-5)中、R506乃至R510は、互いに独立して、水素原子又は置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を含む有機基を表す。置換されていてもよいアルキル基、置換されていてもよいアルケニル基及びエポキシ基又はスルホニル基を含む有機基の具体例及び好適な炭素原子数等は、R504に関し上述したものと同じものが挙げられる。
 中でも、優れたリソグラフィー特性を再現性よく実現する観点から、X501は式(5-5)で表される基が好ましい。
 優れたリソグラフィー特性を再現性良く実現する観点から、R504及びR506乃至R510の少なくとも1つは、末端の水素原子がビニル基で置換されたアルキル基であることが好ましい。
 上記式(5-1)で表される加水分解性オルガノシランは、市販品を用いてもよく、国際公開第2011/102470号等に記載の公知方法で合成することもできる。
 以下、式(5-1)で表される加水分解性オルガノシランの具体例として、下記式(5-1-1)乃至式(5-1-29)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000029
Figure JPOXMLDOC01-appb-C000030
Figure JPOXMLDOC01-appb-C000031
 [A]ポリシロキサンは、本発明の効果を損なわない範囲において、上記の例示以外のその他のシラン化合物を含む加水分解性シランの加水分解縮合物とすることができる。
 上述したように、[A]ポリシロキサンとして、シラノール基の少なくとも一部が変性されている変性ポリシロキサンを用いることができる。例えばシラノール基の一部がアルコール変性されたポリシロキサン変性物又はアセタール保護されたポリシロキサン変性物を用いることができる。
 該変性物であるポリシロキサンは、上記の加水分解性シランの加水分解縮合物において、該縮合物が有するシラノール基の少なくとも一部とアルコールのヒドロキシ基との反応により得られる反応生成物、該縮合物とアルコールとの脱水反応物、また、該縮合物が有するシラノール基の少なくとも一部をアセタール基で保護した変性物等を挙げることができる。
 上記アルコールとしては1価のアルコールを用いることができ、例えばメタノール、エタノール、2-プロパノール、1-ブタノール、2-ブタノール、イソブチルアルコール、tert-ブチルアルコール、1-ペンタノール、2-ペンタノール、3-ペンタノール、1-ヘプタノール、2-ヘプタノール、tert-アミルアルコール、ネオペンチルアルコール、2-メチル-1-プロパノール、2-メチル-1-ブタノール、3-メチル-1-ブタノール、3-メチル-3-ペンタノール、シクロペンタノール、1-ヘキサノール、2-ヘキサノール、3-ヘキサノール、2,3-ジメチル-2-ブタノール、3,3-ジメチル-1-ブタノール、3,3-ジメチル-2-ブタノール、2-ジエチル-1-ブタノール、2-メチル-1-ペンタノール、2-メチル-2-ペンタノール、2-メチル-3-ペンタノール、3-メチル-1-ペンタノール、3-メチル-2-ペンタノール、3-メチル-3-ペンタノール、4-メチル-1-ペンタノール、4-メチル-2-ペンタノール、4-メチル-3-ペンタノール及びシクロヘキサノールが挙げられる。
 また例えば3-メトキシブタノール、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、プロピレングリコールモノブチルエーテル(1-ブトキシ-2-プロパノール)等のアルコキシ基含有アルコールを用いることができる。
 上記縮合物が有するシラノール基と、アルコールのヒドロキシ基との反応は、ポリシロキサンとアルコールと接触させ、温度40乃至160℃、例えば60℃にて、0.1乃至48時間、例えば24時間反応させることで、シラノール基がキャッピングされた変性ポリシロキサンが得られる。この時、キャッピング剤のアルコールは、ポリシロキサンを含有する組成物において溶媒として使用することができる。
 また上記加水分解性シランの加水分解縮合物からなるポリシロキサンとアルコールとの脱水反応物は、触媒である酸の存在下、上記ポリシロキサンをアルコールと反応させ、シラノール基をアルコールにてキャッピングし、脱水により生じた生成水を、反応系外に除去することにより製造することができる。
 上記の酸は、酸解離定数(pka)が-1乃至5、好ましくは4乃至5である有機酸を用いることができる。例えば、酸は、トリフルオロ酢酸、マレイン酸、安息香酸、イソ酪酸、酢酸等、中でも安息香酸、イソ酪酸、酢酸等を例示することができる。
 また、酸は、70乃至160℃の沸点を有する酸を用いることができ、例えば、トリフルオロ酢酸、イソ酪酸、酢酸、硝酸等が挙げられる。
 このように上記の酸としては、酸解離定数(pka)が4乃至5であるか、又は沸点が70乃至160℃であるか、いずれかの物性を有するものが好ましい。即ち、酸性度が弱いものか、又は酸性度は強くても沸点が低いものを用いることができる。
 そして、酸としては酸解離定数、沸点の性質からいずれの性質を利用することも可能である。
 上記縮合物が有するシラノール基のアセタール保護はビニルエーテルを用いて、例えば下記式(6)で表されるビニルエーテルを用いることができ、これらの反応により下記式(7)で表される部分構造をポリシロキサンに導入することができる。
Figure JPOXMLDOC01-appb-C000032
 式(6)中、R1a、R2a、及びR3aはそれぞれ水素原子、又は炭素原子数1乃至10のアルキル基を表し、R4aは炭素原子数1乃至10のアルキル基を表し、R2aとR4aは互いに結合して環を形成していてもよい。上記アルキル基は上述の例示を挙げることができる。
Figure JPOXMLDOC01-appb-C000033
 式(7)中、R’、R’、及びR’はそれぞれ水素原子、又は炭素原子数1乃至10のアルキル基を表し、R’は炭素原子数1乃至10のアルキル基を示し、R’とR’は互いに結合して環を形成していてもよい。式(7)において※印は隣接原子との結合を示す。隣接原子は例えばシロキサン結合の酸素原子や、シラノール基の酸素原子や、式(1)のRに由来する炭素原子が挙げられる。上記アルキル基は上述の例示を挙げることができる。
 上記式(6)で表されるビニルエーテルとしては、例えばメチルビニルエーテル、エチルビニルエーテル、イソプロピルビニルエーテル、ノルマルブチルビニルエーテル、2-エチルヘキシルビニルエーテル、tert-ブチルビニルエーテル、及びシクロヘキシルビニルエーテル等の脂肪族ビニルエーテル化合物や、2,3-ジヒドロフラン、4-メチル-2,3-ジヒドロフラン、及び3,4-ジヒドロ-2H-ピラン等の環状ビニルエーテル化合物を用いることができる。特に、エチルビニルエーテル、プロピルビニルエーテル、ブチルビニルエーテル、エチルヘキシルビニルエーテル、シクロヘキシルビニルエーテル、3,4-ジヒドロ-2H-ピラン、又は2,3-ジヒドロフランが好ましく用いることができる。
 上記シラノール基のアセタール保護は、ポリシロキサンと、上記ビニルエーテルと、溶媒としてプロピレングリコールモノメチルエーテルアセテート、酢酸エチル、ジメチルホルムアミド、テトラヒドロフラン、1,4-ジオキサン等の非プロトン性溶媒を用い、ピリジウムパラトルエンスルホン酸、トリフルオロメタンスルホン酸、パラトルエンスルホン酸、メタンスルホン酸、塩酸、硫酸等の触媒を用いて実施できる。
 なおこれらシラノール基のアルコールによるキャッピングやアセタール保護は、後述する加水分解性シランの加水分解及び縮合と同時に行ってもよい。
 本発明の好ましい一態様においては、[A]ポリシロキサンは、式(1)で表される加水分解性シラン、そして所望により式(3)で表される加水分解性シラン、及びその他の加水分解性シランを含む、加水分解性シランの加水分解縮合物及びその変性物の少なくとも一種を含む。
 好ましい一態様において、[A]ポリシロキサンは、上記加水分解縮合物とアルコールとの脱水反応物を含む。
 一般に、加水分解性シランの加水分解縮合物(変性物も含み得る)は、その重量平均分子量を、例えば500乃至1,000,000の範囲とすることができ、組成物中での加水分解縮合物の析出等を抑制する観点等からは、重量平均分子量を500,000以下、より好ましくは250,000以下、より一層好ましくは100,000以下とすることができ、保存安定性と塗布性の両立の観点等からは、好ましくは700以上、より好ましくは1,000以上とすることができる。
 中でも本発明にあっては、薄膜化したとき、上層のレジストパターンの倒壊を防ぐ事ができるという観点から、[A]ポリシロキサン、すなわち上記加水分解性シランの加水分解縮合物(変性物も含み得る)の重量平均分子量は1,800以下であり、また分子量2,500超の割合が20%未満のポリシロキサンを対象とする。
 なお、重量平均分子量は、GPC分析によるポリスチレン換算にて得られる分子量である。GPC分析は、例えばGPC装置(商品名HLC-8220GPC、東ソー株式会社製)、GPCカラム(商品名Shodex(登録商標)KF803L、KF802、KF801、昭和電工株式会社製)、カラム温度を40℃とし、溶離液(溶出溶媒)としてテトラヒドロフランを用い、流量(流速)は1.0mL/minとし、標準試料はポリスチレン(昭和電工株式会社製)を用いて行うことができる。また上記の特定分子量の割合は、GPC分析によるポリスチレン換算にて得られる積分分子量分布曲線における割合をいう。
 なお、加水分解縮合物の重量平均分子量は、例えば後述する加水分解性シランの加水分解・縮合時の条件、例えば使用する溶媒や、反応温度・時間等によって調整可能である。
 加水分解シランの加水分解縮合物は、上述のシラン化合物(加水分解性シラン)を加水分解及び縮合することで得られる。
 上記シラン化合物(加水分解性シラン)は、ケイ素原子に直接結合するアルコキシ基、アラルキルオキシ基、アシルオキシ基、ハロゲン原子を、すなわちアルコキシシリル基、アラルキルオキシシリル基、アシロキシシリル基、ハロゲン化シリル基(以下、加水分解性基と称する)を含む。
 これら加水分解性基の加水分解には、加水分解性基の1モル当たり、通常0.1乃至100モル、例えば0.5乃至100モル、好ましくは1乃至10モルの水を用いる。
 加水分解及び縮合の際、反応を促進する目的等で、加水分解触媒を用いてもよいし、用いずに加水分解及び縮合を行ってもよい。加水分解触媒を用いる場合は、加水分解性基の1モル当たり、通常0.0001乃至10モル、好ましくは0.001乃至1モルの加水分解触媒を用いることができる。
 加水分解と縮合を行う際の反応温度は、通常、室温以上、加水分解に用いられ得る有機溶媒の常圧での還流温度以下の範囲であり、例えば20乃至110℃、また例えば20乃至80℃とすることができる。
 加水分解は完全に加水分解を行う、すなわち、全ての加水分解性基をシラノール基に変えてもよいし、部分加水分解する、即ち未反応の加水分解基を残してもよい。
 加水分解し縮合させる際に使用可能な加水分解触媒としては、金属キレート化合物、有機酸、無機酸、有機塩基、無機塩基を挙げることができる。
 加水分解触媒としての金属キレート化合物は、例えばトリエトキシ・モノ(アセチルアセトナート)チタン、トリ-n-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-i-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-n-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-sec-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-t-ブトキシ・モノ(アセチルアセトナート)チタン、ジエトキシ・ビス(アセチルアセトナート)チタン、ジ-n-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-i-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-n-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-sec-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-t-ブトキシ・ビス(アセチルアセトナート)チタン、モノエトキシ・トリス(アセチルアセトナート)チタン、モノ-n-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-i-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-n-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-sec-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-t-ブトキシ・トリス(アセチルアセトナート)チタン、テトラキス(アセチルアセトナート)チタン、トリエトキシ・モノ(エチルアセトアセテート)チタン、トリ-n-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-i-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-n-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-t-ブトキシ・モノ(エチルアセトアセテート)チタン、ジエトキシ・ビス(エチルアセトアセテート)チタン、ジ-n-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-i-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-n-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-t-ブトキシ・ビス(エチルアセトアセテート)チタン、モノエトキシ・トリス(エチルアセトアセテート)チタン、モノ-n-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-i-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-n-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-t-ブトキシ・トリス(エチルアセトアセテート)チタン、テトラキス(エチルアセトアセテート)チタン、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)チタン、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)チタン、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)チタン等のチタンキレート化合物;トリエトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-i-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-sec-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-t-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、ジエトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-i-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-sec-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-t-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、モノエトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-i-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-sec-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-t-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、テトラキス(アセチルアセトナート)ジルコニウム、トリエトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-i-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-t-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、ジエトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-i-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-t-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、モノエトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-i-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-t-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、テトラキス(エチルアセトアセテート)ジルコニウム、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)ジルコニウム、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)ジルコニウム、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)ジルコニウム等のジルコニウムキレート化合物;トリス(アセチルアセトナート)アルミニウム、トリス(エチルアセトアセテート)アルミニウム等のアルミニウムキレート化合物;などを挙げることができるが、これらに限定されない。
 加水分解触媒としての有機酸は、例えば酢酸、プロピオン酸、ブタン酸、ペンタン酸、ヘキサン酸、ヘプタン酸、オクタン酸、ノナン酸、デカン酸、シュウ酸、マレイン酸、メチルマロン酸、アジピン酸、セバシン酸、没食子酸、酪酸、メリット酸、アラキドン酸、2-エチルヘキサン酸、オレイン酸、ステアリン酸、リノール酸、リノレイン酸、サリチル酸、安息香酸、p-アミノ安息香酸、p-トルエンスルホン酸、ベンゼンスルホン酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、トリフルオロ酢酸、ギ酸、マロン酸、スルホン酸、フタル酸、フマル酸、クエン酸、酒石酸等を挙げることができるが、これらに限定されない。
 加水分解触媒としての無機酸は、例えば塩酸、硝酸、硫酸、フッ酸、リン酸等を挙げることができるが、これらに限定されない。
 加水分解触媒としての有機塩基は、例えばピリジン、ピロール、ピペラジン、ピロリジン、ピペリジン、ピコリン、トリメチルアミン、トリエチルアミン、モノエタノールアミン、ジエタノールアミン、ジメチルモノエタノールアミン、モノメチルジエタノールアミン、トリエタノールアミン、ジアザビシクロオクタン、ジアザビシクロノナン、ジアザビシクロウンデセン、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、トリメチルフェニルアンモニウムヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド等を挙げることができるが、これらに限定されない。
 加水分解触媒としての無機塩基は、例えばアンモニア、水酸化ナトリウム、水酸化カリウム、水酸化バリウム、水酸化カルシウム等を挙げることができるが、これらに限定されない。
 これらの触媒のうち、金属キレート化合物、有機酸、無機酸が好ましく、これらは1種を単独で使用してもよいし、2種以上を組み合わせて使用してもよい。
 中でも、本発明では、加水分解触媒として硝酸を好適に用いることができる。硝酸を使用することにより、加水分解及び縮合の後の反応溶液の保存安定性を向上させることができ、特に、加水分解縮合物の分子量変化を抑制することができる。液中の加水分解縮合物の安定性は、溶液のpHに依存することが分かっている。鋭意検討した結果、硝酸を適量用いることで、溶液のpHが安定領域となることが見いだされた。
 また、前述の通り、硝酸は、加水分解縮合物の変性物を得る際、例えばシラノール基のアルコールによるキャッピングの際にも使用し得るため、加水分解性シランの加水分解及び縮合と、加水分解縮合物のアルコールキャッピングの双方の反応に寄与できるものとなり得る観点からも好ましい。
 加水分解及び縮合をする際、溶媒として有機溶媒を用いてもよく、その具体例としては、例えばn-ペンタン、i-ペンタン、n-ヘキサン、i-ヘキサン、n-ヘプタン、i-ヘプタン、2,2,4-トリメチルペンタン、n-オクタン、i-オクタン、シクロヘキサン、メチルシクロヘキサン等の脂肪族炭化水素系溶媒;ベンゼン、トルエン、キシレン、エチルベンゼン、トリメチルベンゼン、メチルエチルベンゼン、n-プロピルベンセン、i-プロピルベンセン、ジエチルベンゼン、i-ブチルベンゼン、トリエチルベンゼン、ジ-i-プロピルベンセン、n-アミルナフタレン等の芳香族炭化水素系溶媒;メタノール、エタノール、n-プロパノール、i-プロパノール、n-ブタノール、i-ブタノール、sec-ブタノール、t-ブタノール、n-ペンタノール、i-ペンタノール、2-メチルブタノール、sec-ペンタノール、t-ペンタノール、3-メトキシブタノール、n-ヘキサノール、2-メチルペンタノール、sec-ヘキサノール、2-エチルブタノール、n-ヘプタノール、sec-ヘプタノール、3-ヘプタノール、n-オクタノール、2-エチルヘキサノール、sec-オクタノール、n-ノニルアルコール、2,6-ジメチル-4-ヘプタノール、n-デカノール、sec-ウンデシルアルコール、トリメチルノニルアルコール、sec-テトラデシルアルコール、sec-ヘプタデシルアルコール、フェノール、シクロヘキサノール、メチルシクロヘキサノール、3,3,5-トリメチルシクロヘキサノール、ベンジルアルコール、フェニルメチルカルビノール、ジアセトンアルコール、クレゾール等のモノアルコール系溶媒;エチレングリコール、プロピレングリコール、1,3-ブチレングリコール、2,4-ペンタンジオール、2-メチル-2,4-ペンタンジオール、2,5-ヘキサンジオール、2,4-ヘプタンジオール、2-エチル-1,3-ヘキサンジオール、ジエチレングリコール、ジプロピレングリコール、トリエチレングリコール、トリプロピレングリコール、グリセリン等の多価アルコール系溶媒;アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-i-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-i-ブチルケトン、トリメチルノナノン、シクロヘキサノン、メチルシクロヘキサノン、2,4-ペンタンジオン、アセトニルアセトン、ジアセトンアルコール、アセトフェノン、フェンチョン等のケトン系溶媒;エチルエーテル、i-プロピルエーテル、n-ブチルエーテル、n-ヘキシルエーテル、2-エチルヘキシルエーテル、エチレンオキシド、1,2-プロピレンオキシド、ジオキソラン、4-メチルジオキソラン、ジオキサン、ジメチルジオキサン、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールジエチルエーテル、エチレングリコールモノ-n-ブチルエーテル、エチレングリコールモノ-n-ヘキシルエーテル、エチレングリコールモノフェニルエーテル、エチレングリコールモノ-2-エチルブチルエーテル、エチレングリコールジブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールモノ-n-ブチルエーテル、ジエチレングリコールジ-n-ブチルエーテル、ジエチレングリコールモノ-n-ヘキシルエーテル、エトキシトリグリコール、テトラエチレングリコールジ-n-ブチルエーテル、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート(1-メトキシ-2-プロパノールモノアセテート)、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノブチルエーテル、トリプロピレングリコールモノメチルエーテル、テトラヒドロフラン、2-メチルテトラヒドロフラン等のエーテル系溶媒;ジエチルカーボネート、酢酸メチル、酢酸エチル、γ-ブチロラクトン、γ-バレロラクトン、酢酸n-プロピル、酢酸i-プロピル、酢酸n-ブチル、酢酸i-ブチル、酢酸sec-ブチル、酢酸n-ペンチル、酢酸sec-ペンチル、酢酸3-メトキシブチル、酢酸メチルペンチル、酢酸2-エチルブチル、酢酸2-エチルヘキシル、酢酸ベンジル、酢酸シクロヘキシル、酢酸メチルシクロヘキシル、酢酸n-ノニル、アセト酢酸メチル、アセト酢酸エチル、酢酸エチレングリコールモノメチルエーテル、酢酸エチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノメチルエーテル、酢酸ジエチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノ-n-ブチルエーテル、酢酸プロピレングリコールモノメチルエーテル、酢酸プロピレングリコールモノエチルエーテル、酢酸プロピレングリコールモノプロピルエーテル、酢酸プロピレングリコールモノブチルエーテル、酢酸ジプロピレングリコールモノメチルエーテル、酢酸ジプロピレングリコールモノエチルエーテル、ジ酢酸グリコール、酢酸メトキシトリグリコール、エチレングリコールジアセテート、トリエチレングリコールメチルエーテルアセテート、プロピオン酸エチル、プロピオン酸n-ブチル、プロピオン酸i-アミル、シュウ酸ジエチル、シュウ酸ジ-n-ブチル、乳酸メチル、乳酸エチル、乳酸n-ブチル、乳酸n-アミル、マロン酸ジエチル、フタル酸ジメチル、フタル酸ジエチル等のエステル系溶媒;N-メチルホルムアミド、N,N-ジメチルホルムアミド、N,N-ジエチルホルムアミド、アセトアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルプロピオンアミド、N-メチル-2-ピロリドン等の含窒素系溶媒;硫化ジメチル、硫化ジエチル、チオフェン、テトラヒドロチオフェン、ジメチルスルホキシド、スルホラン、1,3-プロパンスルトン等の含硫黄系溶媒等を挙げることができるが、これらに限定されない。これらの溶媒は1種又は2種以上の組み合わせで用いることができる。
 加水分解及び縮合反応の終了後、反応溶液をそのまま又は希釈若しくは濃縮し、それを中和し、イオン交換樹脂を用いて処理することで、加水分解及び縮合に用いた酸や塩基等の加水分解触媒を取り除くことができる。また、このような処理の前又は後に、減圧蒸留等によって、反応溶液から副生成物のアルコールや水、用いた加水分解触媒等を除去することができる。
 このようにして得られた加水分解縮合物(以下、ポリシロキサンとも称する)は、有機溶媒中に溶解しているポリシロキサンワニスの形態として得られ、これをそのまま後述するレジスト下層膜形成用組成物の調製に用いることができる。すなわち、上記反応溶液をそのまま(あるいは希釈して)レジスト下層膜形成用組成物の調製に用いることができ、このとき、加水分解及び縮合に用いた加水分解触媒や、副生成物等は本発明の効果を損なわない限り反応溶液に残存していてもよい。例えば加水分解触媒やシラノール基のアルコールキャッピング時に使用される硝酸は、ポリマーワニス溶液中に100ppm~5,000ppm程度残存していてもよい。
 得られたポリシロキサンワニスは溶媒置換してもよいし、また適宜溶媒で希釈してもよい。なお得られたポリシロキサンワニスは、その保存安定性が悪くなければ、有機溶媒を留去し、固形分濃度100%とすることもできる。
 上記ポリシロキサンワニスの溶媒置換や希釈等に用いる有機溶媒は、加水分解性シランの加水分解及び縮合反応に用いた有機溶媒と同じでも異なってもよい。この希釈用溶媒は、特に限定されず、1種でも2種以上でも任意に選択して用いることができる。
 [B]溶媒
 本発明のシリコン含有レジスト下層膜形成用組成物に使用される[B]溶媒は、上記[A]ポリシロキサン、並びに後述するその他成分を溶解・混和できる溶媒であれば特に制限なく使用することができる。
 なお[B]溶媒には、後述する[C]グリコール化合物は含まれず、また、上記[A]ポリシロキサンの反応溶液をそのまま(あるいは希釈して)レジスト下層膜形成用組成物の調製に用いる場合においても、ポリシロキサンの加水分解及び縮合に使用した溶媒には後述する[C]グリコール化合物は含まれない。
 [B]溶媒の具体例としては、メチルセロソルブアセテート、エチルセロソルブアセテート、プロピレングリコール、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、メチルイソブチルカルビノール(4-メチル-2-ペンタノール)、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート(1-メトキシ-2-プロパノールモノアセテート)、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、プロピレングリコールモノブチルエーテルアセテート、トルエン、キシレン、メチルエチルケトン、シクロペンタノン、シクロヘキサノン、2-ヒドロキシプロピオン酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、エトキシ酢酸エチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-3-メチルブタン酸メチル、3-メトキシプロピオン酸メチル、3-メトキシプロピオン酸エチル、3-エトキシプロピオン酸エチル、3-エトキシプロピオン酸メチル、ピルビン酸メチル、ピルビン酸エチル、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジプロピルエーテル、ジエチレングリコールジブチルエーテル、プロピレングリコールジメチルエーテル、プロピレングリコールジエチルエーテル、プロピレングリコールジプロピルエーテル、プロピレングリコールジブチルエーテル、乳酸エチル、乳酸プロピル、乳酸イソプロピル、乳酸ブチル、乳酸イソブチル、ギ酸メチル、ギ酸エチル、ギ酸プロピル、ギ酸イソプロピル、ギ酸ブチル、ギ酸イソブチル、ギ酸アミル、ギ酸イソアミル、酢酸メチル、酢酸エチル、酢酸アミル、酢酸イソアミル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、3-メトキシ-2-メチルプロピオン酸メチル、2-ヒドロキシ-3-メチル酪酸メチル、メトキシ酢酸エチル、エトキシ酢酸エチル、3-メトキシプロピオン酸メチル、3-エトキシプロピオン酸エチル、3-メトキシプロピオン酸エチル、3-メトキシブチルアセテート、3-メトキシプロピルアセテート、3-メチル-3-メトキシブチルアセテート、3-メチル-3-メトキシブチルプロピオネート、3-メチル-3-メトキシブチルブチレート、アセト酢酸メチル、メチルプロピルケトン、メチルブチルケトン、2-ヘプタノン、3-ヘプタノン、4-ヘプタノン、N,N-ジメチルホルムアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチル-2-ピロリドン、γ-ブチロラクトン等を挙げることができ、溶媒は1種単独で又は2種以上組み合わせて使用できる。
 また本発明のシリコン含有レジスト下層膜形成用組成物は、溶媒として水を含んでいてもよい。溶媒として水を含む場合、その含有量は、当該組成物が含む溶媒の合計質量に対して、例えば30質量%以下、好ましくは20質量%以下、より一層好ましくは15質量%以下とすることができる。
[C]グリコール化合物
 本発明のシリコン含有レジスト下層膜形成用組成物には、[C]標準沸点が230.0℃以上であり、かつ、下記一般式(2)で表されるグリコール化合物(高沸点グリコール化合物ともいう)をて含有することができる。なお本明細書における「標準沸点」は1気圧(101325Pa)時の沸点を指す(Normal Boiling Point,NBP)。
 上述したように、本発明において上記[C]グリコール化合物は、上記[B]溶媒に該当しない。
 上記グリコール化合物は、シリコン含有レジスト下層膜の形成にあたり、レジスト下層膜形成用組成物の塗布膜形成時に生じ得る微小粒子による欠陥発生の抑制に寄与する。
 上記塗布欠陥は、レジスト下層膜形成用組成物に含まれる溶媒の揮発や、該組成物に含まれるポリシロキサン等の重合体成分の固化(縮合等)による微小粒子(固形物)の発生などが要因の一つであるとみられている。こうした溶媒の揮発や固形物の発生は、レジスト下層膜形成用組成物の保管時のみならず、レジスト下層膜の形成時においても起こり得る。すなわち、レジスト下層膜の形成に係る実際の現場では、塗布装置による塗布膜形成が連続実施され得、この際、塗布装置の吐出ノズル内においても溶媒の揮発のみならず微小粒子の発生が生じ得る。
 当該シリコン含有レジスト下層膜形成用組成物が上記高沸点グリコール化合物を含有することにより、塗布装置の吐出ノズル内における該組成物の安定性を向上し、該ノズル内における微小粒子の発生を妨げることにより、塗布膜の欠陥発生を抑制することが可能となる。
Figure JPOXMLDOC01-appb-C000034
 式(2)中、R及びRは、それぞれ独立して、水素原子、炭素原子数1乃至4のアルキル基又は炭素原子数3乃至4のアシル基を表し、nは3以上の整数を表す。
 なお複数のRはそれぞれ同一であっても異なっていてもよい。
 上記R及びRにおける炭素原子数1乃至4のアルキル基としては、例えば、メチル基、エチル基、n-プロピル基、n-ブチル基等の直鎖状のアルキル基;イソプロピル基、イソブチル基、sec-ブチル基、t-ブチル基等の分岐状のアルキル基等が挙げられる。
 また上記R及びRにおける炭素原子数3乃至4のアシル基としては、エチルカルボニル基(プロピオニル基)、プロピルカルボニル基(ブチリル基)等が挙げられる。
 nは3以上の整数であり、その上限は例えば7である。
 これらの中でも、Rは、炭素原子数1乃至4のアルキル基又は炭素原子数3乃至4のアシル基が好ましく、またRは水素原子又はメチル基が好ましい。
 上記式(2)で表されるグリコール化合物のうち、標準沸点が230.0℃以上である化合物の具体例としては、トリエチレングリコール(標準沸点:276℃)、トリエチレングリコールモノメチルエーテル(標準沸点:248℃)、トリエチレングリコールモノエチルエーテル(標準沸点:255℃)、トリエチレングリコールモノブチルエーテル(標準沸点:271℃)、トリプロピレングリコールモノメチルエーテル(標準沸点:242℃)、テトラエチレングリコールモノメチルエーテル(標準沸点:325℃)、ペンタエチレングリコールモノメチルエーテル(標準沸点:>300℃)、ヘプタエチレングリコールモノメチルエーテル(標準沸点:>300℃)等が挙げられるが、これら化合物に限定されるものではない。
 上記[C]グリコール化合物を使用する場合、その配合量は、シリコン含有レジスト下層膜形成用組成物の総質量に基づいて1質量%未満の割合とすることができ、またその下限値は0.01質量%とすることができる。[C]グリコール化合物の配合量を上記範囲とすることにより、本発明のシリコン含有レジスト下層膜形成用組成物は、該組成物から得られる膜において溶剤耐性を備え、且つ、効果的に欠陥発生を抑制することが期待できるため好適である。
 なお、前述した[A]ポリシロキサンの製造時、すなわち加水分解性シランの加水分解及び縮合の際、溶媒として[C]グリコール化合物に該当する有機溶媒を使用し、反応溶液をそのままレジスト下層膜形成用組成物の調製に用いた場合、該反応溶液に残存する[C]グリコール化合物に該当する有機溶媒はそのまま、上記[C]グリコール化合物として含めることができる。
[シリコン含有レジスト下層膜形成用組成物]
 本発明のシリコン含有レジスト下層膜形成用組成物は、上記[A]ポリシロキサン、[B]溶媒を含み、所望により[C]グリコール化合物を含み得、さらに後述するその他成分を含み得るものである。
 レジスト下層膜形成用組成物における固形分の濃度は、当該組成物の全質量に対して、例えば0.1乃至50質量%、0.1乃至30質量%、0.1乃至25質量%、0.5乃至20.0質量%とすることができる。なお上記固形分とは、当該組成物の全成分から[B]溶媒成分及び[C]グリコール化合物を除いた成分を指す。
 固形分中の上記[A]ポリシロキサンの含有量は、通常20質量%~100質量%であるが、上述した本発明の効果を再現性よく得る観点等から、その下限値は、好ましくは50質量%、より好ましくは60質量%、より一層好ましくは70質量%、更に好ましくは80質量%であり、その上限値は、好ましくは99質量%であり、その余を、後述の添加剤とすることができる。
 また当該レジスト下層膜形成用組成物は、好ましくはpH2~5を有し、より好ましくはpH3~4を有する。
 レジスト下層膜形成用組成物は、上記[A]ポリシロキサンと、[B]溶媒と、さらに[C]グリコール化合物、そしてその他の成分が含まれる場合には当該その他の成分とを混合することで製造できる。この際、[A]ポリシロキサンを含む溶液を予め準備し、この溶液を、[B]溶媒、そして[C]グリコール化合物やその他の成分と混合してもよい。また、[A]ポリシロキサンを調製時の反応溶液をそのままレジスト下層膜形成用組成物の調製に用いることもでき、この場合、[C]グリコール化合物をポリシロキサン製造時に添加してもよい。
 混合順序は特に限定されるものではない。例えば、[A]ポリシロキサンを含む溶液に、[B]溶媒を加えて混合し、その混合物に[C]グリコール化合物、その他の成分を加えてもよく、[A]ポリシロキサンを含む溶液と、[B]溶媒と、[C]グリコール化合物、その他の成分を同時に混合してもよい。
 必要であれば、最後に更に[B]溶媒を追加で加えたり、[B]溶媒に比較的溶けやすい一部の成分を混合物中に含めずにおき、最後にそれを加えたりしてもよいが、構成成分の凝集や分離を抑制し、均一性に優れる組成物を再現性よく調製する観点から、[A]ポリシロキサンが良好に溶解した溶液を予め準備し、これを用いて組成物を調製することが好ましい。なお、[A]ポリシロキサンは、共に混ぜられる[B]溶媒の種類や量、また[C]グリコール化合物やその他の成分の量や性質等によっては、これらが混ぜられた際に凝集又は沈殿する可能性がある点に留意する。また、[A]ポリシロキサンが溶解した溶液を用いて組成物を調製する場合、最終的に得られる組成物中の[A]ポリシロキサンが所望の量となるように、[A]ポリシロキサンの溶液の濃度やその使用量を決める必要がある点も留意する。
 組成物の調製において、成分が分解したり変質したりしない範囲で、適宜加熱してもよい。
 本発明において、レジスト下層膜形成用組成物を製造する途中の段階において、又は全ての成分を混合した後に、サブマイクロメートルオーダーのフィルタ等を用いてろ過してもよい。なおこのとき用いられるフィルタの材料種は問わないが、例えばナイロン製フィルタ、フッ素樹脂製フィルタ等を用いることができる。
 本発明のシリコン含有レジスト下層膜形成用組成物はリソグラフィー工程に使用されるレジスト下層膜形成用の組成物として、好適に用いることができる。
〔その他添加剤〕
 本発明のシリコン含有レジスト下層膜形成用組成物には、組成物の用途に応じて種々の添加剤を配合可能である。
 上記添加剤としては、例えば、硬化触媒(アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩、窒素含有シラン化合物等)、架橋剤、架橋触媒、安定化剤(有機酸、水、アルコール等)、有機ポリマー化合物、酸発生剤、界面活性剤(ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等)、pH調整剤、金属酸化物、レオロジー調整剤、接着補助剤等、レジスト下層膜や、反射防止膜、パターン反転用膜など、半導体装置の製造に使用され得る各種膜を形成する材料(組成物)に配合される公知の添加剤を挙げることができる。
 なお以下に各種添加剤を例示するが、これらに限定されるものではない。
<硬化触媒>
 本発明のシリコン含有レジスト下層膜形成用組成物は、硬化触媒を含有しない組成物とすることができるが、硬化触媒を含んでいてもよい。
 上記硬化触媒としては、アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩等を用いることができる。なお硬化触媒の一例として記載した下記の塩類は、塩の形態にて添加してもよいし、上記組成物中において塩を形成するもの(添加時には別化合物として添加され、系内で塩を形成するもの)のいずれであってもよい。
 上記アンモニウム塩としては、式(D-1):
Figure JPOXMLDOC01-appb-C000035
(式中、mは2乃至11の整数を、nは2乃至3の整数を、R21はアルキル基又はアリール基を、Yは陰イオンを表す。)で表される構造を有する第4級アンモニウム塩、
 式(D-2):
Figure JPOXMLDOC01-appb-C000036
(式中、R22、R23、R24及びR25はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表し、且つR22、R23、R24、及びR25はそれぞれ窒素原子と結合されているものである)で表される構造を有する第4級アンモニウム塩、
 式(D-3):
Figure JPOXMLDOC01-appb-C000037
(式中、R26及びR27はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第4級アンモニウム塩、
 式(D-4):
Figure JPOXMLDOC01-appb-C000038
(式中、R28はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第4級アンモニウム塩、
 式(D-5):
Figure JPOXMLDOC01-appb-C000039
(式中、R29及びR30はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第4級アンモニウム塩、
 式(D-6):
Figure JPOXMLDOC01-appb-C000040
(式中、mは2乃至11の整数を、nは2乃至3の整数を、Hは水素原子を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第3級アンモニウム塩を挙げることができる。
 また、上記ホスホニウム塩としては、式(D-7):
Figure JPOXMLDOC01-appb-C000041
(式中、R31、R32、R33、及びR34はアルキル基又はアリール基を、Pはリン原子を、Yは陰イオンを表し、且つR31、R32、R33、及びR34はそれぞれリン原子と結合されているものである)で表される第4級ホスホニウム塩を挙げることができる。
 また、上記スルホニウム塩としては、式(D-8):
Figure JPOXMLDOC01-appb-C000042
(式中、R35、R36、及びR37はアルキル基又はアリール基を、Sは硫黄原子を、Yは陰イオンを表し、且つR35、R36、及びR37はそれぞれ硫黄原子と結合されているものである)で表される第3級スルホニウム塩を挙げることができる。
 上記の式(D-1)の化合物は、アミンから誘導される第4級アンモニウム塩であり、mは2乃至11の整数を示し、nは2乃至3の整数を示す。この第4級アンモニウム塩のR21は炭素原子数1乃至18、好ましくは2乃至10のアルキル基、又は炭素原子数6乃至18のアリール基を示し、例えば、エチル基、プロピル基、ブチル基等の直鎖アルキル基や、ベンジル基、シクロヘキシル基、シクロヘキシルメチル基、ジシクロペンタジエニル基等が挙げられる。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。
 上記の式(D-2)の化合物は、R22232425で示される第4級アンモニウム塩である。この第4級アンモニウム塩のR22、R23、R24及びR25は炭素原子数1乃至18のアルキル基、又は炭素原子数6乃至18のアリール基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この第4級アンモニウム塩は、市販品で入手することが可能であり、例えばテトラメチルアンモニウムアセテート、テトラブチルアンモニウムアセテート、塩化トリエチルベンジルアンモニウム、臭化トリエチルベンジルアンモニウム、塩化トリオクチルメチルアンモニウム、塩化トリブチルベンジルアンモニウム、塩化トリメチルベンジルアンモニウム等が例示される。
 上記の式(D-3)の化合物は、1-置換イミダゾールから誘導される第4級アンモニウム塩であり、R26及びR27の炭素原子数は1乃至18であり、R26及びR27の炭素原子数の総和が7以上であることが好ましい。例えばR26はメチル基、エチル基、プロピル基、フェニル基、ベンジル基を、R27はベンジル基、オクチル基、オクタデシル基を例示することができる。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は、市販品で入手することもできるが、例えば1-メチルイミダゾール、1-ベンジルイミダゾール等のイミダゾール系化合物と、臭化ベンジル、臭化メチル等のハロゲン化アルキルやハロゲン化アリールを反応させて製造することができる。
 上記の式(D-4)の化合物は、ピリジンから誘導される第4級アンモニウム塩であり、R28は炭素原子数1乃至18、好ましくは炭素原子数4乃至18のアルキル基、又は炭素原子数6乃至18のアリール基であり、例えばブチル基、オクチル基、ベンジル基、ラウリル基を例示することができる。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は、市販品として入手することもできるが、例えばピリジンと、塩化ラウリル、塩化ベンジル、臭化ベンジル、臭化メチル、臭化オクチル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造することができる。この化合物は例えば、塩化N-ラウリルピリジニウム、臭化N-ベンジルピリジニウム等を例示することができる。
 上記の式(D-5)の化合物は、ピコリン等に代表される置換ピリジンから誘導される第4級アンモニウム塩であり、R29は炭素原子数1乃至18、好ましくは炭素原子数4乃至18のアルキル基、又は炭素原子数6乃至18のアリール基であり、例えばメチル基、オクチル基、ラウリル基、ベンジル基等を例示することができる。R30は炭素原子数1乃至18のアルキル基、又は炭素原子数6乃至18のアリール基であり、例えばピコリンから誘導される第4級アンモニウムである場合には、R30はメチル基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は市販品として入手することもできるが、例えばピコリン等の置換ピリジンと、臭化メチル、臭化オクチル、塩化ラウリル、塩化ベンジル、臭化ベンジル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造することができる。この化合物は例えば、N-ベンジルピコリニウムクロリド、N-ベンジルピコリニウムブロミド、N-ラウリルピコリニウムクロリド等を例示することができる。
 上記の式(D-6)の化合物は、アミンから誘導される第3級アンモニウム塩であり、mは2乃至11の整数を示し、nは2乃至3の整数を示す。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。本化合物は、アミンとカルボン酸やフェノール等の弱酸との反応によって製造することができる。カルボン酸としてはギ酸や酢酸が挙げられ、ギ酸を使用した場合は、陰イオン(Y)は(HCOO)であり、酢酸を使用した場合は、陰イオン(Y)は(CHCOO)である。またフェノールを使用した場合は、陰イオン(Y)は(C)である。
 上記の式(D-7)の化合物は、R31323334の構造を有する第4級ホスホニウム塩である。R31、R32、R33、及びR34は炭素原子数1乃至18のアルキル基、又は炭素原子数6乃至18のアリール基であり、好ましくはR31乃至R34の4つの置換基の内で3つがフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示することができ、また残りの1つは炭素原子数1乃至18のアルキル基、炭素原子数6乃至18のアリール基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は市販品として入手することが可能であり、例えばハロゲン化テトラn-ブチルホスホニウム、ハロゲン化テトラn-プロピルホスホニウム等のハロゲン化テトラアルキルホスホニウム、ハロゲン化トリエチルベンジルホスホニウム等のハロゲン化トリアルキルベンジルホスホニウム、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム、ハロゲン化テトラフェニルホスホニウム、ハロゲン化トリトリルモノアリールホスホニウム、或いはハロゲン化トリトリルモノアルキルホスホニウム(以上、ハロゲン原子は塩素原子又は臭素原子)が挙げられる。特に、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム等のハロゲン化トリフェニルモノアリールホスホニウム、ハロゲン化トリトリルモノフェニルホスホニウム等のハロゲン化トリトリルモノアリールホスホニウムや、ハロゲン化トリトリルモノメチルホスホニウム等のハロゲン化トリトリルモノアルキルホスホニウム(ハロゲン原子は塩素原子又は臭素原子)が好ましい。
 また、ホスフィン類としては、メチルホスフィン、エチルホスフィン、プロピルホスフィン、イソプロピルホスフィン、イソブチルホスフィン、フェニルホスフィン等の第一ホスフィン、ジメチルホスフィン、ジエチルホスフィン、ジイソプロピルホスフィン、ジイソアミルホスフィン、ジフェニルホスフィン等の第二ホスフィン、トリメチルホスフィン、トリエチルホスフィン、トリフェニルホスフィン、メチルジフェニルホスフィン、ジメチルフェニルホスフィン等の第三ホスフィンが挙げられる。
 上記の式(D-8)の化合物は、R353637の構造を有する第3級スルホニウム塩である。R35、R36、及びR37は炭素原子数1乃至18のアルキル基又は炭素原子数6乃至18のアリール基であり、好ましくはR35乃至R37の3つの置換基の内で2つがフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示することができ、また残りの1つは炭素原子数1乃至18のアルキル基、又は炭素原子数6乃至18のアリール基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)、マレイン酸アニオン、硝酸アニオン等の酸基を挙げることができる。この化合物は市販品として入手することが可能であり、例えばハロゲン化トリn-ブチルスルホニウム、ハロゲン化トリn-プロピルスルホニウム等のハロゲン化トリアルキルスルホニウム、ハロゲン化ジエチルベンジルスルホニウム等のハロゲン化ジアルキルベンジルスルホニウム、ハロゲン化ジフェニルメチルスルホニウム、ハロゲン化ジフェニルエチルスルホニウム等のハロゲン化ジフェニルモノアルキルスルホニウム、ハロゲン化トリフェニルスルホニウム(以上、ハロゲン原子は塩素原子又は臭素原子)、トリn-ブチルスルホニウムカルボキシラート、トリn-プロピルスルホニウムカルボキシラート等のトリアルキルスルホニウムカルボキシラート、ジエチルベンジルスルホニウムカルボキシラート等のジアルキルベンジルスルホニウムカルボキシラート、ジフェニルメチルスルホニウムカルボキシラート、ジフェニルエチルスルホニウムカルボキシラート等のジフェニルモノアルキルスルホニウムカルボキシラート、トリフェニルスルホニウムカルボキシラートが挙げられる。また、ハロゲン化トリフェニルスルホニウム、トリフェニルスルホニウムカルボキシラートが好ましく用いることができる。
 また、本発明では硬化触媒として窒素含有シラン化合物を添加することができる。窒素含有シラン化合物としてはN-(3-トリエトキシシリプロピル)-4,5-ジヒドロイミダゾール等のイミダゾール環含有シラン化合物が挙げられる。
 硬化触媒が使用される場合、[A]ポリシロキサン100質量部に対して、0.01質量部乃至10質量部、または0.01質量部乃至5質量部、または0.01質量部乃至3質量部である。
<安定化剤>
 上記安定化剤は、上記加水分解性シラン混合物の加水分解縮合物の安定化等の目的のために添加され得、その具体例として、有機酸、水、アルコール、又はそれらの組み合わせを添加することができる。
 上記有機酸としては、例えばシュウ酸、マロン酸、メチルマロン酸、コハク酸、マレイン酸、リンゴ酸、酒石酸、フタル酸、クエン酸、グルタル酸、乳酸、サリチル酸等が挙げられる。中でも、シュウ酸、マレイン酸が好ましい。有機酸を添加する場合、その添加量は、上記加水分解性シラン混合物の加水分解縮合物の質量に対して0.1~5.0質量%である。これら有機酸はpH調整剤としても働き得る。
 上記水としては、純水、超純水、イオン交換水等を用いることができ、使用する場合、その添加量は、レジスト下層膜形成用組成物100質量部に対して1質量部~20質量部とすることができる。
 上記アルコールとしては塗布後の加熱により飛散(揮発)しやすいものが好ましく、例えばメタノール、エタノール、プロパノール、i-プロパノール、ブタノール等が挙げられる。アルコールを添加する場合、その添加量は、レジスト下層膜形成用組成物100質量部に対して1質量部~20質量部とすることができる。
<有機ポリマー>
 上記有機ポリマー化合物は、該レジスト下層膜形成用組成物に添加することにより、該組成物から形成される膜(レジスト下層膜)のドライエッチング速度(単位時間当たりの膜厚の減少量)や、また減衰係数や屈折率等を調整することができる。該有機ポリマー化合物としては特に制限はなく、その添加目的に応じて、種々の有機ポリマー(縮重合ポリマー及び付加重合ポリマー)の中から適宜選択される。
 その具体例としては、ポリエステル、ポリスチレン、ポリイミド、アクリルポリマー、メタクリルポリマー、ポリビニルエーテル、フェノールノボラック、ナフトールノボラック、ポリエーテル、ポリアミド、ポリカーボネート等の付加重合ポリマー及び縮重合ポリマーが挙げられる。
 本発明においては、吸光部位として機能するベンゼン環、ナフタレン環、アントラセン環、トリアジン環、キノリン環、キノキサリン環等の芳香環や複素芳香環を含む有機ポリマーも、そのような機能が必要な場合には、好適に用い得る。そのような有機ポリマー化合物の具体例としては、ベンジルアクリレート、ベンジルメタクリレート、フェニルアクリレート、ナフチルアクリレート、アントリルメタクリレート、アントリルメチルメタクリレート、スチレン、ヒドロキシスチレン、ベンジルビニルエーテル及びN-フェニルマレイミド等の付加重合性モノマーをその構造単位として含む付加重合ポリマーや、フェノールノボラック及びナフトールノボラック等の縮重合ポリマーが挙げられるが、これらに限定されない。
 有機ポリマー化合物として付加重合ポリマーが使用される場合、そのポリマー化合物は、単独重合体、共重合体のいずれであってもよい。
 付加重合ポリマーの製造には付加重合性モノマーが使用されるが、そのような付加重合性モノマーの具体例としては、アクリル酸、メタクリル酸、アクリル酸エステル化合物、メタクリル酸エステル化合物、アクリルアミド化合物、メタクリルアミド化合物、ビニル化合物、スチレン化合物、マレイミド化合物、マレイン酸無水物、アクリロニトリル等が挙げられるが、これらに限定されない。
 アクリル酸エステル化合物の具体例としては、メチルアクリレート、エチルアクリレート、ノルマルヘキシルアクリレート、i-プロピルアクリレート、シクロヘキシルアクリレート、ベンジルアクリレート、フェニルアクリレート、アントリルメチルアクリレート、2-ヒドロキシエチルアクリレート、3-クロロ-2-ヒドロキシプロピルアクリレート、2-ヒドロキシプロピルアクリレート、2,2,2-トリフルオロエチルアクリレート、2,2,2-トリクロロエチルアクリレート、2-ブロモエチルアクリレート、4-ヒドロキシブチルアクリレート、2-メトキシエチルアクリレート、テトラヒドロフルフリルアクリレート、2-メチル-2-アダマンチルアクリレート、5-アクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-アクリロキシプロピルトリエトキシシラン、グリシジルアクリレート等が挙げられるが、これらに限定されない。
 メタクリル酸エステル化合物の具体例としては、メチルメタクリレート、エチルメタクリレート、ノルマルヘキシルメタクリレート、i-プロピルメタクリレート、シクロヘキシルメタクリレート、ベンジルメタクリレート、フェニルメタクリレート、アントリルメチルメタクリレート、2-ヒドロキシエチルメタクリレート、2-ヒドロキシプロピルメタクリレート、2,2,2-トリフルオロエチルメタクリレート、2,2,2-トリクロロエチルメタクリレート、2-ブロモエチルメタクリレート、4-ヒドロキシブチルメタクリレート、2-メトキシエチルメタクリレート、テトラヒドロフルフリルメタクリレート、2-メチル-2-アダマンチルメタクリレート、5-メタクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-メタクリロキシプロピルトリエトキシシラン、グリシジルメタクリレート、2-フェニルエチルメタクリレート、ヒドロキシフェニルメタクリレート、ブロモフェニルメタクリレート等が挙げられるが、これらに限定されない。
 アクリルアミド化合物の具体例としては、アクリルアミド、N-メチルアクリルアミド、N-エチルアクリルアミド、N-ベンジルアクリルアミド、N-フェニルアクリルアミド、N,N-ジメチルアクリルアミド、N-アントリルアクリルアミド等が挙げられるが、これらに限定されない。
 メタクリルアミド化合物の具体例としては、メタクリルアミド、N-メチルメタクリルアミド、N-エチルメタクリルアミド、N-ベンジルメタクリルアミド、N-フェニルメタクリルアミド、N,N-ジメチルメタクリルアミド、N-アントリルメタクリルアミド等が挙げられるが、これらに限定されない。
 ビニル化合物の具体例としては、ビニルアルコール、2-ヒドロキシエチルビニルエーテル、メチルビニルエーテル、エチルビニルエーテル、ベンジルビニルエーテル、ビニル酢酸、ビニルトリメトキシシラン、2-クロロエチルビニルエーテル、2-メトキシエチルビニルエーテル、ビニルナフタレン、ビニルアントラセン等が挙げられるが、これらに限定されない。
 スチレン化合物の具体例としては、スチレン、ヒドロキシスチレン、クロロスチレン、ブロモスチレン、メトキシスチレン、シアノスチレン、アセチルスチレン等が挙げられるが、これらに限定されない。
 マレイミド化合物としては、マレイミド、N-メチルマレイミド、N-フェニルマレイミド、N-シクロヘキシルマレイミド、N-ベンジルマレイミド、N-ヒドロキシエチルマレイミド等が挙げられるが、これらに限定されない。
 ポリマーとして縮重合ポリマーが使用される場合、そのようなポリマーとしては、例えば、グリコール化合物とジカルボン酸化合物との縮重合ポリマーが挙げられる。グリコール化合物としてはジエチレングリコール、ヘキサメチレングリコール、ブチレングリコール等が挙げられる。ジカルボン酸化合物としては、コハク酸、アジピン酸、テレフタル酸、無水マレイン酸等が挙げられる。また、例えば、ポリピロメリットイミド、ポリ(p-フェニレンテレフタルアミド)、ポリブチレンテレフタレート、ポリエチレンテレフタレート等のポリエステル、ポリアミド、ポリイミドが挙げられるが、これらに限定されない。
 有機ポリマー化合物がヒドロキシ基を含む場合は、このヒドロキシ基は、加水分解縮合物等と架橋反応をし得る。
 上記有機ポリマー化合物の重量平均分子量は、通常1,000~1,000,000でとすることができる。有機ポリマー化合物を配合する場合、ポリマーとしての機能の効果を十分に得つつ、組成物中での析出を抑制する観点から、その重量平均分子量を例えば3,000~300,000、又は5,000~300,000、あるいは10,000~200,000などとすることができる。
 このような有機ポリマー化合物は、1種単独で使用してもよいし、2種以上組み合わせて用いることができる。
 本発明のシリコン含有レジスト下層膜形成用組成物が有機ポリマー化合物を含む場合、その含有量は、その有機ポリマー化合物の機能等を考慮して適宜定まるため一概に規定できないが、通常、上記[A]ポリシロキサンの質量に対して、1~200質量%の範囲とすることができ、組成物中での析出を抑制する観点等から、例えば100質量%以下、好ましくは50質量%以下、より好ましくは30質量%以下とすることができ、その効果を十分に得る観点等から、例えば5質量%以上、好ましくは10質量%以上、より好ましくは30質量%以上とすることができる。
<酸発生剤>
 酸発生剤としては、熱酸発生剤や光酸発生剤が挙げられ、光酸発生剤を好ましく用いることができる。
 光酸発生剤としては、オニウム塩化合物、スルホンイミド化合物、ジスルホニルジアゾメタン化合物等が挙げられるが、これらに限定されない。なお光酸発生剤は、例えば後述するオニウム塩化合物における硝酸塩やマレイン酸塩などのカルボン酸塩、また塩酸塩など、その種類によっては硬化触媒としても機能し得る。
 また熱酸発生剤としては、例えばテトラメチルアンモニウム硝酸塩などが挙げられるが、これに限定されない。
 オニウム塩化合物の具体例としては、ジフェニルヨードニウムヘキサフルオロホスフェート、ジフェニルヨードニウムトリフルオロメタンスルホネート、ジフェニルヨードニウムノナフルオロノルマルブタンスルホネート、ジフェニルヨードニウムパーフルオロノルマルオクタンスルホネート、ジフェニルヨードニウムカンファースルホネート、ビス(4-t-ブチルフェニル)ヨードニウムカンファースルホネート、ビス(4-t-ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート等のヨードニウム塩化合物、トリフェニルスルホニウムヘキサフルオロアンチモネート、トリフェニルスルホニウムノナフルオロノルマルブタンスルホネート、トリフェニルスルホニウムカンファースルホネート、トリフェニルスルホニウムトリフルオロメタンスルホネート、トリフェニルスルホニウム硝酸塩(ナイトレート)、トリフェニルスルホニウムトリフルオロ酢酸塩、トリフェニルスルホニウムマレイン酸塩、トリフェニルスルホニウムクロリド等のスルホニウム塩化合物等を挙げることができるが、これらに限定されない。
 スルホンイミド化合物の具体例としては、N-(トリフルオロメタンスルホニルオキシ)スクシンイミド、N-(ノナフルオロノルマルブタンスルホニルオキシ)スクシンイミド、N-(カンファースルホニルオキシ)スクシンイミド、N-(トリフルオロメタンスルホニルオキシ)ナフタルイミド等が挙げられるが、これらに限定されない。
 ジスルホニルジアゾメタン化合物の具体例としては、ビス(トリフルオロメチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(p-トルエンスルホニル)ジアゾメタン、ビス(2,4-ジメチルベンゼンスルホニル)ジアゾメタン、メチルスルホニル-p-トルエンスルホニルジアゾメタン等が挙げられるが、これらに限定されない。
 本発明のシリコン含有レジスト下層膜形成用組成物が酸発生剤を含む場合、その含有量は、酸発生剤の種類等を考慮して適宜定まるため一概に規定できないが、通常、[A]ポリシロキサンの質量に対して、0.01~5質量%の範囲であり、組成物中での酸発生剤の析出を抑制する観点等から、好ましくは3質量%以下、より好ましくは1質量%以下であり、その効果を十分に得る観点等から、好ましくは0.1質量%以上、より好ましくは0.5質量%以上である。
 なお酸発生剤は、1種単独で又は2種以上組み合わせて用いることができ、また、光酸発生剤と熱酸発生剤とを併用してもよい。
<界面活性剤>
 界面活性剤は、上記レジスト下層膜形成用組成物を基板に塗布した際に、ピンホール、ストレーション等の発生を抑制するのに有効である。上記界面活性剤としては、ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等が挙げられる。より具体的には、例えば、ポリオキシエチレンラウリルエーテル、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンセチルエーテル、ポリオキシエチレンオレイルエーテル等のポリオキシエチレンアルキルエーテル類、ポリオキシエチレンオクチルフェノールエーテル、ポリオキシエチレンノニルフェノールエーテル等のポリオキシエチレンアルキルアリールエーテル類、ポリオキシエチレン・ポリオキシプロピレンブロックコポリマー類、ソルビタンモノラウレート、ソルビタンモノパルミテート、ソルビタンモノステアレート、ソルビタンモノオレエート、ソルビタントリオレエート、ソルビタントリステアレート等のソルビタン脂肪酸エステル類、ポリオキシエチレンソルビタンモノラウレート、ポリオキシエチレンソルビタンモノパルミテート、ポリオキシエチレンソルビタンモノステアレート、ポリオキシエチレンソルビタントリオレエート、ポリオキシエチレンソルビタントリステアレート等のポリオキシエチレンソルビタン脂肪酸エステル類等のノニオン系界面活性剤、商品名エフトップ(登録商標)EF301、EF303、EF352(三菱マテリアル電子化成(株)(旧(株)トーケムプロダクツ)製)、商品名メガファック(登録商標)F171、F173、R-08、R-30、R-30N、R-40LM(DIC(株)製)、フロラードFC430、FC431(スリーエムジャパン(株)製)、商品名アサヒガード(登録商標)AG710(AGC(株)製)、サーフロン(登録商標)S-382、SC101、SC102、SC103、SC104、SC105、SC106(AGCセイミケミカル(株)製)等のフッ素系界面活性剤、及びオルガノシロキサンポリマ-KP341(信越化学工業(株)製)等を挙げることができるが、これらに限定されない。
 界面活性剤は、1種単独で又は2種以上組み合わせて用いることができる。
 本発明のシリコン含有レジスト下層膜形成用組成物が界面活性剤を含む場合、その含有量は、[A]ポリシロキサンの質量に対して、通常0.0001~5質量%であり、好ましくは0.001~4質量%、より好ましくは0.01~3質量%とすることができる。
<レオロジー調整剤>
 上記レオロジー調整剤は、主にレジスト下層膜形成用組成物の流動性を向上させ、特にベーキング工程において、形成される膜の膜厚均一性の向上や、ホール内部への組成物の充填性を高める目的で添加される。具体例としては、ジメチルフタレート、ジエチルフタレート、ジi-ブチルフタレート、ジヘキシルフタレート、ブチルi-デシルフタレート等のフタル酸誘導体、ジノルマルブチルアジペート、ジ-i-ブチルアジペート、ジ-i-オクチルアジペート、オクチルデシルアジペート等のアジピン酸誘導体、ジノルマルブチルマレート、ジエチルマレート、ジノニルマレート等のマレイン酸誘導体、メチルオレート、ブチルオレート、テトラヒドロフルフリルオレート等のオレイン酸誘導体、またはノルマルブチルステアレート、グリセリルステアレート等のステアリン酸誘導体等を挙げることができる。
 これらのレオロジー調整剤が使用される場合、その添加量は、レジスト下層膜形成用組成物の全固形分に対して通常30質量%未満である。
<接着補助剤>
 上記接着補助剤は、主に基板あるいはレジストと、当該レジスト下層膜形成用組成物から形成される膜(レジスト下層膜)との密着性を向上させ、特に現像においてレジストの剥離を抑制・防止する目的で添加される。具体例としては、トリメチルクロロシラン、ジメチルビニルクロロシラン、メチルジフェニルクロロシラン、クロロメチルジメチルクロロシラン等のクロロシラン類、トリメチルメトキシシラン、ジメチルジエトキシシラン、メチルジメトキシシラン、ジメチルビニルエトキシシラン等のアルコキシシラン類、ヘキサメチルジシラザン、N,N’-ビス(トリメチルシリル)ウレア、ジメチルトリメチルシリルアミン、トリメチルシリルイミダゾール等のシラザン類、γ-クロロプロピルトリメトキシシラン、γ-アミノプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン等のその他のシラン類、ベンゾトリアゾール、ベンズイミダゾール、インダゾール、イミダゾール、2-メルカプトベンズイミダゾール、2ーメルカプトベンゾチアゾール、2-メルカプトベンゾオキサゾール、ウラゾール、チオウラシル、メルカプトイミダゾール、メルカプトピリミジン等の複素環式化合物や、1,1-ジメチルウレア、1,3-ジメチルウレア等の尿素、またはチオ尿素化合物を挙げることができる。
 これらの接着補助剤が使用される場合、その添加量は、レジスト下層膜形成用組成物の全固形分に対して通常5質量%未満、好ましくは2質量%未満である。
<pH調整剤>
 また、pH調整剤として、前述の<安定化剤>として挙げた有機酸などのカルボン酸基を1又は2以上有する酸の他、ビスフェノールS、又はビスフェノールS誘導体を添加することができる。pH調整剤が使用される場合のその添加量は、[A]ポリシロキサンの100質量部に対して、0.01~20質量部、又は0.01~10質量部、又は0.01~5質量部の割合とすることができる。
 以下、ビスフェノールSやビスフェノールS誘導体の具体例として、下記式(C-1)乃至式(C-23)で表される化合物が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000043
<金属酸化物>
 また本発明のシリコン含有レジスト下層膜形成用組成物に添加可能な金属酸化物としては、例えば、スズ(Sn)、チタン(Ti)、アルミニウム(Al)、ジルコニウム(Zr)、亜鉛(Zn)、ニオブ(Nb)、タンタル(Ta)及びW(タングステン)等の金属およびホウ素(B)、ケイ素(Si)、ゲルマニウム(Ge)、ヒ素(As)、アンチモン(Sb)、及びテルル(Te)等の半金属のうち1種または2種以上の組み合わせの酸化物を挙げることができるが、これらに限定されない。
[半導体装置の製造方法]
 以下、本発明の一態様として、本発明のシリコン含有レジスト下層膜形成用組成物を使用した半導体装置(半導体素子)の製造方法について、また、パターン形成方法について説明する。
 まず、精密集積回路素子の製造に使用される基板〔例えば、酸化珪素膜、窒化珪素膜又は酸化窒化珪素膜で被覆されたシリコンウエハー等の半導体基板、窒化珪素基板、石英基板、ガラス基板(無アルカリガラス、低アルカリガラス、結晶化ガラスを含む。)、ITO(インジウムスズ酸化物)膜やIZO(インジウム亜鉛酸化物)膜が形成されたガラス基板、プラスチック(ポリイミド、PET等)基板、低誘電率材料(low-k材料)被覆基板、フレキシブル基板等〕の上に、スピナー、コーター等の適当な塗布方法により、本発明のシリコン含有レジスト下層膜形成用組成物を塗布し、その後、ホットプレート等の加熱手段を用いて焼成することによって組成物を硬化膜とし、レジスト下層膜を形成する。以下、本明細書において、レジスト下層膜とは、本発明のシリコン含有レジスト下層膜形成用組成物より形成される膜をいう。
 焼成する条件としては、焼成温度40℃~400℃、又は80℃~250℃、焼成時間0.3分間~60分間の中から適宜選択される。好ましくは、焼成温度150℃~250℃、焼成時間0.5分間~2分間である。
 一般に、レジスト下層膜の膜厚は、例えば、10nm~1,000nm程度とすることができる。前述したとおり近年、レジストの薄膜化を受け、レジスト下層膜もより薄いものであることが求められており、本発明においては、上記10nm~1,000nmの膜厚のレジスト下層膜のみならず、10nm以下の膜厚を有するレジスト下層膜を対象とする。上記本発明のシリコン含有レジスト下層膜形成用組成物を用いることにより、その硬化物である本発明のレジスト下層膜は、その膜厚が10nm以下であっても、上層に形成されるレジストパターンの倒壊などのパターン不良が抑制できるレジスト下層膜となる。例えば本発明のレジスト下層膜は、その膜厚を1nm~10nmとすることができる。
 なお上記レジスト下層膜の形成時に使用するレジスト下層膜形成用組成物として、ナイロンフィルタろ過したレジスト下層膜形成用組成物組成物を用いることができる。ここでナイロンフィルタろ過したレジスト下層膜形成用組成物組成物とは、レジスト下層膜形成用組成物を製造する途中の段階において、又は全ての成分を混合した後に、ナイロンフィルタろ過を行った組成物を指す。
 なお本発明のその他の態様として、上記基板上に有機下層膜を形成した後、この上に上記レジスト下層膜を形成した態様としてもよい。
 ここで使用する有機下層膜としては、特に制限はなく、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができる。
 基板上に、有機下層膜、その上にレジスト下層膜、さらにその上に後述するレジスト膜を設けた態様とすることにより、フォトレジスト膜のパターン幅が狭くなり、パターン倒れを防ぐ為にフォトレジスト膜を薄く被覆した場合でも、後述する適切なエッチングガスを選択することにより基板の加工が可能になる。例えば、フォトレジスト膜に対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いて、本発明のシリコン含有レジスト下層膜の加工が可能であり、また本発明のシリコン含有レジスト下層膜に対して十分に早いエッチング速度を有する酸素系ガスをエッチングガスとして用いて、有機下層膜の加工が可能であり、更に有機下層膜に対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いて、基板の加工を行うことができる。
 なお、この際に用い得る基板及び塗布方法は、上述したものと同じものが挙げられる。
 次いで、上記レジスト下層膜の上に、例えばフォトレジスト材料の層(レジスト膜)が形成される。レジスト膜の形成は周知の方法にて、すなわち、レジスト下層膜の上に、塗布型レジスト材料(例えばフォトレジスト膜形成用組成物)を塗布し焼成することによって行なうことができる。
 レジスト膜の膜厚は、例えば10nm~10,000nmであり、又は100nm~2,000nmであり、又は200nm~1,000nmであり、又は30nm~200nmである。
 上記レジスト下層膜上に形成されるレジスト膜に使用されるフォトレジスト材料としては、露光に使用される光(例えば、KrFエキシマレーザー、ArFエキシマレーザー等)に感光するものであれば特に限定はされず、ネガ型フォトレジスト材料及びポジ型フォトレジスト材料のいずれも使用できる。例えば、ノボラック樹脂と1,2-ナフトキノンジアジドスルホン酸エステルとからなるポジ型フォトレジスト材料、酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと光酸発生剤からなる化学増幅型フォトレジスト材料、酸により分解してフォトレジスト材料のアルカリ溶解速度を上昇させる低分子化合物とアルカリ可溶性バインダーと光酸発生剤とからなる化学増幅型フォトレジスト材料、及び酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと酸により分解してフォトレジスト材料のアルカリ溶解速度を上昇させる低分子化合物と光酸発生剤からなる化学増幅型フォトレジスト材料等がある。
 市販品として入手可能な具体例としては、シプレー社製商品名APEX-E、住友化学(株)製商品名PAR710、JSR(株)製;商品名AR2772JN、及び信越化学工業(株)製商品名SEPR430等が挙げられるが、これらに限定されない。また、例えば、Proc.SPIE,Vol.3999,330-334(2000)、Proc.SPIE,Vol.3999,357-364(2000)、やProc.SPIE,Vol.3999,365-374(2000)に記載されているような、含フッ素原子ポリマー系フォトレジスト材料を挙げることができる。
 また、上記レジスト下層膜上に形成されるレジスト膜には、フォトレジスト膜に替えて電子線リソグラフィー用レジスト膜(電子線レジスト膜とも称する)、又はEUVリソグラフィー用レジスト膜(EUVレジスト膜とも称する)を用いることができ、すなわち、本発明のシリコン含有レジスト下層膜形成用組成物は、電子線リソグラフィー用レジスト下層膜形成用又はEUVリソグラフィー用レジスト下層膜形成用として用いることができる。特にEUVリソグラフィー用レジスト下層膜形成用組成物として好適である。
 上記電子線レジスト材料としては、ネガ型材料、ポジ型材料いずれも使用できる。その具体例としては、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーからなる化学増幅型レジスト材料、アルカリ可溶性バインダーと酸発生剤と酸により分解してレジスト材料のアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト材料、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーと酸により分解してレジスト材料のアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト材料、電子線によって分解してアルカリ溶解速度を変化させる基を有するバインダーからなる非化学増幅型レジスト材料、電子線によって切断されアルカリ溶解速度を変化させる部位を有するバインダーからなる非化学増幅型レジスト材料などがある。これらの電子線レジスト材料を用いた場合も、照射源を電子線としてフォトレジスト材料を用いた場合と同様にレジスト膜のパターンを形成することができる。
 また上記EUVレジスト材料としては、メタクリレート樹脂系レジスト材料を用いることができる。
 次に、レジスト下層膜の上層に形成されたレジスト膜に対して、所定のマスク(レクチル)を通して露光を行う。露光には、KrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)、F2エキシマレーザー(波長157nm)、EUV(波長13.5nm)、電子線等を使用することができる。
 露光後、必要に応じて露光後加熱(post exposure bake)を行なうこともできる。露光後加熱は、加熱温度70℃~150℃、加熱時間0.3分間~10分間から適宜選択された条件で行われる。
 次いで、現像液(例えばアルカリ現像液)によって現像が行なわれる。これにより、例えばポジ型フォトレジスト膜が使用された場合は、露光された部分のフォトレジスト膜が除去され、フォトレジスト膜のパターンが形成される。
 現像液(アルカリ現像液)としては、水酸化カリウム、水酸化ナトリウムなどのアルカリ金属水酸化物の水溶液、水酸化テトラメチルアンモニウム、水酸化テトラエチルアンモニウム、コリンなどの水酸化四級アンモニウムの水溶液、エタノールアミン、プロピルアミン、エチレンジアミンなどのアミン水溶液等のアルカリ性水溶液(アルカリ現像液)等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度5~50℃、時間10秒~600秒から適宜選択される。
 また本発明では、現像液として有機溶剤を用いることができ、露光後に現像液(溶剤)によって現像が行なわれる。これにより、例えばネガ型フォトレジスト膜が使用された場合は、露光されていない部分のフォトレジスト膜が除去され、フォトレジスト膜のパターンが形成される。
 現像液(有機溶剤)としては、例えば、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸アミル、酢酸イソアミル、メトキシ酢酸エチル、エトキシ酢酸エチル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、エチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート、ジエチレングリコールモノプロピルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、2-メトキシブチルアセテート、3-メトキシブチルアセテート、4-メトキシブチルアセテート、3-メチル-3-メトキシブチルアセテート、3-エチル-3-メトキシブチルアセテート、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、2-エトキシブチルアセテート、4-エトキシブチルアセテート、4-プロポキシブチルアセテート、2-メトキシペンチルアセテート、3-メトキシペンチルアセテート、4-メトキシペンチルアセテート、2-メチル-3-メトキシペンチルアセテート、3-メチル-3-メトキシペンチルアセテート、3-メチル-4-メトキシペンチルアセテート、4-メチル-4-メトキシペンチルアセテート、プロピレングリコールジアセテート、ギ酸メチル、ギ酸エチル、ギ酸ブチル、ギ酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、炭酸エチル、炭酸プロピル、炭酸ブチル、ピルビン酸メチル、ピルビン酸エチル、ピルビン酸プロピル、ピルビン酸ブチル、アセト酢酸メチル、アセト酢酸エチル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、2-ヒドロキシプロピオン酸メチル、2-ヒドロキシプロピオン酸エチル、メチル-3-メトキシプロピオネート、エチル-3-メトキシプロピオネート、エチル-3-エトキシプロピオネート、プロピル-3-メトキシプロピオネート等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度は5℃~50℃、時間は10秒~600秒から適宜選択される。
 このようにして形成されたフォトレジスト膜(上層)のパターンを保護膜としてレジスト下層膜(中間層)の除去を行い、次いでパターン化されたレジスト下層膜(中間層)のパターンを保護膜として、有機下層膜(下層)の除去を行う。そして最後に、パターン化されたレジスト下層膜(中間層)及び、パターン化された有機下層膜(下層)を保護膜として、基板の加工を行う。
 レジスト膜(上層)のパターンを保護膜として行われるレジスト下層膜(中間層)の除去(パターン化)はドライエッチングによって行われ、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、一酸化炭素、アルゴン、酸素、窒素、六フッ化硫黄、ジフルオロメタン、三フッ化窒素、三フッ化塩素、塩素、トリクロロボラン及びジクロロボラン等のガスを使用することができる。
 なおレジスト下層膜のドライエッチングには、ハロゲン系ガスを使用することが好ましい。ハロゲン系ガスによるドライエッチングでは、基本的に有機物質からなるレジスト膜(フォトレジスト膜)は除去されにくい。それに対し、ケイ素原子を多く含むシリコン含有レジスト下層膜はハロゲン系ガスによって速やかに除去される。そのため、該レジスト下層膜のドライエッチングに伴うフォトレジスト膜の膜厚の減少を抑えることができる。そして、その結果、フォトレジスト膜を薄膜で使用することが可能となる。従って、レジスト下層膜のドライエッチングはフッ素系ガスによることが好ましく、フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、ジフルオロメタン(CH)等が挙げられるが、これらに限定されない。
 基板とレジスト下層膜の間に有機下層膜を有している場合、次いで、(残存している場合にはパターン化されたレジスト膜(上層)と)そしてパターン化されたレジスト下層膜(中間層)からなる膜を保護膜として行われる有機下層膜(下層)の除去(パターン化)は、酸素系ガス(酸素ガス、酸素/硫化カルボニル(COS)混合ガス等)によるドライエッチングによって行なわれることが好ましい。これは、ケイ素原子を多く含む本発明のシリコン含有レジスト下層膜は、酸素系ガスによるドライエッチングでは除去されにくいことによる。
 その後、(残存している場合には)パターン化されたレジスト下層膜(中間層)、及び所望によりパターン化された有機下層膜(下層)を保護膜として行われる(半導体)基板の加工(パターン化)は、フッ素系ガスによるドライエッチングによって行なわれることが好ましい。
 フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、及びジフルオロメタン(CH)等が挙げられる。
 上記有機下層膜の除去(パターン化)後、又は、基板の加工(パターン化)後、レジスト下層膜の除去が行われ得る。レジスト下層膜の除去は、ドライエッチング又はウェットエッチングにより実施され得る。
 レジスト下層膜のドライエッチングは、上述のパターン化において挙げたようにフッ素系ガスによることが好ましく、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、ジフルオロメタン(CH)等が挙げられるが、これらに限定されない。
 レジスト下層膜のウェットエッチングに使用される薬液としては、希フッ酸(フッ化水素酸)、バッファードフッ酸(HFとNHFの混合溶液)、塩酸と過酸化水素を含む水溶液(SC-2薬液)、硫酸と過酸化水素を含む水溶液(SPM薬液)、弗酸と過酸化水素を含む水溶液(FPM薬液)や、アンモニアと過酸化水素を含む水溶液(SC-1薬液)等のアルカリ性溶液が挙げられる。また上記アルカリ性溶液としては、前述のアンモニアと過酸化水素水と水の混合によるアンモニア過水(SC-1薬液)のほか、アンモニア、テトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、コリンヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド、DBU(ジアザビシクロウンデセン)、DBN(ジアザビシクロノネン)、ヒドロキシルアミン、1-ブチル-1-メチルピロリジニウムヒドロキシド、1-プロピル-1-メチルピロリジニウムヒドロキシド、1-ブチル-1-メチルピペリジニウムヒドロキシド、1-プロピル-1-メチルピペリジニウムヒドロキシド、メピクアトヒドロキシド、トリメチルスルホニウムヒドロキシド、ヒドラジン類、エチレンジアミン類、又はグアニジンを1~99質量%含有する水溶液を挙げることができる。これら薬液は混合して用いることもできる。
 またレジスト下層膜の上層には、レジスト膜の形成前に有機系の反射防止膜を形成することができる。そこで使用される反射防止膜組成物としては特に制限はなく、例えば、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができ、また、慣用されている方法、例えば、スピナー、コーターによる塗布及び焼成によって反射防止膜の形成を行なうことができる。
 また、本発明のシリコン含有レジスト下層膜形成用組成物が塗布される基板は、その表面にCVD法などで形成された有機系又は無機系の反射防止膜を有するものであってもよく、その上にレジスト下層膜を形成することもできる。基板上に有機下層膜を形成した後、この上に本発明のレジスト下層膜を形成する場合も、用いる基板は、その表面にCVD法などで形成された有機系又は無機系の反射防止膜を有するものであってもよい。
 本発明のシリコン含有レジスト下層膜形成用組成物より形成されるレジスト下層膜はまた、リソグラフィープロセスにおいて使用される光の波長によっては、その光に対する吸収を有することがある。そして、そのような場合には、基板からの反射光を防止する効果を有する反射防止膜として機能することができる。
 さらに上記レジスト下層膜は、基板とレジスト膜(フォトレジスト膜等)との相互作用の防止するための層、レジスト膜に用いられる材料又はレジスト膜への露光時に生成する物質の基板への悪作用を防ぐ機能を有する層、加熱焼成時に基板から生成する物質の上層レジスト膜への拡散を防ぐ機能を有する層、及び半導体基板誘電体層によるレジスト膜のポイズニング効果を減少させるためのバリア層等として使用することも可能である。
 上記レジスト下層膜は、デュアルダマシンプロセスで用いられるビアホールが形成された基板に適用され得、ホールを隙間なく充填することができる穴埋め材(埋め込み材)として使用できる。また、凹凸のある半導体基板の表面を平坦化するための平坦化材として使用することもできる。
 また上記レジスト下層膜は、EUVレジスト膜の下層膜として、ハードマスクとしての機能以外にも、例えばEUVレジスト膜とインターミキシングすることなく、EUV露光(波長13.5nm)に際して好ましくない露光光、例えばUV(紫外)光やDUV(深紫外)光(:ArF光、KrF光)の基板又は界面からの反射を防止することができる、EUVレジスト膜の下層反射防止膜として、用いることができる。すなわちEUVレジスト膜の下層として効率的に反射を防止することができる。EUVレジスト下層膜として用いた場合は、そのプロセスはフォトレジスト用下層膜と同様に行うことができる。
 以上説明した本発明のレジスト下層膜と、半導体基板とを備える半導体加工用基板は、これを用いることによって、好適に半導体基板を加工することができる。
 また、上述した通りの、有機下層膜を形成する工程と、該有機下層膜上に、本発明のシリコン含有レジスト下層膜形成用組成物を用いてシリコン含有レジスト下層膜を形成する工程と、該シリコン含有レジスト下層膜上に、レジスト膜を形成する工程とを含む、半導体素子の製造方法によれば、精度の高い半導体基板の加工を再現性よく実現できるため、半導体素子の安定的な製造を期待できる。
 以下、合成例および実施例を挙げて、本発明をより具体的に説明するが、本発明は下記実施例のみに限定されるものではない。
 なお実施例において、試料の物性の分析に使用した装置及び条件は、以下のとおりである。
(1)分子量測定
 本発明で用いるポリシロキサンの分子量は、GPC分析によるポリスチレン換算で得られる分子量である。
 GPCの測定条件は、例えばGPC装置(商品名HLC-8220GPC、東ソー株式会社製)、GPCカラム(商品名Shodex(登録商標)KF803L、KF802、KF801、昭和電工株式会社製)、カラム温度は40℃、溶離液(溶出溶媒)はテトラヒドロフラン、流量(流速)は1.0mL/min、標準試料はポリスチレン(昭和電工株式会社製)を用いて行うことができる。
(2)H-NMR
 JEOL製核磁気共鳴装置H-NMR(400MHz)、溶媒はd6-Acetoneを用いて評価した。
[1]ポリマー(加水分解縮合物)の合成
(合成例1)
 テトラエトキシシラン23.5g、メチルトリエトキシシラン7.2g、フェニルトリメトキシシラン1.6g、プロピレングリコールモノエチルエーテル182.8gを300mLのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.2M硝酸水溶液19.3gを滴下した。
 滴下後、60℃に調整されたオイルバスにフラスコを移し、20時間還流させた。その後、反応副生物である、エタノール、メタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノエチルエーテルを加え、プロピレングリコールモノエチルエーテル100%の溶媒比率として150℃における固形残物換算で20質量パーセントとなるように濃度調整し、ナイロン製フィルタ(孔径0.1μm)にてろ過を行った。得られたポリマーは下記式(E1)で表される構造を含むポリシロキサン(P1)を含み、その重量平均分子量は、GPCによるポリスチレン換算でMw1,300であった。
Figure JPOXMLDOC01-appb-C000044
(合成例2)
 テトラエトキシシラン23.5g、メチルトリエトキシシラン7.2g、フェニルトリメトキシシラン1.6g、プロピレングリコールモノエチルエーテル129.2gを300mLのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.2M硝酸水溶液19.3gを滴下した。
 滴下後、60℃に調整されたオイルバスにフラスコを移し、20時間還流させた。その後、反応副生物である、エタノール、メタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノエチルエーテルを加え、プロピレングリコールモノエチルエーテル100%の溶媒比率として150℃における固形残物換算で20質量パーセントとなるように濃度調整し、ナイロン製フィルタ(孔径0.1μm)にてろ過を行った。得られたポリマーは上記式(E1)で表される構造を含むポリシロキサン(P2)を含み、その重量平均分子量は、GPCによるポリスチレン換算でMw1,800であった。
(合成例3)
 テトラエトキシシラン23.5g、メチルトリエトキシシラン7.2g、フェニルトリメトキシシラン1.6g、プロピレングリコールモノエチルエーテル74.3gを300mLのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.2M硝酸水溶液19.3gを滴下した。
 滴下後、60℃に調整されたオイルバスにフラスコを移し、20時間還流させた。その後、反応副生物である、エタノール、メタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノエチルエーテルを加え、プロピレングリコールモノエチルエーテル100%の溶媒比率として150℃における固形残物換算で20質量パーセントとなるように濃度調整し、ナイロン製フィルタ(孔径0.1μm)にてろ過を行った。得られたポリマーは上記式(E1)で表される構造を含むポリシロキサン(P3)を含み、その重量平均分子量は、GPCによるポリスチレン換算でMw2,200であった。
(合成例4)
 テトラエトキシシラン23.5g、メチルトリエトキシシラン7.2g、フェニルトリメトキシシラン1.6g、プロピレングリコールモノエチルエーテル48.5gを300mLのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.2M硝酸水溶液19.3gを滴下した。
 滴下後、60℃に調整されたオイルバスにフラスコを移し、20時間還流させた。その後、反応副生物である、エタノール、メタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノエチルエーテルを加え、プロピレングリコールモノエチルエーテル100%の溶媒比率として150℃における固形残物換算で20質量パーセントとなるように濃度調整し、ナイロン製フィルタ(孔径0.1μm)にてろ過を行った。得られたポリマーは上記式(E1)で表される構造を含むポリシロキサン(P4)を含み、その重量平均分子量は、GPCによるポリスチレン換算でMw2,600であった。
(合成例5)
 テトラエトキシシラン23.5g、メチルトリエトキシシラン7.2g、フェニルトリメトキシシラン1.6g、プロピレングリコールモノエチルエーテル39.5gを300mLのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.2M硝酸水溶液19.3gを滴下した。
 滴下後、60℃に調整されたオイルバスにフラスコを移し、20時間還流させた。その後、反応副生物である、エタノール、メタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノエチルエーテルを加え、プロピレングリコールモノエチルエーテル100%の溶媒比率として150℃における固形残物換算で20質量パーセントとなるように濃度調整し、ナイロン製フィルタ(孔径0.1μm)にてろ過を行った。得られたポリマーは上記式(E1)で表される構造を含むポリシロキサン(P5)を含み、その重量平均分子量は、GPCによるポリスチレン換算でMw2,900であった。
 表1に、合成例1~合成例5で得られたポリマーの数平均分子量(Mn)、重量平均分子量(Mw)、平均分子量(Mz)並びに、分子量範囲が2500超であるポリシロキサンの割合を示す。
Figure JPOXMLDOC01-appb-T000045
[2]レジスト下層膜形成用組成物の調製
 上記合成例で得られたポリシロキサン(ポリマー)、酸(添加剤1)、縮合触媒(添加剤2)、高沸点グリコール化合物(添加剤3)、溶媒を表1に示す割合で混合し、0.1μmのフッ素樹脂製のフィルタでろ過することによって、レジスト下層膜形成用組成物をそれぞれ調製した。表1中の各添加量は質量部で示した。
 なお、加水分解縮合物(ポリマー)は合成例で得た該縮合物を含む溶液として組成物を調製しているが、表1中のポリマーの添加割合はポリマー溶液の添加量ではなく、ポリマー自体の添加量を示した。
 またDIWは超純水を、PGEEはプロピレングリコールモノエチルエーテルを、PGMEはプロピレングリコールモノメチルエーテルをそれぞれ意味する。
 さらに、MAはマレイン酸を、IMTEOSはトリエトキシシリルプロピル-4,5-ジヒドロイミダゾールを、TPSNO3はトリフェニルスルホニウム硝酸塩を、TEGEEはトリエチレングリコールモノエチルエーテルそれぞれ意味する。
Figure JPOXMLDOC01-appb-T000046
[3]有機レジスト下層膜形成用組成物の調製
 窒素下、100mLの四口フラスコにカルバゾール(6.69g、0.040mol、東京化成工業(株)製)、9-フルオレノン(7.28g、0.040mol、東京化成工業(株)製)、パラトルエンスルホン酸一水和物(0.76g、0.0040mol、東京化成工業(株)製)を加え、1,4-ジオキサン(6.69g、関東化学(株)製)を仕込み撹拌し、100℃まで昇温し溶解させ重合を開始した。24時間後、60℃まで放冷した。
 冷却した反応混合物に、クロロホルム(34g、関東化学(株)製)を加えて希釈し、希釈した混合物をメタノール(168g、関東化学(株)製)に添加して沈殿させた。
 得られた沈殿物をろ過して回収し、回収した固体を減圧乾燥機で80℃、24時間乾燥し、目的とする式(X)で表されるポリマー(以下PCzFLと略す)9.37gを得た。
 なお、PCzFLのH-NMRの測定結果は以下の通りであった。
H-NMR(400MHz,DMSO-d):δ7.03-7.55(br,12H),δ7.61-8.10(br,4H),δ11.18(br,1H)
 また、PCzFLの重量平均分子量Mwは、GPCによるポリスチレン換算では2,800、多分散度Mw/Mnは1.77であった。
Figure JPOXMLDOC01-appb-C000047
 PCzFL 20gと、架橋剤としてテトラメトキシメチルグリコールウリル(日本サイテック・インダストリーズ(株)(旧 三井サイテック(株))製、商品名パウダーリンク1174)3.0gと、触媒としてピリジニウムパラトルエンスルホネート0.30gと、界面活性剤としてメガファックR-30(DIC(株)製、商品名)0.06gとを混合し、混合物をプロピレングリコールモノメチルエーテルアセテート88gに溶解させ溶液とした。その後、該溶液を孔径0.10μmのポリエチレン製ミクロフィルタを用いてろ過し、更に、孔径0.05μmのポリエチレン製ミクロフィルタを用いてろ過して、多層膜によるリソグラフィープロセスに用いる有機レジスト下層膜形成用組成物を調製した。
[4]溶剤耐性及び現像液溶解性試験
 実施例1~6及び比較例1~4で調製した組成物を、スピナーを用いてシリコンウエハー上にそれぞれ塗布した。ホットプレート上で215℃1分間加熱し、Si含有レジスト下層膜をそれぞれ形成し、得られた下層膜の膜厚を計測した。
 その後、各Si含有レジスト下層膜上に、プロピレングリコールモノメチルエーテル/プロピレングリコールモノメチルエーテルアセテートの混合溶媒(7/3(V/V))を塗布してスピン乾燥した。塗布後の下層膜の膜厚を計測し、混合溶媒の塗布前の膜厚を基準(100%)として、混合溶媒塗布後の膜厚の変化の割合(%)を算出した。混合溶媒塗布前後での膜厚変化の割合が1%以下のものを「良好」、膜厚変化の割合が1%超のものを「硬化せず」と評価した。
 また同様の方法でシリコンウエハー上に作製した各Si含有レジスト下層膜上に、アルカリ現像液(水酸化テトラメチルアンモニウム(TMAH)2.38%水溶液)を塗布してスピン乾燥し、塗布後の下層膜の膜厚を計測し、現像液塗布前の膜厚を基準(100%)として、現像液塗布後の膜厚の変化の割合(%)を算出した。現像液塗布前後の膜厚の変化の割合が1%以下のものを「良好」、膜厚変化の割合が1%超のものを「硬化せず」と評価した。
 得られた結果を表3に示す。
Figure JPOXMLDOC01-appb-T000048
[5]EUV露光によるレジストパターンの形成:ポジ型アルカリ現像
 上記有機レジスト下層膜形成用組成物を、スピナーを用いてシリコンウエハー上に塗布し、ホットプレート上で215℃60秒間ベークし、膜厚60nmの有機下層膜(A層)を形成した。
 その上に、実施例1で得られた組成物をスピンコートし、215℃で1分間加熱することにより、膜厚10nmのレジスト下層膜(B層)を形成した。
 更にその上に、EUV用レジスト溶液(メタクリレート樹脂系レジスト)をスピンコートし、130℃で1分間加熱することにより、EUVレジスト膜(C層)を形成し、その後、ASML製EUV露光装置(NXE3300B)を用い、NA=0.33、σ=0.67/0.90、Dipoleの条件にて露光した。なお露光時には、下記現像後にEUVレジストのライン幅およびライン間の幅が22nmとなるように、すなわち22nmのラインアンドスペース(L/S)=1/1のデンスラインが形成されるように設定されたマスクを通して露光を行った。
 露光後、露光後加熱(PEB、110℃1分間)を行い、クーリングプレート上で室温まで冷却し、アルカリ現像液(2.38%TMAH水溶液)を用いて60秒現像し、リンス処理をし、レジストパターンを形成した。
 同様の手順にて、実施例2~4(膜厚10nm)、実施例5~6(膜厚5nm)、比較例1(膜厚20nm)、比較例2~4(膜厚10nm)で得られた各組成物を用いてレジストパターンを形成した。
 そして得られた各パターンについて、44nmピッチ、22nmのラインアンドスペースの形成可否を、パターン断面観察によるパターン形状を確認することで評価した。
 パターン形状の観察において、フッティングからアンダーカットの間の形状であり、かつスペース部に著しい残渣がないという状態を「良好」、レジストパターンが剥がれ倒壊しているという好ましくない状態を「倒れ」、レジストパターンの上部もしくは下部同士が接触しているという好ましくない状態を「ブリッジ」と評価した。得られた結果を表4に示す。
Figure JPOXMLDOC01-appb-T000049
 表2~表4に示すように、分子量が2500以上のポリシロキサンの割合が19%以下の実施例1~実施例6の組成物は、溶剤耐性及び現像液耐性を有し、フォトレジストのパターン形成性に優れたレジスト下層膜を形成できるとともに、5nmの膜厚においてパターニング特性に優れる組成物であることが確認された。
 一方、分子量が2500を超えるポリシロキサンの割合が30%以上のポリシロキサン配合する比較例1~比較例4の組成物あっては、表4に示すように、20nmの膜厚では良好なパターニング特性が得られるが膜厚10nmにおいてパターニング形状が「倒れ」評価となり、パターニング特性に劣る結果となった。
 

Claims (20)

  1. [A]ゲル浸透クロマトグラフィー(GPC)分析によるポリスチレン換算にて得られる重量平均分子量が1,800以下であり、かつ、
    ゲル浸透クロマトグラフィー(GPC)分析によるポリスチレン換算にて得られる積分分子量分布曲線において分子量2,500超の割合が20%未満である、ポリシロキサン、及び
    [B]溶媒
    を含有する、シリコン含有レジスト下層膜形成用組成物。
  2. 上記[A]ポリシロキサンが、ゲル浸透クロマトグラフィー(GPC)分析によるポリスチレン換算にて得られる積分分子量分布曲線において分子量2,000超の割合が35%未満のポリシロキサンである、
    請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  3. 上記[A]ポリシロキサンが、1,100以上1,800以下の重量平均分子量を有する、請求項1又は請求項2に記載のシリコン含有レジスト下層膜形成用組成物。
  4. 膜厚10nm以下のレジスト下層膜でもパターン形成可能な組成物である、請求項1乃至請求項3のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物。
  5. 上記[A]ポリシロキサンが、下記式(1)で表される少なくとも1種の加水分解性シランを含む加水分解性シランの加水分解縮合物、該縮合物が有するシラノール基の少なくとも一部がアルコール変性された加水分解縮合物の変性物、該縮合物が有するシラノール基の少なくとも一部がアセタール保護された加水分解縮合物の変性物、及び、該縮合物とアルコールの脱水反応物からなる群から選択される少なくとも一種を含む、
    請求項1乃至請求項4のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000001
    (式中、
    は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、もしくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、もしくはシアノ基を有する有機基、又はそれらの組み合わせを表し、
    は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表し、
    aは0乃至3の整数を表す。)
  6. 硬化触媒を含有しない、請求項1乃至請求項5のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物。
  7. 上記[B]溶媒が、水を含む、請求項1乃至請求項6のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物。
  8. pH調整剤を更に含む、請求項1乃至請求項7のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物。
  9. [C]標準沸点が230.0℃以上であり、かつ、下記式(2)で表されるグリコール化合物を含む、請求項1乃至請求項8のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000002
    (式中、R及びRは、それぞれ独立して、水素原子、炭素原子数1乃至4のアルキル基又は炭素原子数3乃至4のアシル基を表し、nは3以上の整数を表す)
  10. 界面活性剤を更に含む、請求項1乃至請求項9のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物。
  11. 金属酸化物を更に含む、請求項1乃至請求項10のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物。
  12. EUVリソグラフィー用レジスト下層膜形成用である、請求項1乃至請求項11のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物。
  13. 請求項1乃至請求項12のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物の硬化物である、レジスト下層膜。
  14. 10nm以下の膜厚を有する、請求項13に記載のレジスト下層膜。
  15. 半導体基板と、請求項13又は請求項14に記載のレジスト下層膜とを備える半導体加工用基板。
  16. 基板上に、有機下層膜を形成する工程と、
    上記有機下層膜上に、請求項1乃至請求項12のうちいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物を用いてシリコン含有レジスト下層膜を形成する工程と、
    上記シリコン含有レジスト下層膜上に、レジスト膜を形成する工程とを含む、
    半導体素子の製造方法。
  17. 上記シリコン含有レジスト下層膜を形成する工程において、ナイロンフィルタろ過したシリコン含有レジスト下層膜形成用組成物を使用する、
    請求項16に記載の製造方法。
  18. 請求項1乃至請求項12のいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物を半導体基板上に塗布し焼成することによって得られるレジスト下層膜。
  19. 請求項1乃至請求項12のいずれか一項に記載のシリコン含有レジスト下層膜形成用組成物を半導体基板上に塗布し、焼成しレジスト下層膜を形成する工程、前記下層膜の上にレジスト膜形成用組成物を塗布しレジスト膜を形成する工程、前記レジスト膜を露光する工程、露光後にレジストを現像しレジストパターンを得る工程、レジストパターンによりレジスト下層膜をエッチングする工程、及びパターン化されたレジスト下層膜により半導体基板を加工する工程を含む半導体装置の製造方法。
  20. 半導体基板上に有機下層膜を形成する工程、その上に請求項1乃至請求項12のいずれか一項に記載のレジスト下層膜形成用組成物を塗布し焼成しレジスト下層膜を形成する工程、前記レジスト下層膜の上にレジスト膜形成用組成物を塗布しレジスト膜を形成する工程、前記レジスト膜を露光する工程、露光後にレジストを現像しレジストパターンを得る工程、レジストパターンによりレジスト下層膜をエッチングする工程、パターン化されたレジスト下層膜により有機下層膜をエッチングする工程、及びパターン化された有機下層膜により半導体基板を加工する工程を含む半導体装置の製造方法。
     
PCT/JP2022/016071 2021-03-31 2022-03-30 シリコン含有レジスト下層膜形成用組成物 WO2022210901A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2023511488A JPWO2022210901A1 (ja) 2021-03-31 2022-03-30
KR1020237037208A KR20230165801A (ko) 2021-03-31 2022-03-30 실리콘함유 레지스트 하층막 형성용 조성물
CN202280025083.2A CN117083570A (zh) 2021-03-31 2022-03-30 含有硅的抗蚀剂下层膜形成用组合物

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021-061652 2021-03-31
JP2021061652 2021-03-31

Publications (1)

Publication Number Publication Date
WO2022210901A1 true WO2022210901A1 (ja) 2022-10-06

Family

ID=83459549

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2022/016071 WO2022210901A1 (ja) 2021-03-31 2022-03-30 シリコン含有レジスト下層膜形成用組成物

Country Status (5)

Country Link
JP (1) JPWO2022210901A1 (ja)
KR (1) KR20230165801A (ja)
CN (1) CN117083570A (ja)
TW (1) TW202248296A (ja)
WO (1) WO2022210901A1 (ja)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009109985A (ja) * 2007-10-12 2009-05-21 Shin Etsu Chem Co Ltd パターン形成方法
WO2016080217A1 (ja) * 2014-11-19 2016-05-26 日産化学工業株式会社 湿式除去が可能なシリコン含有レジスト下層膜形成組成物
JP2018013768A (ja) * 2016-07-07 2018-01-25 信越化学工業株式会社 レジスト下層膜材料、パターン形成方法、レジスト下層膜形成方法、及びレジスト下層膜材料用化合物
WO2018181989A1 (ja) * 2017-03-31 2018-10-04 日産化学株式会社 カルボニル構造を有するシリコン含有レジスト下層膜形成組成物
WO2019082934A1 (ja) * 2017-10-25 2019-05-02 日産化学株式会社 アンモニウム基を有する有機基を含むシリコン含有レジスト下層膜形成組成物を用いる半導体装置の製造方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4553835B2 (ja) 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009109985A (ja) * 2007-10-12 2009-05-21 Shin Etsu Chem Co Ltd パターン形成方法
WO2016080217A1 (ja) * 2014-11-19 2016-05-26 日産化学工業株式会社 湿式除去が可能なシリコン含有レジスト下層膜形成組成物
JP2018013768A (ja) * 2016-07-07 2018-01-25 信越化学工業株式会社 レジスト下層膜材料、パターン形成方法、レジスト下層膜形成方法、及びレジスト下層膜材料用化合物
WO2018181989A1 (ja) * 2017-03-31 2018-10-04 日産化学株式会社 カルボニル構造を有するシリコン含有レジスト下層膜形成組成物
WO2019082934A1 (ja) * 2017-10-25 2019-05-02 日産化学株式会社 アンモニウム基を有する有機基を含むシリコン含有レジスト下層膜形成組成物を用いる半導体装置の製造方法

Also Published As

Publication number Publication date
KR20230165801A (ko) 2023-12-05
TW202248296A (zh) 2022-12-16
JPWO2022210901A1 (ja) 2022-10-06
CN117083570A (zh) 2023-11-17

Similar Documents

Publication Publication Date Title
WO2016093172A1 (ja) ハロゲン含有カルボン酸アミド基を有する加水分解性シランを含むリソグラフィー用レジスト下層膜形成組成物
JP2023175874A (ja) 保護されたフェノール基と硝酸を含むシリコン含有レジスト下層膜形成組成物
JP2021189314A (ja) シリコン含有レジスト下層膜の製造方法
WO2020196563A1 (ja) 膜形成用組成物
KR20210082177A (ko) 막형성용 조성물
WO2023037979A1 (ja) シリコン含有レジスト下層膜形成用組成物、該組成物を用いた積層体、及び半導体素子の製造方法
WO2022230940A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022114132A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022210960A1 (ja) 誘導自己組織化用シリコン含有下層膜形成用組成物
JP7495015B2 (ja) 添加剤含有シリコン含有レジスト下層膜形成組成物
WO2022210901A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022210944A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2023136250A1 (ja) シリコン含有レジスト下層膜形成用組成物、及びシリコン含有レジスト下層膜
WO2023008507A1 (ja) シリコン含有レジスト下層膜形成用組成物、及びシリコン含有レジスト下層膜
WO2022114134A1 (ja) レジスト下層膜形成用組成物
WO2022260154A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022210954A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2024063044A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2024019064A1 (ja) 多官能スルホン酸を含むシリコン含有レジスト下層膜形成用組成物
WO2021221171A1 (ja) レジスト下層膜形成用組成物
WO2024009993A1 (ja) 積層体の製造方法、及び半導体素子の製造方法
WO2023157943A1 (ja) 不飽和結合及び環式構造を有するシリコン含有レジスト下層膜形成組成物
WO2023074777A1 (ja) 添加剤含有シリコン含有レジスト下層膜形成組成物
WO2020196642A1 (ja) 膜形成用組成物
KR20220162140A (ko) 막 형성용 조성물

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22781111

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2023511488

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 202280025083.2

Country of ref document: CN

ENP Entry into the national phase

Ref document number: 20237037208

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 18284994

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 22781111

Country of ref document: EP

Kind code of ref document: A1