WO2023026559A1 - 光検出装置及び電子機器 - Google Patents

光検出装置及び電子機器 Download PDF

Info

Publication number
WO2023026559A1
WO2023026559A1 PCT/JP2022/013959 JP2022013959W WO2023026559A1 WO 2023026559 A1 WO2023026559 A1 WO 2023026559A1 JP 2022013959 W JP2022013959 W JP 2022013959W WO 2023026559 A1 WO2023026559 A1 WO 2023026559A1
Authority
WO
WIPO (PCT)
Prior art keywords
insulating film
photodetector
connection pad
metal
layer
Prior art date
Application number
PCT/JP2022/013959
Other languages
English (en)
French (fr)
Inventor
裕史 磯部
太一 山田
陽一 根来
淳 戸田
Original Assignee
ソニーセミコンダクタソリューションズ株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ソニーセミコンダクタソリューションズ株式会社 filed Critical ソニーセミコンダクタソリューションズ株式会社
Priority to DE112022004086.6T priority Critical patent/DE112022004086T5/de
Priority to CN202280055500.8A priority patent/CN117882191A/zh
Priority to JP2023543671A priority patent/JPWO2023026559A1/ja
Publication of WO2023026559A1 publication Critical patent/WO2023026559A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked

Definitions

  • the present technology (technology according to the present disclosure) relates to a photodetector and electronic equipment, and more particularly to a laminated photodetector and electronic equipment.
  • wafers may be directly bonded to each other by hybrid bonding.
  • hybrid bonding wafers are electrically bonded by bonding metal connection pads formed on wiring layers (for example, Patent Document 1).
  • a low dielectric constant insulating material is sometimes used as the insulating film of the wiring layer (for example, Patent Document 2).
  • connection pads are heat-treated after being superimposed.
  • this heat treatment is performed, the metal forming the connection pads expands. This suppresses the deterioration of the contact between the connection pads of the pads.
  • the dimensions of such connection pads are becoming smaller with the miniaturization. As the dimensions of the connection pads decrease, the capacitance of the metal that makes up the connection pads decreases. Then, when the volume of the metal decreases, the amount of expansion due to heat treatment decreases. Also, low dielectric constant insulating materials are known to have a lower Young's modulus than, for example, silicon oxide.
  • An object of the present technology is to provide a photodetector and an electronic device in which deterioration of contact between connection pads is suppressed.
  • a photodetector includes at least two semiconductor layers, an insulating film interposed between the semiconductor layers, and a connection pad provided on the insulating film, respectively, wherein the connection pad a wiring layer on one side in the stacking direction and a wiring layer on the other side in the stacking direction, which are electrically connected to each other by bonding the surfaces of the at least two semiconductor layers, the light incident surface side of the at least two semiconductor layers
  • the semiconductor layer has a photoelectric conversion region
  • the insulating film is made of a first insulating film and a material having higher rigidity than the material constituting the first insulating film, and penetrates the first insulating film in the stacking direction.
  • a second insulating film provided between the connection pad and the at least one semiconductor layer.
  • a photodetector includes at least two semiconductor layers, an insulating film interposed between the semiconductor layers, and a connection pad provided on the insulating film, respectively, A wiring layer on one side in the stacking direction and a wiring layer on the other side in the stacking direction, which are electrically coupled to each other by bonding the surfaces of the connection pads to each other;
  • the semiconductor layer on the surface side has a photoelectric conversion region, and at least one of the connection pads includes a first portion made of a first metal and constituting a surface of the connection pad, the first portion, and the insulating film. a second portion provided between and made of a second metal that is more likely to be plastically deformed than the first metal.
  • a photodetector includes at least two semiconductor layers, an insulating film interposed between the semiconductor layers, and a connection pad provided on the insulating film, respectively, A wiring layer on one side in the stacking direction and a wiring layer on the other side in the stacking direction, which are electrically coupled to each other by bonding the surfaces of the connection pads to each other;
  • the surface-side semiconductor layer has a photoelectric conversion region, and the coefficient of linear expansion of the material forming the third portion, which is the portion adjacent to the side surface of the connection pad in the insulating film, is adjacent to the bottom surface of the connection pad. smaller than the coefficient of linear expansion of the material forming the fourth portion.
  • An electronic device includes the photodetector and an optical system that forms an image of light from a subject on the photodetector.
  • FIG. 1 is a chip layout diagram showing a configuration example of a photodetector according to a first embodiment of the present technology
  • FIG. 1 is a block diagram showing a configuration example of a photodetector according to a first embodiment of the present technology
  • FIG. 1 is an equivalent circuit diagram of a pixel of a photodetector according to a first embodiment of the present technology
  • FIG. 1 is a longitudinal sectional view of a photodetector according to a first embodiment of the present technology
  • FIG. 4B is a partially enlarged view showing an enlarged main part of FIG. 4A
  • FIG. It is process sectional drawing which shows the manufacturing method of the photon detection apparatus which concerns on 1st Embodiment of this technique.
  • FIG. 1 is a chip layout diagram showing a configuration example of a photodetector according to a first embodiment of the present technology
  • FIG. 1 is a block diagram showing a configuration example of a photodetector according to a first embodiment of the present technology
  • FIG. 5B is a process cross-sectional view subsequent to FIG. 5A;
  • FIG. 5B is a process cross-sectional view subsequent to FIG. 5B;
  • FIG. 5C is a process cross-sectional view subsequent to FIG. 5C;
  • FIG. 5C is a process cross-sectional view subsequent to FIG. 5D;
  • 5F is a process cross-sectional view subsequent to FIG. 5E;
  • FIG. 5F is a process cross-sectional view subsequent to FIG. 5F;
  • FIG. 5G is a process cross-sectional view subsequent to FIG. 5G;
  • FIG. 5H is a process cross-sectional view subsequent to FIG. 5H;
  • FIG. 5I is a process cross-sectional view subsequent to FIG. 5I;
  • FIG. 5I is a process cross-sectional view subsequent to FIG. 5I;
  • FIG. 5J is a process cross-sectional view subsequent to FIG. 5J
  • FIG. 5K is a process cross-sectional view subsequent to FIG. 5K
  • FIG. 5L is a process cross-sectional view subsequent to FIG. 5L
  • FIG. 5M is a process cross-sectional view subsequent to FIG. 5M
  • FIG. 4 is a partial enlarged view showing an enlarged main part of a longitudinal section of a photodetector according to another form of the first embodiment of the present technology
  • FIG. 5 is a partial enlarged view showing an enlarged main part of a longitudinal section of a photodetector according to Modification 1 of the first embodiment of the present technology; It is process sectional drawing which shows the manufacturing method of the photodetector based on the modification 1 of 1st Embodiment of this technique.
  • FIG. 8B is a process cross-sectional view subsequent to FIG. 8A;
  • FIG. 8B is a process cross-sectional view subsequent to FIG. 8B;
  • FIG. 8C is a process cross-sectional view subsequent to FIG. 8C;
  • It is a longitudinal cross-sectional view of a photodetector according to a second embodiment of the present technology.
  • FIG. 7 is an explanatory diagram for explaining the configuration of a connection pad included in a photodetector according to a second embodiment of the present technology; It is process sectional drawing which shows the manufacturing method of the photon detection apparatus which concerns on 2nd Embodiment of this technique.
  • FIG. 11B is a process cross-sectional view subsequent to FIG. 11A.
  • FIG. 11B is a process cross-sectional view subsequent to FIG. 11B;
  • FIG. 11D is a process cross-sectional view subsequent to FIG. 11C.
  • FIG. 11D is a cross-sectional view of a process following FIG. 11D;
  • 11E is a process cross-sectional view subsequent to FIG. 11E; FIG. FIG.
  • FIG. 11 is an explanatory diagram for explaining a configuration of a connection pad included in a photodetector according to Modification 1 of the second embodiment of the present technology;
  • FIG. 11 is an explanatory diagram for explaining a configuration of a connection pad included in a photodetector according to Modification 2 of the second embodiment of the present technology;
  • It is a longitudinal cross-sectional view of a photodetector according to a third embodiment of the present technology.
  • FIG. 11 is an explanatory diagram for explaining the configuration of an insulating film around a connection pad included in a photodetector according to a third embodiment of the present technology;
  • It is process sectional drawing which shows the manufacturing method of the photon detection apparatus which concerns on 3rd Embodiment of this technique.
  • FIG. 16B is a process cross-sectional view following FIG. 16A;
  • FIG. FIG. 16B is a process cross-sectional view subsequent to FIG. 16B;
  • FIG. 16C is a process cross-sectional view subsequent to FIG. 16C;
  • FIG. 16C is a cross-sectional view of the process following FIG. 16D;
  • 16E is a process cross-sectional view subsequent to FIG. 16E;
  • FIG. 11 is an explanatory diagram for explaining the configuration of a contact layer included in a photodetector according to Modification 1 of the third embodiment of the present technology; It is process sectional drawing which shows the manufacturing method of the photodetector based on the modification 1 of 3rd Embodiment of this technique.
  • 18B is a process cross-sectional view following FIG.
  • FIG. 18A is a block diagram showing an example of a schematic configuration of a vehicle control system;
  • FIG. FIG. 18B is a process cross-sectional view subsequent to FIG. 18B;
  • FIG. 18C is a process cross-sectional view subsequent to FIG. 18C;
  • FIG. 18D is a process cross-sectional view subsequent to FIG. 18D;
  • 18E is a process cross-sectional view subsequent to FIG. 18E;
  • FIG. 18F is a process cross-sectional view subsequent to FIG. 18F;
  • FIG. It is a figure showing a schematic structure of electronic equipment concerning a 4th embodiment of this art.
  • 1 is a block diagram showing an example of a schematic configuration of a vehicle control system;
  • FIG. 4 is an explanatory diagram showing an example of installation positions of an outside information detection unit and an imaging unit; 1 is a diagram showing an example of a schematic configuration of an endoscopic surgery system; FIG. 3 is a block diagram showing an example of functional configurations of a camera head and a CCU; FIG.
  • each embodiment shown below exemplifies an apparatus and a method for embodying the technical idea of the present technology. It does not specify the layout, etc., to the following. Various modifications can be made to the technical idea of the present technology within the technical scope defined by the claims.
  • CMOS complementary metal oxide semiconductor
  • the photodetector 1 As shown in FIG. 1, the photodetector 1 according to the first embodiment of the present technology mainly includes a semiconductor chip 2 having a square two-dimensional planar shape when viewed from above. That is, the photodetector 1 is mounted on the semiconductor chip 2 . As shown in FIG. 19, the photodetector 1 takes in image light (incident light 106) from a subject through an optical system (optical lens) 102, and the amount of incident light 106 formed on an imaging plane is is converted into an electric signal for each pixel and output as a pixel signal.
  • image light incident light 106
  • optical system optical lens
  • a semiconductor chip 2 on which a photodetector 1 is mounted has a rectangular pixel region 2A provided in the center and a rectangular pixel region 2A in a two-dimensional plane including X and Y directions that intersect with each other.
  • a peripheral region 2B is provided outside the pixel region 2A so as to surround the pixel region 2A.
  • the pixel region 2A is a light receiving surface that receives light condensed by the optical system 102 shown in FIG. 19, for example.
  • a plurality of pixels 3 are arranged in a matrix on a two-dimensional plane including the X direction and the Y direction.
  • the pixels 3 are arranged repeatedly in each of the X and Y directions that intersect each other within a two-dimensional plane.
  • the X direction and the Y direction are orthogonal to each other as an example.
  • a direction orthogonal to both the X direction and the Y direction is the Z direction (thickness direction).
  • a plurality of bonding pads 14 are arranged in the peripheral region 2B.
  • Each of the plurality of bonding pads 14 is arranged, for example, along each of four sides in the two-dimensional plane of the semiconductor chip 2 .
  • Each of the plurality of bonding pads 14 is an input/output terminal used when electrically connecting the semiconductor chip 2 to an external device.
  • the semiconductor chip 2 includes a logic circuit 13 including a vertical drive circuit 4, a column signal processing circuit 5, a horizontal drive circuit 6, an output circuit 7, a control circuit 8, and the like.
  • the logic circuit 13 is composed of a CMOS (Complementary MOS) circuit having, for example, an n-channel conductivity type MOSFET (Metal Oxide Semiconductor Field Effect Transistor) and a p-channel conductivity type MOSFET as field effect transistors.
  • CMOS Complementary MOS
  • the vertical driving circuit 4 is composed of, for example, a shift register.
  • the vertical drive circuit 4 sequentially selects desired pixel drive lines 10, supplies pulses for driving the pixels 3 to the selected pixel drive lines 10, and drives the pixels 3 in row units. That is, the vertical drive circuit 4 sequentially selectively scans the pixels 3 in the pixel region 2A in the vertical direction row by row, and outputs signals from the pixels 3 based on the signal charges generated by the photoelectric conversion elements of the pixels 3 according to the amount of received light.
  • a pixel signal is supplied to the column signal processing circuit 5 through the vertical signal line 11 .
  • the column signal processing circuit 5 is arranged, for example, for each column of the pixels 3, and performs signal processing such as noise removal on the signals output from the pixels 3 of one row for each pixel column.
  • the column signal processing circuit 5 performs signal processing such as CDS (Correlated Double Sampling) and AD (Analog Digital) conversion for removing pixel-specific fixed pattern noise.
  • a horizontal selection switch (not shown) is connected between the output stage of the column signal processing circuit 5 and the horizontal signal line 12 .
  • the horizontal driving circuit 6 is composed of, for example, a shift register.
  • the horizontal driving circuit 6 sequentially outputs a horizontal scanning pulse to the column signal processing circuit 5 to select each of the column signal processing circuits 5 in order, and the pixels subjected to the signal processing from each of the column signal processing circuits 5 are selected.
  • a signal is output to the horizontal signal line 12 .
  • the output circuit 7 performs signal processing on pixel signals sequentially supplied from each of the column signal processing circuits 5 through the horizontal signal line 12 and outputs the processed signal.
  • signal processing for example, buffering, black level adjustment, column variation correction, and various digital signal processing can be used.
  • the control circuit 8 generates a clock signal and a control signal that serve as references for the operation of the vertical drive circuit 4, the column signal processing circuit 5, the horizontal drive circuit 6, etc. based on the vertical synchronization signal, the horizontal synchronization signal, and the master clock signal. Generate. The control circuit 8 then outputs the generated clock signal and control signal to the vertical drive circuit 4, the column signal processing circuit 5, the horizontal drive circuit 6, and the like.
  • FIG. 3 is an equivalent circuit diagram showing a configuration example of the pixel 3.
  • the pixel 3 includes a photoelectric conversion element PD, a charge accumulation region (floating diffusion) FD for accumulating (holding) signal charges photoelectrically converted by the photoelectric conversion element PD, and photoelectrically converted by the photoelectric conversion element PD. and a transfer transistor TR for transferring the signal charge to the charge accumulation region FD.
  • the pixel 3 also includes a readout circuit 15 electrically connected to the charge accumulation region FD.
  • the photoelectric conversion element PD generates signal charges according to the amount of light received.
  • the photoelectric conversion element PD also temporarily accumulates (holds) the generated signal charge.
  • the photoelectric conversion element PD has a cathode side electrically connected to the source region of the transfer transistor TR, and an anode side electrically connected to a reference potential line (for example, ground).
  • a photodiode for example, is used as the photoelectric conversion element PD.
  • the drain region of the transfer transistor TR is electrically connected to the charge storage region FD.
  • a gate electrode of the transfer transistor TR is electrically connected to a transfer transistor drive line among the pixel drive lines 10 (see FIG. 2).
  • the charge accumulation region FD temporarily accumulates and holds signal charges transferred from the photoelectric conversion element PD via the transfer transistor TR.
  • the readout circuit 15 reads out the signal charge accumulated in the charge accumulation region FD and outputs a pixel signal based on the signal charge.
  • the readout circuit 15 includes, but is not limited to, pixel transistors such as an amplification transistor AMP, a selection transistor SEL, and a reset transistor RST. These transistors (AMP, SEL, RST) have a gate insulating film made of, for example, a silicon oxide film ( SiO2 film), a gate electrode, and a pair of main electrode regions functioning as a source region and a drain region. It consists of MOSFETs.
  • These transistors may be MISFETs (Metal Insulator Semiconductor FETs) whose gate insulating film is a silicon nitride film (Si 3 N 4 film), or a laminated film of a silicon nitride film and a silicon oxide film.
  • MISFETs Metal Insulator Semiconductor FETs
  • the amplification transistor AMP has a source region electrically connected to the drain region of the selection transistor SEL, and a drain region electrically connected to the power supply line Vdd and the drain region of the reset transistor.
  • a gate electrode of the amplification transistor AMP is electrically connected to the charge storage region FD and the source region of the reset transistor RST.
  • the selection transistor SEL has a source region electrically connected to the vertical signal line 11 (VSL) and a drain electrically connected to the source region of the amplification transistor AMP.
  • a gate electrode of the select transistor SEL is electrically connected to a select transistor drive line among the pixel drive lines 10 (see FIG. 2).
  • the reset transistor RST has a source region electrically connected to the charge storage region FD and the gate electrode of the amplification transistor AMP, and a drain region electrically connected to the power supply line Vdd and the drain region of the amplification transistor AMP.
  • a gate electrode of the reset transistor RST is electrically connected to a reset transistor drive line among the pixel drive lines 10 (see FIG. 2).
  • the photodetector 1 (semiconductor chip 2) includes a condensing layer 90, a first semiconductor layer 20, a first wiring layer 30, a second wiring layer 40, and a second semiconductor layer 50. , a third wiring layer 60, a fourth wiring layer 70, and a third semiconductor layer 80 are laminated in this order.
  • the photodetector device 1 has three semiconductor layers: a first semiconductor layer 20, a second semiconductor layer 50, and a third semiconductor layer 80. In the example shown in FIG.
  • the condensing layer 90 has a laminated structure in which, for example, but not limited to, a color filter 91 and an on-chip lens 92 are laminated in that order from the second surface S2 side of the first semiconductor layer 20 .
  • the first semiconductor layer 20 has a photoelectric conversion region, which will be described later, and has a first surface S1 on one side and a second surface S2 on the other side as a light incident surface.
  • the first wiring layer 30 is overlaid on the first surface S ⁇ b>1 of the first semiconductor layer 20 .
  • the second wiring layer 40 is overlaid on the surface of the first wiring layer 30 opposite to the surface on the first semiconductor layer 20 side.
  • the second semiconductor layer 50 has a transistor, one surface is the third surface S3 and the other surface is the fourth surface S4, and the third surface S3 is the first wiring of the second wiring layer 40.
  • the surface on the layer 30 side and the surface on the opposite side are overlaid.
  • the third wiring layer 60 is overlaid on the fourth surface S4 of the second semiconductor layer 50 .
  • the fourth wiring layer 70 is overlaid on the surface of the third wiring layer 60 opposite to the surface facing the second semiconductor layer 50 .
  • the fifth surface S5 of the third semiconductor layer 80 overlaps the surface of the fourth wiring layer 70 on the side opposite to the third wiring layer 60 side.
  • the first surface S1 of the first semiconductor layer 20 is sometimes called an element forming surface or main surface
  • the second surface S2 of the first semiconductor layer 20 is sometimes called a light incident surface or a rear surface
  • the third surface S3 of the second semiconductor layer 50 is sometimes called an element forming surface or main surface
  • the fourth surface S4 of the second semiconductor layer 50 is sometimes called a back surface
  • the fifth surface S5 of the third semiconductor layer 80 is sometimes called an element forming surface or main surface, and the surface opposite to the fifth surface S5 is sometimes called a back surface.
  • the third surface S3 and the fifth surface S5 may have unevenness as shown in FIG. 4A.
  • the first semiconductor layer 20 is composed of a semiconductor substrate.
  • the first semiconductor layer 20 is composed of, for example, a single crystal silicon substrate, although not limited thereto.
  • the first semiconductor layer 20 exhibits a first conductivity type, eg, p-type.
  • the first semiconductor layer 20 is the semiconductor layer on the light incident surface side among the three semiconductor layers described above. More specifically, the first semiconductor layer 20 is the semiconductor layer located closest to the light incident surface side of the photodetector 1 among the three semiconductor layers described above.
  • a photoelectric conversion region 20 a is provided for each pixel 3 in the first semiconductor layer 20 .
  • the first semiconductor layer 20 for example, an island-shaped photoelectric conversion region 20a partitioned by an isolation region 20b is provided for each pixel 3.
  • the isolation region 20b has, but is not limited to, a trench structure in which, for example, an isolation trench is formed in the first semiconductor layer 20 and an insulating film is embedded in the isolation trench. In the example shown in FIG. 4A, the isolation trench is filled with an insulating film and metal.
  • the photoelectric conversion region 20a includes a first conductivity type, eg, p-type well region, and a second conductivity type, eg, n type semiconductor region (photoelectric conversion portion) embedded in the well region.
  • the photoelectric conversion element PD shown in FIG. 3 is configured in a photoelectric conversion region 20a including a well region of the first semiconductor layer 20 and a photoelectric conversion portion.
  • a transistor T1 may be provided in the photoelectric conversion region 20a.
  • the photoelectric conversion region 20a may be provided with a charge accumulation region (not shown) that is a semiconductor region of the second conductivity type, for example, an n-type.
  • the first wiring layer 30 and the second wiring layer 40 are interposed between the semiconductor layers, more specifically, between the first semiconductor layer 20 and the second semiconductor layer 50 . Also, one of the first wiring layer 30 and the second wiring layer 40 is a wiring layer on one side in the stacking direction, and the other is a wiring layer on the other side in the stacking direction.
  • the first wiring layer 30 includes an insulating film 31 , wiring 32 , first connection pads 33 , and vias (contacts) 34 .
  • the wiring 32 and the first connection pads 33 are stacked with the insulating film 31 interposed therebetween as shown.
  • the surface of the first connection pad 33 faces the surface of the first wiring layer 30 opposite to the first semiconductor layer 20 side.
  • the vias 34 connect the first semiconductor layer 20 and the wirings 32, the wirings 32 to each other, the wirings 32 to the first connection pads 33, and the like.
  • the wiring 32 and the first connection pads 33 are not limited to this, but may be made of copper, for example, and formed by the damascene method.
  • the insulating film 31 has a first insulating film 35 made of a first material and a second insulating film 36 made of a second material.
  • the first insulating film 35 and the second insulating film 36 are simply referred to as the insulating film 31 when not distinguished from each other.
  • the second material will be explained.
  • the second material has a higher dielectric constant than the first material and a higher rigidity than the first material.
  • the second material is, for example, silicon oxide ( SiO2 ).
  • the first material is a low dielectric constant (Low-K) insulating material having a lower dielectric constant than the second material and a lower stiffness than the first material.
  • Low-K low dielectric constant
  • the first material is an insulating material having a lower dielectric constant and lower rigidity than the silicon oxide film.
  • the first material is, for example, a carbon-containing silicon oxide film (SiOC) or a SiCOH film.
  • the first material may be not only the mixed material of the organic material and the inorganic material described above, but also other inorganic materials and organic materials.
  • inorganic materials include fluorine-added silicon oxide film (SiOF) and silsesquioxane hydride (HSQ).
  • organic materials include parerin-based materials and polyallyl ether-based materials.
  • the first material may be a material made porous by introducing holes into an insulating film material. Specifically, the dielectric constant of the insulating film can be lowered by lowering the density of the film by the action of heat, drying, or the like.
  • an increase in inter-wiring capacitance can be suppressed. Suppressing the increase in inter-wiring capacitance enables high-speed operation of semiconductor devices, speeding up signal transmission, and reducing power consumption.
  • first material and “second material” refer to the above-described first material and second material, unless otherwise defined.
  • the second wiring layer 40 includes an insulating film 41 , wiring 42 , second connection pads 43 , and vias (contacts) 44 .
  • the wiring 42 and the second connection pad 43 are stacked with the insulating film 41 interposed therebetween as shown.
  • the surface of the second connection pad 43 faces the surface of the second wiring layer 40 opposite to the second semiconductor layer 50 side.
  • the vias 44 connect the second semiconductor layer 50 and the wirings 42, the wirings 42 to each other, the wirings 42 to the second connection pads 43, and the like.
  • the wiring 42 and the second connection pads 43 are not limited to this, but may be made of copper, for example, and formed by the damascene method.
  • the surface of the first connection pad 33 is joined to the surface of the second connection pad 43 .
  • the first wiring layer 30 and the second wiring layer 40 are electrically coupled to each other.
  • the insulating film 41 has a first insulating film 45 made of a first material and a second insulating film 46 made of a second material. Note that the first insulating film 45 and the second insulating film 46 are simply referred to as the insulating film 41 when not distinguished from each other.
  • the second semiconductor layer 50 is composed of a semiconductor substrate.
  • the second semiconductor layer 50 is composed of, for example, a single crystal silicon substrate, although not limited thereto.
  • the second semiconductor layer 50 exhibits a first conductivity type, eg, p-type.
  • a transistor T2 is provided in the second semiconductor layer 50 .
  • Through electrodes 51 and 52 that penetrate the second semiconductor layer 50 are provided in the second semiconductor layer 50 .
  • the third wiring layer 60 and the fourth wiring layer 70 are interposed between the semiconductor layers, more specifically, between the second semiconductor layer 50 and the third semiconductor layer 80 . Also, one of the third wiring layer 60 and the fourth wiring layer 70 is a wiring layer on one side in the stacking direction, and the other is a wiring layer on the other side in the stacking direction.
  • the third wiring layer 60 includes an insulating film 61, a wiring 62, and a third connection pad 63.
  • the wiring 62 and the third connection pad 63 are stacked with the insulating film 61 interposed therebetween as shown.
  • the surface 63S of the third connection pad 63 faces the surface of the third wiring layer 60 opposite to the second semiconductor layer 50 side.
  • the wiring 62 and the third connection pad 63 are not limited to this, but may be made of copper and formed by the damascene method, for example.
  • the fourth wiring layer 70 includes an insulating film 71, wiring 72, fourth connection pads 73, and vias (contacts) 74.
  • the wiring 72 and the fourth connection pad 73 are laminated via the insulating film 71 as shown.
  • the surface 73S of the fourth connection pad 73 faces the surface of the fourth wiring layer 70 opposite to the third semiconductor layer 80 side.
  • the vias 74 connect the third semiconductor layer 80 and the wiring 72, the wirings 72 to each other, the wiring 72 to the fourth connection pad 73, and the like.
  • the wiring 72 and the fourth connection pad 73 are not limited to this, but may be made of copper, for example, and formed by the damascene method.
  • the surface 63S of the third connection pad 63 is joined to the surface 73S of the fourth connection pad 73. By bonding the surfaces of the connection pads together in this way, the third wiring layer 60 and the fourth wiring layer 70 are electrically coupled to each other.
  • the insulating film 61 has a first insulating film 65 made of a first material and a second insulating film 66 made of a second material. Note that the first insulating film 65 and the second insulating film 66 are simply referred to as the insulating film 61 when not distinguished from each other. As shown in FIGS. 4A and 4B, the second insulating film 66 made of the second material penetrates the first insulating film 65 made of the first material in the stacking direction. More specifically, the second insulating film 66 made of the second material has a pillar-shaped portion (hereinafter also referred to as a pillar P) extending along the stacking direction.
  • a pillar-shaped portion hereinafter also referred to as a pillar P
  • the portion forming P penetrates the first insulating film 65 made of the first material in the stacking direction.
  • the stacking direction is the direction in which the semiconductor layer, the wiring layer, the first insulating film 65, the second insulating film 66, and the like are stacked.
  • a portion of the second insulating film 66 that forms the pillar P is provided between the third connection pad 63 and the second semiconductor layer 50 .
  • the pillar P extends along the stacking direction, and one end of the stacking direction contacts the third connection pad 63, more specifically, the bottom surface 63a of the third connection pad 63. , the other end is in contact with the second semiconductor layer 50, more specifically, the fourth surface S4.
  • the insulating film 71 has a first insulating film 75 made of a first material and a second insulating film 76 made of a second material.
  • the first insulating film 75 and the second insulating film 76 are simply referred to as the insulating film 71 when not distinguished from each other.
  • the third semiconductor layer 80 is composed of a semiconductor substrate.
  • the third semiconductor layer 80 is composed of a single-crystal silicon substrate of a first conductivity type, eg, p-type.
  • a transistor T3 is provided in the third semiconductor layer 80 .
  • First insulating films 35, 45, 65, and 75 made of a first material are provided at locations where wiring is densely provided in the wiring layer. This can suppress an increase in wiring capacitance. In order to suppress an increase in wiring capacitance, it is preferable to provide the first insulating films 35, 45, 65 and 75 over a wide area. Therefore, the first insulating films 35, 45, 65 and 75 are arranged so as to occupy a wider area in the horizontal direction of the wiring layer.
  • pillars P are provided in order to prevent insufficient bondability between connection pads.
  • the pillar P is a pillar-shaped portion of the second insulating film 66 that extends along the stacking direction. By providing the pillars P in such a shape, the area occupied by the second insulating film 66 can be further reduced in the area where the wiring is densely provided. In this way, the pillars P are provided only where they are needed.
  • ⁇ Method for Manufacturing Photodetector>> A method for manufacturing the photodetector 1 will be described below with reference to FIGS. 5A to 5N.
  • the pillars P are provided in the third wiring layer 60, but here, in the example in which the pillars P are provided in the second wiring layer 40, the photodetection device 1 shown in FIG. A method of manufacturing the device 1 will be described.
  • elements such as the transistor T2 are formed on the third surface S3 side of the second semiconductor layer 50w of the first conductivity type, for example, the p-type. Then, a part of the second wiring layer 40 is formed on the third surface S3. More specifically, the second insulating film 46, the vias 44, the through electrodes 52, etc. are formed on the third surface S3.
  • the second insulating film 46 shown in FIG. 5A is made of a second material, such as a passivation film.
  • a film 45m made of the first material is laminated on the exposed surface of the second insulating film 46.
  • a resist pattern R1 is formed on the exposed surface of the film 45m using a known lithography technique.
  • the film 45m exposed from the opening R1a of the resist pattern R1 is etched using a known etching technique. This etching forms a hole 45h shown in FIG. 5C. After that, the resist pattern R1 is removed.
  • a film 46m made of a second material is laminated so as to fill the hole 45h.
  • excess portions of the film 46m are removed by CMP (Chemical Mechanical Polishing). More specifically, the exposed surface of the film 46m is polished by the CMP method to planarize the exposed surface and to remove portions of the film 46m other than those embedded in the holes 45h. As a result, an insulating film is formed in which different insulating materials are adjacent to each other in the direction perpendicular to the stacking direction.
  • a resist pattern R2 is formed on the exposed surfaces of the insulating film, more specifically, on the exposed surfaces of the films 45m and 46m using a known lithographic technique.
  • the resist pattern R2 is etched using a known etching technique. This etching forms an opening 42h. After that, the resist pattern R2 is removed.
  • a metal film M1m is laminated on the inner wall of the opening 42h and the exposed surface of the insulating film. Then, as shown in FIG. 5I, the excess portion of the metal film M1m is removed by the CMP method. Thereby, the wiring 42 belonging to the metal layer M1 is formed.
  • wirings 42 belonging to the metal layer M1 to the metal layer M4 are formed.
  • the vias 44 are formed by a known method after performing the same steps as those shown in FIGS. 5B to 5E. Thus, a layer up to one before the layer in which the second connection pads 43 are provided is formed.
  • a film 45m made of the first material is laminated on the exposed surface of the insulating film, and then the second connection pads 43 are formed. More specifically, after laminating the film 45m, the second connection pads 43 are formed by performing the same steps as those shown in FIGS. 5F to 5I. The second connection pads 43 are embedded in openings 43h formed in the film 45m. Thereby, the second wiring layer 40 is almost completed.
  • the membranes 46m are stacked along the stacking direction as shown. The film 46m thus stacked and the portion of the second insulating film 46 made of the second material located between the film 46m and the second semiconductor layer 50w form the pillar P.
  • the second semiconductor layer 50w laminated with the second wiring layer 40 and the separately prepared first semiconductor layer 20 laminated with the first wiring layer 30 are bonded. More specifically, the surface of the first wiring layer 30 opposite to the first semiconductor layer 20 side and the surface of the second wiring layer 40 opposite to the second semiconductor layer 50w side are overlapped and joined. do. After that, the bonded first wiring layer 30 to the second semiconductor layer 50w are heat-treated. This heat treatment expands the metal forming the first connection pad 33 and the second connection pad 43 . Between the bottom surface 43a of the second connection pad 43 and the third surface S3 of the second semiconductor layer 50, a pillar P extends along the stacking direction.
  • one end of the pillar P in the stacking direction is in contact with the bottom surface 43 a of the second connection pad 43 , and the other end is in contact with the third surface S ⁇ b>3 of the second semiconductor layer 50 . Therefore, it is possible to prevent the pressing force generated when the metal forming the first connection pad 33 and the second connection pad 43 expands from escaping to the insulating film side. As a result, when the metal forming the first connection pad 33 and the second connection pad 43 expands, the pressing force acts in the intended direction, and the connection pads press against each other, thereby bonding the connection pads together. Insufficient sexuality can be suppressed. Thus, the surfaces of the first connection pads 33 provided on the first wiring layer 30 and the surfaces of the second connection pads 43 provided on the second wiring layer 40 are joined.
  • the photodetector 1 is formed in each of a plurality of chip forming regions partitioned by scribe lines (dicing lines) on a semiconductor substrate. By dividing the plurality of chip forming regions along scribe lines, the semiconductor chips 2 on which the photodetecting device 1 is mounted are formed.
  • the metal forming the connection pad may be polished more than the insulating film. Even in such a case, heat treatment is performed after the wiring layers are overlapped and bonded to each other, so that the metal forming the connection pads is thermally expanded, and the connection pads can be bonded to each other.
  • the connection pads when it is attempted to arrange the connection pads at a high density, the dimensions of the connection pads become small, and the volume thereof also becomes small. When the volume of the connection pad is reduced, the amount of expansion of the metal forming the connection pad is also reduced.
  • the insulating film 61 includes a first insulating film 65 made of the first material and a higher rigidity than the first material. and a second insulating film 66 that is made of a material with a high resistance and penetrates through the first insulating film 65 in the stacking direction. is provided.
  • the columnar second insulating film 66 (pillar P) extends along the stacking direction, and has one end in the stacking direction in contact with the third connection pad 63 and the other end in contact with the second semiconductor layer 50 .
  • the pillars P are selectively extended from the bottom surface 63a of the third connection pad 63 to the fourth surface S4 of the second semiconductor layer 50 having a sufficiently high Young's modulus along the stacking direction without interruption. Therefore, it is possible to prevent the pressing force generated when the metal forming the third connection pad 63 and the fourth connection pad 73 expands from escaping to the third wiring layer 60 side. As a result, when the metal forming the third connection pad 63 and the fourth connection pad 73 expands, the pressing force acts in the intended direction, and the connection pads press against each other, thereby bonding the connection pads together. Insufficient sexuality can be suppressed.
  • the pillars P are provided only in one wiring layer in the first embodiment, the present invention is not limited to this.
  • the pillars P are preferably applied to all of the first wiring layer 30, the second wiring layer 40, the third wiring layer 60, and the fourth wiring layer . Further, the configuration may be applied to any one of the wiring layers described above, or may be applied to at least one of them. Also, a plurality of pillars P may be provided for one third connection pad 63 .
  • FIG. 6 shows an example in which the third wiring layer 60 that is joined to each other is provided with the pillar Pa, and the fourth wiring layer 70 is provided with the pillar Pb.
  • a pair of connection pads are sandwiched between the pillars Pa and Pb.
  • the pillar Pa is provided continuously from the surface of the second semiconductor layer 50 to the bottom surface of the third connection pad 63
  • the pillar Pa is provided continuously from the surface of the third semiconductor layer 80 to the bottom surface of the fourth connection pad 73. Since the pillars Pb are provided without interruption, the pressing force generated when the metal forming the third connection pad 63 and the fourth connection pad 73 expands escapes to the third wiring layer 60 side and the fourth wiring layer 70 side. can be suppressed. As a result, the connection pads are pressed against each other more, and insufficient bondability between the connection pads can be further suppressed.
  • Modification 1 of the first embodiment of the present technology shown in FIG. 7 will be described below.
  • the photodetector 1 according to Modification 1 of the first embodiment differs from the photodetector 1 according to the above-described first embodiment in that a pillar P1 is provided instead of the pillar P.
  • the configuration of the photodetector 1 is basically the same as that of the photodetector 1 of the first embodiment described above.
  • symbol is attached
  • the pillars P1 are provided in the third wiring layer 60.
  • a portion of the second insulating film 66 made of the second material that forms the pillar P1 penetrates the first insulating film 65 made of the first material in the stacking direction. are doing.
  • the pillar P1 extends along the stacking direction, and one end in the stacking direction is in contact with the third connection pad 63. More specifically, the bottom surface 63a of the third connection pad 63 The other end is in contact with the second semiconductor layer 50, more specifically, the fourth surface S4.
  • the pillar P1 is provided at a position that does not overlap with the wiring 62 formed in the insulating film 61 in the lamination direction, that is, at a position that does not overlap with the wiring 62 in plan view. Therefore, the pillar P1 penetrates the insulating film positioned between the wirings 62 . Also, the pillar P1 has a smaller width than the pillar P shown in FIG. 4A and the like. Thereby, the pillar P1 can be provided at a position that does not overlap with the wiring 62 in plan view. Also, one or more pillars P1 may be provided for one third connection pad 63 . By providing a plurality of pillars P1 for one third connection pad 63, the rigidity between the third connection pad 63 and the second semiconductor layer 50 becomes insufficient even if the width of the pillar P1 is reduced. can be suppressed.
  • part of the second wiring layer 40 is formed on the fourth surface S4 side of the second semiconductor layer 50 . More specifically, the wiring 42 belonging to the metal layer M1 is formed, and the first insulating film 65 is further deposited on the exposed surface thereof. In other words, layers are formed up to the layer immediately before the layer where the third connection pad 63 is formed. After that, a resist pattern R3 is formed on the exposed surface using a known lithography technique.
  • the first insulating film 65 exposed from the opening R3a of the resist pattern R3 is etched using a known etching technique. This etching forms a hole 65h.
  • a film 66m made of a second material is laminated so as to fill the hole 65h.
  • excess portions of the film 66m are removed by CMP. More specifically, the exposed surface of the film 66m is polished by the CMP method to planarize the exposed surface and to remove portions of the film 66m other than those embedded in the holes 65h.
  • an insulating film is formed in which different insulating materials are adjacent to each other in the direction perpendicular to the stacking direction.
  • a pillar P1 is formed.
  • the third connection pads 63 are formed by a known method.
  • the pillars P1 can be formed by performing the photolithography technique and the etching technique once, respectively. number can be reduced.
  • the pillars P1 are provided to avoid the wiring, it is possible to leave more of the first material (low dielectric constant insulating material) between the wirings. Therefore, an increase in wiring capacity can be further suppressed.
  • Both the pillar P1 of the present embodiment and the pillar P of the first embodiment may be provided in one photodetector 1.
  • the first wiring layer 30 of the photodetector 1 may be provided with the pillar P
  • the second wiring layer 40 may be provided with the pillar P1.
  • a pillar P1 may be provided in a wiring layer in which the gap between wirings is not sufficient.
  • Modification 2 of the first embodiment of the present technology will be described below.
  • the photodetector 1 according to Modification 2 of the first embodiment differs from the photodetector 1 according to the above-described first embodiment in the second material, and the configuration of the photodetector 1 other than that is , has basically the same configuration as the photodetector 1 of the first embodiment described above.
  • symbol is attached
  • FIG. 4A and FIG. 4B are diverted and demonstrated here.
  • the second material was silicon oxide, but in modification 2 of the first embodiment, the second material is silicon nitride.
  • the Young's modulus of silicon oxide is 80 GPa
  • the Young's modulus of silicon nitride is 200 GPa. That is, silicon nitride has higher rigidity than silicon oxide. Therefore, it is possible to further suppress escape of the pressing force generated when the metal forming the first connection pad 33 and the second connection pad 43 expands to the insulating film side. As a result, the connection pads are pressed against each other more, and insufficient bondability between the connection pads can be further suppressed.
  • the coefficient of linear expansion of silicon oxide is 0.5 ppm/K
  • the coefficient of linear expansion of silicon nitride is 2.9 ppm/K.
  • silicon nitride expands more due to heat than silicon oxide. Therefore, when the pillars P are made of silicon nitride, escape to the insulating film side can be more suppressed than when the pillars P are made of silicon oxide. The pressing force increases. Therefore, a material having a larger coefficient of linear expansion can further suppress the deterioration of the contact between the connection pads.
  • the second material constituting the pillars P of the photodetector 1 according to Modification 2 of the first embodiment is composed of a material with higher rigidity, when the metal constituting the connection pads expands, It is possible to further suppress escape of the pressing force generated in the insulating film to the side of the insulating film.
  • the second material forming the pillars P of the photodetector 1 according to Modification 2 of the first embodiment is made of a material having a larger coefficient of linear expansion, one connection pad is connected to the other. The force of pressing toward the pad increases. Therefore, a material having a larger coefficient of linear expansion can further suppress the deterioration of the contact between the connection pads.
  • the second material forming the pillars P of the photodetector 1 according to Modification 2 of the first embodiment was silicon nitride, it is not limited to this.
  • the pillars P may include both portions (or layers) made of silicon nitride and portions (or layers) made of silicon oxide. In this way, the pillars P may include portions (or layers) made of different materials as long as they are materials that satisfy the conditions for the second material.
  • the pillar P1 may include both a portion (or layer) made of silicon nitride and a portion (or layer) made of silicon oxide.
  • the pillar P1 may include a portion (or layer) made of a different material as long as the material satisfies the conditions for the second material. Even in that case, the same effects as those of the photodetector 1 according to Modification 2 of the first embodiment can be obtained.
  • FIGS. 9 and 10 A second embodiment of the present technology illustrated in FIGS. 9 and 10 will be described below.
  • the photodetector 1 according to the second embodiment differs from the photodetector 1 according to the first embodiment described above in the configuration of the connection pads. It has the same configuration as the photodetector 1 of the first embodiment described above.
  • symbol is attached
  • the first wiring layer 30 has an insulating film 31A
  • the second wiring layer 40 has an insulating film 41A
  • the third wiring layer 60 has an insulating film 61A
  • the fourth wiring layer 70 has an insulating film 71A.
  • the insulating films 31A, 41A, 61A, and 71A include, but are not limited to, layers made of silicon oxide, for example.
  • FIG. 10 is an explanatory diagram for explaining the configuration of connection pads.
  • the connection pads shown in FIG. 10 are called connection pads A here for convenience.
  • the configuration of the connection pad A can be applied to any connection pad among the first connection pad 33, the second connection pad 43, the third connection pad 63, and the fourth connection pad 73 shown in FIG. Although it is desirable to apply the configuration of the connection pad A to all of the first connection pad 33, the second connection pad 43, the third connection pad 63, and the fourth connection pad 73, at least one of these connection pads
  • the configuration may be applied to one.
  • connection pad A includes a first portion a, a second portion b, and a seed layer c.
  • the connection pads A are provided in openings e provided in the insulating film d.
  • a barrier metal layer f is provided between the connection pad A and the insulating film d.
  • the first portion a is made of a first metal and constitutes the surface of the connection pad A.
  • the connection pad A When the connection pad A is heat-treated, the first portion a thermally expands. More specifically, in a state before the heat treatment, the first portion a extends from a position near the bottom e1 of the opening e, as indicated by the dashed line, as shown in FIG. Suppose it occupies an area up to the height.
  • the connection pad A is heat-treated, it thermally expands in the direction indicated by the arrow a1 from the height of the dashed line, and protrudes from the surface d1 of the insulating film d.
  • the first metal is, but not limited to, copper (Cu), for example. Here, it is assumed that the first metal is copper.
  • the second portion b is provided between the first portion a and the insulating film d.
  • the second portion b is made of a second metal that is more plastically deformable than the first metal.
  • the second metal is less rigid than the first metal.
  • a plastically deformable metal is a metal that is easily deformed under force and has a low yield stress or resistance. Metals have the property of being deformed and irreversible when a certain amount of force is applied. Yield stress represents the force at which plasticity of a material begins. In addition, for metals whose yield stress is not clear, the difficulty of plastic deformation may be evaluated as resistance. A metal that is more plastically deformable deforms with a smaller force.
  • the second portion b When the connection pad A is heat-treated, the second portion b is plastically deformed. More specifically, when the connection pad A is heat-treated, mainly the side wall portion b1 of the side wall portion b1 and the bottom portion b2 of the second portion b is plastically deformed. That is, the second portion b should be provided at least between the side surface of the first portion a and the insulating film d.
  • the bottom portion b2 is a portion located near the bottom e1 of the opening e
  • the side wall portion b1 is a portion located near the side wall e2 of the opening e.
  • connection pad A In the state before heat treatment, although not limited to this, for example, as shown in FIG. .
  • the connection pad A is heat-treated, the side wall portion b1 is dragged by the thermal expansion of the first portion a from the height of the broken line, and is plastically deformed together with the first portion a in the direction indicated by the arrow b3. extend.
  • the second portion b may be thermally expanded at the same time as being plastically deformed.
  • the side wall portion b1 may be thermally expanded in the direction indicated by the arrow b3 at the same time as being plastically deformed.
  • connection pad A When the connection pad A is heat-treated, the surface b11 of the side wall portion b1 closer to the barrier metal layer f is restrained by the barrier metal layer f. This is because the barrier metal layer f is less deformed by heat. On the other hand, the surface b12 of the side wall portion b1 closer to the first portion a receives tension due to the thermal expansion of the first portion a. As a result of different forces acting on the surface b11 and the surface b12 in this way, the side wall portion b1 is plastically deformed.
  • the second metal examples include aluminum (Al), aluminum-copper alloy (AlCu), aluminum-silicon alloy (AlSi), and the like. These metals are metals that are easily plastically deformed at room temperature. Also, since the first metal expands when heat-treated, the second metal may be a metal that is more plastically deformable than the first metal when heated. More specifically, even a metal that is less likely to be plastically deformed at room temperature can be used as the second metal as long as it is more plastically deformable than the first metal at the temperature at which the connection pad is heat treated.
  • Metals that are more likely to be plastically deformed than the first metal when heated include, for example, metals with a low melting point.
  • metals with low melting points include cadmium (Cd), tin (Sn), tantalum (Tl), and lead (Pb). The melting points of these metals are below 400 degrees.
  • the metal when a metal is heated to its melting point, its rigidity decreases. Therefore, even if the metal has a higher melting point than the first metal at the temperature at which the heat treatment of the connection pad is performed, it can be mentioned as a metal that is more likely to be plastically deformed than the first metal in a heated state.
  • Such metals include, for example, antimony (Sb), ytterbium (Yb), calcium (Ca), silver (Ag), germanium (germanium), strontium (Sr), cerium (Ce), lead-copper alloy (PbCu ) etc. can be mentioned.
  • the melting points of these metals are below 1000 degrees. Note that the melting point of aluminum (Al) is also lower than 1000 degrees.
  • the second metal is an aluminum-copper alloy.
  • the seed layer c serves as an electrode when depositing metal using electrolytic plating.
  • the seed layer c also serves as a seed layer for the metal deposited by electroplating.
  • the material forming the seed layer c may be selected according to the type of metal deposited on the seed layer c. More specifically, since the second portion b is deposited on the exposed surface of the seed layer c, the seed layer c may be made of a material that can serve as a seed for the material forming the second portion b.
  • the material forming the seed layer c is composed of a material that can serve as a seed for the aluminum-copper alloy.
  • the seed layer c may be made of a metal such as an aluminum-copper alloy or copper.
  • the seed layer c is made of an aluminum-copper alloy.
  • the barrier metal layer f includes, but is not limited to, high melting point metal, for example.
  • the barrier metal layer f is made of metal such as titanium (Ti), titanium nitride (TiN), tantalum (Ta), or the like.
  • the barrier metal layer f has a function of adhering the connection pad A and the insulating film d and a function of suppressing diffusion of the metal forming the connection pad A into the insulating film d.
  • a method for manufacturing the photodetector 1 will be described below with reference to FIGS. 11A to 11F. Only the method of forming the connection pads will be described here. A method of forming the fourth connection pad 73 will be described as an example of a method of forming the connection pad.
  • the insulating film 71Am may have a laminated structure in which, for example, a silicon oxide film, a silicon nitride film, and a silicon oxide film are laminated in that order, although the insulating film 71Am is not limited to this.
  • an opening e is formed in the insulating film 71Am using a known lithography technique and etching technique. From the following figures, the insulating film 71Am and the insulating film 71A are simply referred to as the insulating film 71A without distinction.
  • a film fm forming the barrier metal layer f and a film cm forming the seed layer c are formed on the exposed surface of the insulating film 71A by using a known technique such as sputtering. Laminate in order. After that, metal is deposited by plating.
  • a film bm made of a second metal is deposited on the exposed surface of the film cm.
  • an aluminum-copper alloy is deposited as the second metal.
  • a film am made of the first metal is deposited on the exposed surface of the film bm by plating.
  • copper is deposited.
  • excess portions of the films fm, cm, bm, and am are removed by the CMP method. More specifically, the exposed surface of the wiring layer is polished by the CMP method to planarize the exposed surface and remove portions of the films fm, cm, bm and am other than those embedded in the opening e. As a result, the fourth connection pads 73 belonging to the metal layer M5 are almost completed. Then, the third wiring layer 60 and the fourth wiring layer 70 are superimposed and bonded together, and heat-treated.
  • the fourth connection pad 73 includes a trunk portion 73a and an end of the trunk portion 73a opposite to the third semiconductor layer 80 side along the stacking direction from the third semiconductor layer 80 side. and a head portion 73b that is wider than the trunk portion 73a.
  • the head portion 73b which has a larger volume than the trunk portion 73a, expands more when heat-treated.
  • the head portion 73b that constitutes the surface of the fourth connection pad 73, and in order to suppress the deterioration of the contact between the connection pads, it is mainly the head portion that should be expanded further by heat treatment.
  • the side wall portion b1 of the second portion b may be formed at least on the side wall of the head portion 73b, out of the side wall of the body portion 73a and the side wall of the head portion 73b.
  • connection pad A may be applied to the third connection pad 63 that is joined to the fourth connection pad 73 as described above, if necessary.
  • the configuration of the connection pad A may not be applied to the third connection pad 63 as long as the bondability between the third connection pad 63 and the fourth connection pad 73 can be obtained.
  • connection pads Decreasing the size of the connection pad also reduces its volume.
  • the amount of expansion during heat treatment is also reduced.
  • the amount of thermal expansion of a metal is determined by the volume and expansion rate of the metal. Although the expansion rate is constant, the amount of expansion decreases as the volume decreases.
  • the barrier metal layer provided between the connection pad and the insulating film is less deformed by heat. Therefore, even if the metal composing the connection pad tries to expand during the heat treatment, the surface of the metal composing the connection pad that is in contact with the barrier metal layer is restrained by the barrier metal layer, and the metal composing the connection pad does not expand. In some cases, expansion was suppressed. Such restraint from the barrier metal layer affects the amount of expansion as the dimension of the connection pad shrinks.
  • the area around the center of the connection pad in plan view generally tends to expand. This is because the central portion is farther from the barrier metal layer than the peripheral portion and is less likely to be restricted. If the dimension of the connection pad in plan view becomes smaller, the distance between the central portion of the connection pad in plan view and the barrier metal layer becomes smaller. Therefore, the smaller the dimension of the connection pad in plan view, the more likely the central portion in plan view is constrained by the barrier metal layer. In this way, there are cases where the intended amount of expansion cannot be obtained due to hindrance by the barrier metal layer. Therefore, in some cases, the dimension of the connection pad is increased in the stacking direction in order to secure the amount of expansion. However, enlarging the connection pads in the stacking direction increases the volume of the connection pads and increases the size of the semiconductor chip in the stacking direction.
  • At least one of the pair of connection pads is made of a first metal and forms a surface of the connection pad;
  • a second portion b is provided between the first portion a and the insulating film and is made of a second metal that is plastically deformable more easily than the first metal.
  • the restraint from the barrier metal layer f is less likely to be transmitted to the first portion a, and the effect of the barrier metal layer f on the amount of expansion of the first portion a can be suppressed.
  • the barrier metal layer f even when the dimensions of the connection pads in plan view are reduced, it is possible to suppress the deterioration of the contact between the connection pads.
  • a simulation of the thermal expansion of the metal composing the connection pad showed that the amount of thermal expansion increased by about 33% when the second portion b made of the second metal was included compared to when it was not included.
  • the photodetector 1 it is possible to prevent the expansion of the metal forming the connection pad from being inhibited by the barrier metal layer. It is possible to suppress the deterioration of contact between the pads. Therefore, it is not necessary to increase the dimension of the connection pads along the stacking direction in order to increase the capacity of the connection pads. As a result, it is possible to prevent the thickness of the semiconductor chip 2 from increasing in the stacking direction.
  • Modification 1 of the second embodiment of the present technology shown in FIG. 12 will be described below.
  • the photodetector 1 according to Modification 1 of the second embodiment differs from the photodetector 1 according to the second embodiment described above in that the seed layer c is made of the second metal. Otherwise, the configuration of the photodetector 1 is basically the same as that of the photodetector 1 of the above-described second embodiment.
  • symbol is attached
  • FIG. 12 is an explanatory diagram for explaining the configuration of connection pads.
  • the connection pad shown in FIG. 12 is here called connection pad A1 for convenience.
  • the configuration of the connection pad A1 can be applied to any connection pad among the first connection pad 33, the second connection pad 43, the third connection pad 63, and the fourth connection pad 73 shown in FIG. Although it is desirable to apply the configuration of the connection pad A1 to all of the first connection pad 33, the second connection pad 43, the third connection pad 63, and the fourth connection pad 73, at least one of these connection pads
  • the configuration may be applied to one.
  • the connection pad A includes a first portion a and a seed layer c functioning as a base for laminating the first portion a (first metal).
  • the seed layer c functions as the second portion. Acts as a seed layer.
  • the seed layer c is provided between the first portion a and the insulating film d.
  • the seed layer c is made of a second metal that is plastically deformable more easily than the first metal.
  • the first portion a is deposited on the seed layer c by plating. Therefore, the seed layer c is desirably composed of a metal that also serves as a seed layer for the first metal that constitutes the first portion a, among the above-described second metals.
  • Modification 2 of the second embodiment of the present technology shown in FIG. 13 will be described below.
  • the photodetector 1 according to Modification 2 of the present second embodiment differs from the photodetector 1 according to the above-described second embodiment in that the barrier metal layer f is made of the second metal. , and the rest of the configuration of the photodetector 1 is basically the same as that of the photodetector 1 of the second embodiment.
  • symbol is attached
  • FIG. 13 is an explanatory diagram for explaining the configuration of connection pads.
  • the connection pad shown in FIG. 13 is here called connection pad A2 for convenience.
  • the configuration of the connection pad A2 can be applied to any connection pad among the first connection pad 33, the second connection pad 43, the third connection pad 63, and the fourth connection pad 73 shown in FIG. Although it is desirable to apply the configuration of the connection pad A2 to all of the first connection pad 33, the second connection pad 43, the third connection pad 63, and the fourth connection pad 73, at least one of these connection pads
  • the configuration may be applied to one.
  • connection pad A includes a first portion a and a barrier metal layer f.
  • the connection pads A also include the barrier metal layer f.
  • the barrier metal layer f functions as the second portion.
  • the barrier metal layer f is provided between the first portion a and the insulating film d.
  • the barrier metal layer f is made of a second metal that is plastically deformable more easily than the first metal.
  • the barrier metal layer f has a function of adhering the first portion a and the insulating film d and a function of suppressing diffusion of the metal forming the first portion a into the insulating film d. Therefore, the barrier metal layer f is desirably composed of a metal having the above function among the second metals.
  • the photodetector 1 according to the third embodiment differs from the photodetector 1 according to the above-described first embodiment in the insulating film of the wiring layer. Basically, it has the same configuration as the photodetector 1 of the above-described first embodiment.
  • symbol is attached
  • the first wiring layer 30 has an insulating film 31B
  • the second wiring layer 40 has an insulating film 41B
  • the third wiring layer 60 has an insulating film 61B
  • the fourth wiring layer 70 has an insulating film 71B.
  • the insulating film 31B includes an insulating film da31 and an insulating film db31
  • the insulating film 41B includes an insulating film da41 and an insulating film db41
  • the insulating film 61B includes an insulating film da61 and an insulating film db61
  • the insulating film 71B is an insulating film. It includes a film da71 and an insulating film db71.
  • the insulating film da31, the insulating film da41, the insulating film da61, and the insulating film da71 they are simply referred to as the insulating film da without distinction.
  • the insulating film db31, the insulating film db41, the insulating film db61, and the insulating film db71 they are simply referred to as insulating films db without distinction.
  • FIG. 15 is an explanatory diagram for explaining the configuration of the insulating film around the connection pads.
  • the wiring layer C1 is overlaid and joined to the wiring layer C2.
  • the wiring layer C1 and the wiring layer C2 each include an insulating film d and a connection pad B provided on the insulating film d, and are electrically coupled to each other by joining the surfaces of the connection pads B to each other.
  • the connection pad B is not limited to this, but may have, for example, the same configuration as the connection pad of the first embodiment.
  • the insulating film d includes a laminated structure of insulating films da and insulating films db.
  • the insulating film da and the insulating film db are laminated in that order.
  • the connection pads B are provided in openings e provided in the insulating film d.
  • the portion adjacent to the side surface B1 of the connection pad B is called a third portion to distinguish it from other portions, and the portion adjacent to the bottom surface B2 of the connection pad B is called a third portion to distinguish it from other portions. Call it the fourth part.
  • the coefficient of linear expansion of the material forming the third portion is smaller than the coefficient of linear expansion of the material forming the fourth portion. In the example shown in FIG.
  • the insulating film db of the insulating film da and the insulating film db is the third portion
  • the insulating film da is the fourth portion.
  • connection pad B When joining the wiring layer C1 and the wiring layer C2, first, the wiring layer C1 and the wiring layer C2 are overlapped, and then heat treatment is performed.
  • connection pad B When the connection pad B is heat-treated, it expands and the surfaces of the connection pad B are joined together.
  • An arrow B3 schematically indicates the amount of expansion of the connection pad B due to heat treatment. It is preferable that the expansion amount of the connection pad B indicated by the arrow B3 is larger.
  • a dashed line B4 in FIG. 15 indicates the position of the surface of the connection pad B before heat treatment.
  • the insulating film d also expands.
  • An arrow db1 schematically indicates the amount of expansion due to heat treatment of the insulating film db.
  • connection pads B The larger the amount of expansion of the connection pads B, the more it is possible to suppress the deterioration of the contact between the connection pads. Also, the smaller the amount of expansion of the insulating film db, the more it is possible to suppress the deterioration of the contact between the connection pads. This is because the amount of expansion of the connection pad B is substantially reduced by the amount of expansion of the insulating film db. Therefore, it is desirable that the difference (linear expansion coefficient difference) between the linear expansion coefficient of the material forming the connection pad B and the material forming the insulating film db is large. In this embodiment, the material forming the insulating film db is devised in order to increase such a linear expansion coefficient difference. It is preferable to use a material having a smaller coefficient of linear expansion as the material forming the insulating film db.
  • the insulating film da is overlapped with the connection pad B along the stacking direction, the amount of expansion of the connection pad B does not substantially decrease depending on the magnitude of the linear expansion coefficient. . Therefore, between the insulating film da and the insulating film db, the insulating film db is made of a material having a smaller coefficient of linear expansion.
  • Examples of the material forming the insulating film db include glass ceramics whose coefficient of linear expansion is adjusted by additives. Additives include, but are not limited to, materials that shrink when heated. Here, it is assumed that the material forming the insulating film db is such a glass ceramic.
  • the insulating film da may include, for example, a layer made of silicon oxide.
  • a method for manufacturing the photodetector 1 will be described below with reference to FIGS. 16A to 16F. Only the method of forming the connection pads will be described here. A method of forming the second connection pads 43 will be described as an example of the method of forming the connection pads.
  • layers up to the metal layer M4 are formed on the third surface S3 side of the second semiconductor layer 50w.
  • a portion of the insulating film da41 exposed on the exposed surface of the wiring layer is made of, for example, a silicon oxide film.
  • a glass ceramic db41 is laminated on the exposed surface of the wiring layer. More specifically, a plate-shaped glass ceramic db41 having the same size as the second semiconductor layer 50w is prepared, and the prepared glass ceramic db41 is bonded to the exposed surface of the wiring layer. Then, as shown in FIG. 16B, the exposed surface of the glass ceramic db41 is subjected to back grinding or the like to reduce its thickness.
  • the glass ceramic db41 is etched using known lithography and etching techniques to form an opening e.
  • the resist pattern is removed.
  • a copper film 43m is deposited on the exposed surface of the wiring layer so as to fill the opening e. More specifically, first, copper is deposited using a known technique such as sputtering, and then copper is deposited by plating. Thereafter, as shown in FIG. 16E, excess portions of the film 43m are removed by the CMP method to obtain the second connection pads 43.
  • the second wiring layer 40 is overlaid on the first wiring layer 30 and heat-treated.
  • the insulating film 31 of the first wiring layer 30 also has the glass ceramic db31 like the second wiring layer 40 .
  • ZERODUR registered trademark
  • SCHOTT the material forming the insulating film db (third portion).
  • ZERODUR® is a glass-ceramic with a coefficient of linear expansion of 0.02 ppm/K. Therefore, the linear expansion coefficient difference with copper is 16.48 ppm/K.
  • the linear expansion coefficient can be made larger than when the insulating film db is made of silicon oxide.
  • a material having a smaller linear expansion coefficient is used as the material forming the insulating film db, so that the amount of expansion of the connection pad is less than that of the insulating film db. It is possible to suppress substantial reduction due to the amount of expansion. Therefore, it is possible to suppress insufficient bondability between the connection pads.
  • the linear expansion coefficient of the material forming the third portion which is the portion adjacent to the side surface of the connection pad, is the portion adjacent to the bottom surface of the connection pad. smaller than the coefficient of linear expansion of the material forming the fourth portion;
  • the insulating film db which affects the substantial amount of expansion of the connection pad, is selectively made of a material with a smaller coefficient of linear expansion. Insufficient sexuality can be suppressed.
  • Modification 1 of the third embodiment Modification 1 of the third embodiment of the present technology shown in FIG. 17 will be described below.
  • the photodetector 1 according to Modification 1 of the third embodiment differs from the photodetector 1 according to the above-described third embodiment in that it has a contact layer.
  • the configuration is basically the same as that of the photodetector 1 of the third embodiment described above.
  • symbol is attached
  • FIG. 17 is an explanatory diagram for explaining the configuration of the contact layer g.
  • a contact layer g is provided between the insulating film db and the insulating film da which are the third portion. More specifically, the insulating film db, which is the third portion, and the insulating film da are joined via the contact layer g.
  • a contact layer g is also provided between the insulating film db and the connection pad B. As shown in FIG.
  • the contact layer g includes a silicon oxide film, a silicon nitride film, a silicon carbonitride (SiCN) film, a carbon-containing silicon oxide film, a silicon carbide (SiC) film, an aluminum oxide film (Al 2 O 3 ), and a tantalum oxide film (Ta 2 O 3 ).
  • a method for manufacturing the photodetector 1 will be described below with reference to FIGS. 18A to 18G. Only the method of forming the connection pads will be described here. A method of forming the second connection pads 43 will be described as an example of the method of forming the connection pads.
  • layers up to the metal layer M4 are formed on the third surface S3 side of the second semiconductor layer 50w.
  • a portion of the insulating film da41 exposed on the exposed surface of the wiring layer is made of, for example, a silicon oxide film.
  • a glass ceramic db41 having contact layers g on both sides is laminated on the exposed surface of the wiring layer (for example, the insulating film da41, etc.). More specifically, a plate-shaped glass ceramic db41 having the same size as the second semiconductor layer 50w and having contact layers g deposited on both sides thereof is prepared. Stick to the exposed surface.
  • the exposed surface is subjected to back grinding or the like to reduce the thickness of the glass ceramic db41.
  • the glass ceramic db 41 and the contact layer g are etched using known lithography and etching techniques to form an opening e. After that, the resist pattern is removed. A contact layer g is then deposited on the exposed surface, as shown in FIG. 18D.
  • the known lithographic technique and etching technique are used to remove the contact layer g laminated on the bottom surface of the opening e. This leaves the portion of the contact layer g deposited on the exposed surface of the glass-ceramic db41. More specifically, the part laminated on the side surface of the opening e and the part laminated on the surface of the glass ceramic db 41 opposite to the second semiconductor layer 50 side are left. After that, the resist pattern is removed.
  • a copper film is deposited on the exposed surface of the wiring layer so as to fill the opening e. Then, excess portions of the film made of copper are removed by the CMP method. Thereby, the second connection pads 43 are obtained.
  • This CMP process also removes the portion of the contact layer g that is laminated on the surface of the glass ceramic db 41 opposite to the second semiconductor layer 50 side. This exposes the glass-ceramic db41.
  • the second wiring layer 40 is overlapped with the first wiring layer 30 and heat-treated.
  • the insulating film 31 of the first wiring layer 30 also has the glass ceramic db41 and the contact layer g like the second wiring layer 40 does. Then, the exposed surfaces of the glass ceramic db 41 are bonded together, and the first connection pad 33 and the second connection pad 43 are bonded together.
  • the contact layer g is laminated on the portion of the glass ceramic db that is bonded to the wiring layer, so that the layers constituting the wiring layer are separated from each other. can have at least the same bondability as before.
  • the contact layer g is provided between the glass ceramic db and the insulating film da and between the glass ceramic db and the wiring such as the second connection pad 43, the material constituting the glass ceramic db is Diffusion can be suppressed.
  • the contact layer g is deposited on both surfaces of the glass ceramic db41 in Modification 1 of the third embodiment, it may be deposited only on the surface of the glass ceramic db41 that is bonded to the wiring layer. .
  • Modification 2 of the third embodiment of the present technology will be described below.
  • the photodetector 1 according to Modification 2 of the present third embodiment differs from the photodetector 1 according to the above-described third embodiment in that the material forming the third portion (insulating film db) is different.
  • the configuration of the photodetector 1 is basically the same as that of the photodetector 1 of the above-described third embodiment.
  • symbol is attached
  • FIG. 14 and FIG. 15 are diverted and demonstrated here.
  • the coefficient of linear expansion of the material forming the third portion (insulating film db) is smaller than the coefficient of linear expansion of the material forming the fourth portion (insulating film da). More specifically, the coefficient of linear expansion of the material forming the insulating film db is a negative value. In general, substances expand when heated, but materials with a negative coefficient of linear expansion have the property of shrinking when heated.
  • the insulating film db is made of a material having a negative coefficient of linear expansion, or contains a material having a negative coefficient of linear expansion.
  • Examples of materials having a negative coefficient of linear expansion include cubic zirconium tungstate, copper (Cu)-zinc (Zn)-vanadium (V) oxide (Cu-Zn-VO oxide), zirconium phosphate, Examples include zirconium phosphate tungstate and fillers made of glass having a negative linear expansion coefficient.
  • Cubic zirconium tungstate shrinks continuously with increasing temperature in the temperature range from 0.3K to its thermal decomposition point of 1050K.
  • a Cu-Zn-V-O-based oxide is an oxide composed of three metals: copper, zinc, and vanadium.
  • Examples of Cu-Zn-V-O-based oxides include CG-NiTE (registered trademark) manufactured by IBLC Co., Ltd.
  • the linear expansion coefficient of CG-NiTE (registered trademark) is about -10 ppm/K to -5 ppm/K.
  • the Cu-Zn-V-O-based oxide may be in the form of particles, in which case it may be used in a state of being added to a material such as glass or resin.
  • the linear expansion coefficient of zirconium phosphate is about -2, and the linear expansion coefficient of zirconium phosphate tungstate is about -3.
  • fillers made of glass having a negative coefficient of linear expansion examples include fillers made of low-thermal-expansion crystallized glass manufactured by Nippon Electric Glass Co., Ltd.
  • the coefficient of linear expansion of the filler made of low thermal expansion crystallized glass manufactured by Nippon Electric Glass Co., Ltd. is, for example, about -1.1 ppm/K to -0.9 ppm/K. Since the filler is particulate, it may be used in a state of being added to materials such as glass and resin.
  • zirconium phosphate is used as the material forming the insulating film db (third portion) in the photodetector 1 according to Modification 2 of the third embodiment.
  • Zirconium phosphate has a linear expansion coefficient of -2 ppm/K. Therefore, the linear expansion coefficient difference from copper, which has a linear expansion coefficient of 16.5 ppm/K, is 18.5 ppm/K. In this manner, the coefficient of linear expansion can be made larger than in the case where the insulating film db described in the third embodiment is made of silicon oxide.
  • the linear expansion coefficient of zirconium phosphate is negative, the linear expansion coefficient difference can be made larger than 16.5 ppm/K, which is the value of the linear expansion coefficient of copper. Therefore, the substantial coefficient of linear expansion of the metal such as copper that forms the connection pad can be made larger than the original value of the material. In other words, the substantial coefficient of linear expansion can be increased without changing the metal forming the connection pads. As a result, insufficient bondability between the connection pads can be suppressed.
  • the material having a negative coefficient of linear expansion described above is used as a material for forming the insulating film db (third portion) in the photodetector 1 according to Modification 1 of the third embodiment shown in FIG. May be used.
  • the electronic device 100 includes a solid-state imaging device 101 , an optical lens 102 , a shutter device 103 , a driving circuit 104 and a signal processing circuit 105 .
  • the electronic device 100 is, but not limited to, an electronic device such as a camera, for example.
  • the electronic device 100 also includes the photodetector 1 described above as the solid-state imaging device 101 .
  • An optical lens (optical system) 102 forms an image of image light (incident light 106 ) from a subject on the imaging surface of the solid-state imaging device 101 .
  • signal charges are accumulated in the solid-state imaging device 101 for a certain period of time.
  • a shutter device 103 controls a light irradiation period and a light shielding period for the solid-state imaging device 101 .
  • a drive circuit 104 supplies drive signals for controlling the transfer operation of the solid-state imaging device 101 and the shutter operation of the shutter device 103 .
  • Signal transfer of the solid-state imaging device 101 is performed by a driving signal (timing signal) supplied from the driving circuit 104 .
  • the signal processing circuit 105 performs various signal processing on signals (pixel signals) output from the solid-state imaging device 101 .
  • the video signal that has undergone signal processing is stored in a storage medium such as a memory, or output to a monitor.
  • the electronic device 100 includes the solid-state imaging device 101 with low power consumption and high-speed photodetector 1, so that the electronic device 100 can be low power consumption and further speeded up.
  • the electronic device 100 is not limited to a camera, and may be another electronic device.
  • it may be an imaging device such as a camera module for mobile devices such as mobile phones.
  • the electronic device 100 includes, as the solid-state imaging device 101, the photodetector 1 according to any one of the first to third embodiments and modifications thereof, or the first to third embodiments, and a combination of at least two of the modifications thereof.
  • the technology (the present technology) according to the present disclosure can be applied to various products.
  • the technology according to the present disclosure can be realized as a device mounted on any type of moving body such as automobiles, electric vehicles, hybrid electric vehicles, motorcycles, bicycles, personal mobility, airplanes, drones, ships, and robots. may
  • FIG. 20 is a block diagram showing a schematic configuration example of a vehicle control system, which is an example of a mobile control system to which the technology according to the present disclosure can be applied.
  • a vehicle control system 12000 includes a plurality of electronic control units connected via a communication network 12001.
  • the vehicle control system 12000 includes a drive system control unit 12010, a body system control unit 12020, an outside information detection unit 12030, an inside information detection unit 12040, and an integrated control unit 12050.
  • a microcomputer 12051, an audio/image output unit 12052, and an in-vehicle network I/F (interface) 12053 are illustrated.
  • the drive system control unit 12010 controls the operation of devices related to the drive system of the vehicle according to various programs.
  • the driving system control unit 12010 includes a driving force generator for generating driving force of the vehicle such as an internal combustion engine or a driving motor, a driving force transmission mechanism for transmitting the driving force to the wheels, and a steering angle of the vehicle. It functions as a control device such as a steering mechanism to adjust and a brake device to generate braking force of the vehicle.
  • the body system control unit 12020 controls the operation of various devices equipped on the vehicle body according to various programs.
  • the body system control unit 12020 functions as a keyless entry system, a smart key system, a power window device, or a control device for various lamps such as headlamps, back lamps, brake lamps, winkers or fog lamps.
  • the body system control unit 12020 can receive radio waves transmitted from a portable device that substitutes for a key or signals from various switches.
  • the body system control unit 12020 receives the input of these radio waves or signals and controls the door lock device, power window device, lamps, etc. of the vehicle.
  • the vehicle exterior information detection unit 12030 detects information outside the vehicle in which the vehicle control system 12000 is installed.
  • the vehicle exterior information detection unit 12030 is connected with an imaging section 12031 .
  • the vehicle exterior information detection unit 12030 causes the imaging unit 12031 to capture an image of the exterior of the vehicle, and receives the captured image.
  • the vehicle exterior information detection unit 12030 may perform object detection processing or distance detection processing such as people, vehicles, obstacles, signs, or characters on the road surface based on the received image.
  • the imaging unit 12031 is an optical sensor that receives light and outputs an electrical signal according to the amount of received light.
  • the imaging unit 12031 can output the electric signal as an image, and can also output it as distance measurement information.
  • the light received by the imaging unit 12031 may be visible light or non-visible light such as infrared rays.
  • the in-vehicle information detection unit 12040 detects in-vehicle information.
  • the in-vehicle information detection unit 12040 is connected to, for example, a driver state detection section 12041 that detects the state of the driver.
  • the driver state detection unit 12041 includes, for example, a camera that captures an image of the driver, and the in-vehicle information detection unit 12040 detects the degree of fatigue or concentration of the driver based on the detection information input from the driver state detection unit 12041. It may be calculated, or it may be determined whether the driver is dozing off.
  • the microcomputer 12051 calculates control target values for the driving force generator, the steering mechanism, or the braking device based on the information inside and outside the vehicle acquired by the vehicle exterior information detection unit 12030 or the vehicle interior information detection unit 12040, and controls the drive system control unit.
  • a control command can be output to 12010 .
  • the microcomputer 12051 realizes the functions of ADAS (Advanced Driver Assistance System) including collision avoidance or shock mitigation, follow-up driving based on inter-vehicle distance, vehicle speed maintenance driving, vehicle collision warning, or vehicle lane deviation warning. Cooperative control can be performed for the purpose of ADAS (Advanced Driver Assistance System) including collision avoidance or shock mitigation, follow-up driving based on inter-vehicle distance, vehicle speed maintenance driving, vehicle collision warning, or vehicle lane deviation warning. Cooperative control can be performed for the purpose of ADAS (Advanced Driver Assistance System) including collision avoidance or shock mitigation, follow-up driving based on inter-vehicle distance, vehicle speed maintenance driving, vehicle collision warning, or vehicle
  • the microcomputer 12051 controls the driving force generator, the steering mechanism, the braking device, etc. based on the information about the vehicle surroundings acquired by the vehicle exterior information detection unit 12030 or the vehicle interior information detection unit 12040, so that the driver's Cooperative control can be performed for the purpose of autonomous driving, etc., in which vehicles autonomously travel without depending on operation.
  • the microcomputer 12051 can output a control command to the body system control unit 12020 based on the information outside the vehicle acquired by the information detection unit 12030 outside the vehicle.
  • the microcomputer 12051 controls the headlamps according to the position of the preceding vehicle or the oncoming vehicle detected by the vehicle exterior information detection unit 12030, and performs cooperative control aimed at anti-glare such as switching from high beam to low beam. It can be carried out.
  • the audio/image output unit 12052 transmits at least one of audio and/or image output signals to an output device capable of visually or audibly notifying the passengers of the vehicle or the outside of the vehicle.
  • an audio speaker 12061, a display unit 12062, and an instrument panel 12063 are illustrated as output devices.
  • the display unit 12062 may include at least one of an on-board display and a head-up display, for example.
  • FIG. 21 is a diagram showing an example of the installation position of the imaging unit 12031.
  • the vehicle 12100 has imaging units 12101, 12102, 12103, 12104, and 12105 as the imaging unit 12031.
  • the imaging units 12101, 12102, 12103, 12104, and 12105 are provided at positions such as the front nose of the vehicle 12100, the side mirrors, the rear bumper, the back door, and the upper part of the windshield in the vehicle interior, for example.
  • An image pickup unit 12101 provided in the front nose and an image pickup unit 12105 provided above the windshield in the passenger compartment mainly acquire images in front of the vehicle 12100 .
  • Imaging units 12102 and 12103 provided in the side mirrors mainly acquire side images of the vehicle 12100 .
  • An imaging unit 12104 provided in the rear bumper or back door mainly acquires an image behind the vehicle 12100 .
  • Forward images acquired by the imaging units 12101 and 12105 are mainly used for detecting preceding vehicles, pedestrians, obstacles, traffic lights, traffic signs, lanes, and the like.
  • FIG. 21 shows an example of the imaging range of the imaging units 12101 to 12104.
  • the imaging range 12111 indicates the imaging range of the imaging unit 12101 provided in the front nose
  • the imaging ranges 12112 and 12113 indicate the imaging ranges of the imaging units 12102 and 12103 provided in the side mirrors, respectively
  • the imaging range 12114 The imaging range of an imaging unit 12104 provided on the rear bumper or back door is shown. For example, by superimposing the image data captured by the imaging units 12101 to 12104, a bird's-eye view image of the vehicle 12100 viewed from above can be obtained.
  • At least one of the imaging units 12101 to 12104 may have a function of acquiring distance information.
  • at least one of the imaging units 12101 to 12104 may be a stereo camera composed of a plurality of imaging elements, or may be an imaging element having pixels for phase difference detection.
  • the microcomputer 12051 determines the distance to each three-dimensional object within the imaging ranges 12111 to 12114 and changes in this distance over time (relative velocity with respect to the vehicle 12100). , it is possible to extract, as the preceding vehicle, the closest three-dimensional object on the course of the vehicle 12100, which runs at a predetermined speed (for example, 0 km/h or more) in substantially the same direction as the vehicle 12100. can. Furthermore, the microcomputer 12051 can set the inter-vehicle distance to be secured in advance in front of the preceding vehicle, and perform automatic brake control (including following stop control) and automatic acceleration control (including following start control). In this way, cooperative control can be performed for the purpose of automatic driving in which the vehicle runs autonomously without relying on the operation of the driver.
  • automatic brake control including following stop control
  • automatic acceleration control including following start control
  • the microcomputer 12051 converts three-dimensional object data related to three-dimensional objects to other three-dimensional objects such as motorcycles, ordinary vehicles, large vehicles, pedestrians, and utility poles. It can be classified and extracted and used for automatic avoidance of obstacles. For example, the microcomputer 12051 distinguishes obstacles around the vehicle 12100 into those that are visible to the driver of the vehicle 12100 and those that are difficult to see. Then, the microcomputer 12051 judges the collision risk indicating the degree of danger of collision with each obstacle, and when the collision risk is equal to or higher than the set value and there is a possibility of collision, an audio speaker 12061 and a display unit 12062 are displayed. By outputting an alarm to the driver via the drive system control unit 12010 and performing forced deceleration and avoidance steering via the drive system control unit 12010, driving support for collision avoidance can be performed.
  • At least one of the imaging units 12101 to 12104 may be an infrared camera that detects infrared rays.
  • the microcomputer 12051 can recognize a pedestrian by determining whether or not the pedestrian exists in the captured images of the imaging units 12101 to 12104 .
  • recognition of a pedestrian is performed by, for example, a procedure for extracting feature points in images captured by the imaging units 12101 to 12104 as infrared cameras, and performing pattern matching processing on a series of feature points indicating the outline of an object to determine whether or not the pedestrian is a pedestrian.
  • the audio image output unit 12052 outputs a rectangular outline for emphasis to the recognized pedestrian. is superimposed on the display unit 12062 . Also, the audio/image output unit 12052 may control the display unit 12062 to display an icon or the like indicating a pedestrian at a desired position.
  • the technology according to the present disclosure can be applied to, for example, the imaging unit 12031 among the configurations described above.
  • the photodetector 1 described above can be applied to the imaging unit 12031 .
  • Example of application to an endoscopic surgery system The technology (the present technology) according to the present disclosure can be applied to various products.
  • the technology according to the present disclosure may be applied to an endoscopic surgery system.
  • FIG. 22 is a diagram showing an example of a schematic configuration of an endoscopic surgery system to which the technology according to the present disclosure (this technology) can be applied.
  • FIG. 22 illustrates a state in which an operator (doctor) 11131 is performing surgery on a patient 11132 on a patient bed 11133 using an endoscopic surgery system 11000 .
  • an endoscopic surgery system 11000 includes an endoscope 11100, other surgical instruments 11110 such as a pneumoperitoneum tube 11111 and an energy treatment instrument 11112, and a support arm device 11120 for supporting the endoscope 11100. , and a cart 11200 loaded with various devices for endoscopic surgery.
  • An endoscope 11100 is composed of a lens barrel 11101 whose distal end is inserted into the body cavity of a patient 11132 and a camera head 11102 connected to the proximal end of the lens barrel 11101 .
  • an endoscope 11100 configured as a so-called rigid scope having a rigid lens barrel 11101 is illustrated, but the endoscope 11100 may be configured as a so-called flexible scope having a flexible lens barrel. good.
  • the tip of the lens barrel 11101 is provided with an opening into which the objective lens is fitted.
  • a light source device 11203 is connected to the endoscope 11100, and light generated by the light source device 11203 is guided to the tip of the lens barrel 11101 by a light guide extending inside the lens barrel 11101, where it reaches the objective. Through the lens, the light is irradiated toward the observation object inside the body cavity of the patient 11132 .
  • the endoscope 11100 may be a straight scope, a perspective scope, or a side scope.
  • An optical system and an imaging element are provided inside the camera head 11102, and the reflected light (observation light) from the observation target is focused on the imaging element by the optical system.
  • the imaging element photoelectrically converts the observation light to generate an electric signal corresponding to the observation light, that is, an image signal corresponding to the observation image.
  • the image signal is transmitted to a camera control unit (CCU: Camera Control Unit) 11201 as RAW data.
  • CCU Camera Control Unit
  • the CCU 11201 is composed of a CPU (Central Processing Unit), a GPU (Graphics Processing Unit), etc., and controls the operations of the endoscope 11100 and the display device 11202 in an integrated manner. Further, the CCU 11201 receives an image signal from the camera head 11102 and performs various image processing such as development processing (demosaicing) for displaying an image based on the image signal.
  • CPU Central Processing Unit
  • GPU Graphics Processing Unit
  • the display device 11202 displays an image based on an image signal subjected to image processing by the CCU 11201 under the control of the CCU 11201 .
  • the light source device 11203 is composed of a light source such as an LED (Light Emitting Diode), for example, and supplies the endoscope 11100 with irradiation light for photographing a surgical site or the like.
  • a light source such as an LED (Light Emitting Diode), for example, and supplies the endoscope 11100 with irradiation light for photographing a surgical site or the like.
  • the input device 11204 is an input interface for the endoscopic surgery system 11000.
  • the user can input various information and instructions to the endoscopic surgery system 11000 via the input device 11204 .
  • the user inputs an instruction or the like to change the imaging conditions (type of irradiation light, magnification, focal length, etc.) by the endoscope 11100 .
  • the treatment instrument control device 11205 controls driving of the energy treatment instrument 11112 for tissue cauterization, incision, blood vessel sealing, or the like.
  • the pneumoperitoneum device 11206 inflates the body cavity of the patient 11132 for the purpose of securing the visual field of the endoscope 11100 and securing the operator's working space, and injects gas into the body cavity through the pneumoperitoneum tube 11111. send in.
  • the recorder 11207 is a device capable of recording various types of information regarding surgery.
  • the printer 11208 is a device capable of printing various types of information regarding surgery in various formats such as text, images, and graphs.
  • the light source device 11203 that supplies the endoscope 11100 with irradiation light for photographing the surgical site can be composed of, for example, a white light source composed of an LED, a laser light source, or a combination thereof.
  • a white light source is configured by a combination of RGB laser light sources
  • the output intensity and output timing of each color (each wavelength) can be controlled with high accuracy. It can be carried out.
  • the observation target is irradiated with laser light from each of the RGB laser light sources in a time-division manner, and by controlling the drive of the imaging element of the camera head 11102 in synchronization with the irradiation timing, each of RGB can be handled. It is also possible to pick up images by time division. According to this method, a color image can be obtained without providing a color filter in the imaging device.
  • the driving of the light source device 11203 may be controlled so as to change the intensity of the output light every predetermined time.
  • the drive of the imaging device of the camera head 11102 in synchronism with the timing of the change in the intensity of the light to obtain an image in a time-division manner and synthesizing the images, a high dynamic A range of images can be generated.
  • the light source device 11203 may be configured to be able to supply light in a predetermined wavelength band corresponding to special light observation.
  • special light observation for example, the wavelength dependence of light absorption in body tissues is used to irradiate a narrower band of light than the irradiation light (i.e., white light) used during normal observation, thereby observing the mucosal surface layer.
  • narrow band imaging in which a predetermined tissue such as a blood vessel is imaged with high contrast, is performed.
  • fluorescence observation may be performed in which an image is obtained from fluorescence generated by irradiation with excitation light.
  • the body tissue is irradiated with excitation light and the fluorescence from the body tissue is observed (autofluorescence observation), or a reagent such as indocyanine green (ICG) is locally injected into the body tissue and the body tissue is A fluorescence image can be obtained by irradiating excitation light corresponding to the fluorescence wavelength of the reagent.
  • the light source device 11203 can be configured to be able to supply narrowband light and/or excitation light corresponding to such special light observation.
  • FIG. 23 is a block diagram showing an example of functional configurations of the camera head 11102 and CCU 11201 shown in FIG.
  • the camera head 11102 has a lens unit 11401, an imaging section 11402, a drive section 11403, a communication section 11404, and a camera head control section 11405.
  • the CCU 11201 has a communication section 11411 , an image processing section 11412 and a control section 11413 .
  • the camera head 11102 and the CCU 11201 are communicably connected to each other via a transmission cable 11400 .
  • a lens unit 11401 is an optical system provided at a connection with the lens barrel 11101 . Observation light captured from the tip of the lens barrel 11101 is guided to the camera head 11102 and enters the lens unit 11401 .
  • a lens unit 11401 is configured by combining a plurality of lenses including a zoom lens and a focus lens.
  • the imaging unit 11402 is composed of an imaging element.
  • the imaging device constituting the imaging unit 11402 may be one (so-called single-plate type) or plural (so-called multi-plate type).
  • image signals corresponding to RGB may be generated by each image pickup element, and a color image may be obtained by synthesizing the image signals.
  • the imaging unit 11402 may be configured to have a pair of imaging elements for respectively acquiring right-eye and left-eye image signals corresponding to 3D (Dimensional) display.
  • the 3D display enables the operator 11131 to more accurately grasp the depth of the living tissue in the surgical site.
  • a plurality of systems of lens units 11401 may be provided corresponding to each imaging element.
  • the imaging unit 11402 does not necessarily have to be provided in the camera head 11102 .
  • the imaging unit 11402 may be provided inside the lens barrel 11101 immediately after the objective lens.
  • the drive unit 11403 is configured by an actuator, and moves the zoom lens and focus lens of the lens unit 11401 by a predetermined distance along the optical axis under control from the camera head control unit 11405 . Thereby, the magnification and focus of the image captured by the imaging unit 11402 can be appropriately adjusted.
  • the communication unit 11404 is composed of a communication device for transmitting and receiving various information to and from the CCU 11201.
  • the communication unit 11404 transmits the image signal obtained from the imaging unit 11402 as RAW data to the CCU 11201 via the transmission cable 11400 .
  • the communication unit 11404 receives a control signal for controlling driving of the camera head 11102 from the CCU 11201 and supplies it to the camera head control unit 11405 .
  • the control signal includes, for example, information to specify the frame rate of the captured image, information to specify the exposure value at the time of imaging, and/or information to specify the magnification and focus of the captured image. Contains information about conditions.
  • the imaging conditions such as the frame rate, exposure value, magnification, and focus may be appropriately designated by the user, or may be automatically set by the control unit 11413 of the CCU 11201 based on the acquired image signal. good.
  • the endoscope 11100 is equipped with so-called AE (Auto Exposure) function, AF (Auto Focus) function, and AWB (Auto White Balance) function.
  • the camera head control unit 11405 controls driving of the camera head 11102 based on the control signal from the CCU 11201 received via the communication unit 11404.
  • the communication unit 11411 is composed of a communication device for transmitting and receiving various information to and from the camera head 11102 .
  • the communication unit 11411 receives image signals transmitted from the camera head 11102 via the transmission cable 11400 .
  • the communication unit 11411 transmits a control signal for controlling driving of the camera head 11102 to the camera head 11102 .
  • Image signals and control signals can be transmitted by electric communication, optical communication, or the like.
  • the image processing unit 11412 performs various types of image processing on the image signal, which is RAW data transmitted from the camera head 11102 .
  • the control unit 11413 performs various controls related to imaging of the surgical site and the like by the endoscope 11100 and display of the captured image obtained by imaging the surgical site and the like. For example, the control unit 11413 generates control signals for controlling driving of the camera head 11102 .
  • control unit 11413 causes the display device 11202 to display a captured image showing the surgical site and the like based on the image signal that has undergone image processing by the image processing unit 11412 .
  • the control unit 11413 may recognize various objects in the captured image using various image recognition techniques. For example, the control unit 11413 detects the shape, color, and the like of the edges of objects included in the captured image, thereby detecting surgical instruments such as forceps, specific body parts, bleeding, mist during use of the energy treatment instrument 11112, and the like. can recognize.
  • the control unit 11413 may use the recognition result to display various types of surgical assistance information superimposed on the image of the surgical site. By superimposing and presenting the surgery support information to the operator 11131, the burden on the operator 11131 can be reduced and the operator 11131 can proceed with the surgery reliably.
  • a transmission cable 11400 connecting the camera head 11102 and the CCU 11201 is an electrical signal cable compatible with electrical signal communication, an optical fiber compatible with optical communication, or a composite cable of these.
  • wired communication is performed using the transmission cable 11400, but communication between the camera head 11102 and the CCU 11201 may be performed wirelessly.
  • the technology according to the present disclosure can be applied to, for example, the imaging unit 11402 of the camera head 11102 among the configurations described above.
  • the photodetector 1 described above can be applied to the imaging unit 11402 .
  • the technology according to the present disclosure may also be applied to, for example, a microsurgery system.
  • the configuration of the pillars according to the first embodiment, the configuration of the connection pads according to the second embodiment, and the configuration of the insulating film db according to the third embodiment may all be combined. can be combined. By combining at least two of these embodiments, insufficient bondability between connection pads can be further suppressed.
  • the insulating films 31A, 41A, 61A, and 71A may be made of the first material, which is a low dielectric constant (Low-K) insulating material.
  • the influence of the barrier metal layer f on the amount of expansion of the first portion a can be suppressed. Also, it is possible to suppress the deterioration of the contact between the connection pads.
  • each of the insulating films 31A, 41A, 61A, and 71A may be at least partially made of a first material that is a low dielectric constant (Low-K) insulating material.
  • the insulating film da may be made of the first material, which is a low dielectric constant (Low-K) insulating material.
  • the amount of expansion of the insulating film db can be suppressed, so even if the insulating film da is made of the first material, the contact between the connection pads deteriorates. can be suppressed.
  • at least part of the insulating film da of each wiring layer may be made of a first material that is a low dielectric constant (Low-K) insulating material. In this way, various combinations are possible in line with their respective technical ideas.
  • the photodetector 1 described above has three semiconductor layers, it is not limited to this, and may have at least two semiconductor layers.
  • this technology can be applied not only to solid-state imaging devices as image sensors, but also to light detection devices in general, including range sensors that measure distance, also known as ToF (Time of Flight) sensors.
  • a ranging sensor emits irradiation light toward an object, detects the reflected light that is reflected from the surface of the object, and then detects the reflected light from the irradiation light until the reflected light is received. It is a sensor that calculates the distance to an object based on time.
  • the structure of this distance measuring sensor the structure of the connection pads and the insulating film described above can be adopted.
  • the present technology can also be applied to semiconductor devices other than the photodetector device 1 .
  • the present technology may be configured as follows. (1) at least two semiconductor layers; interposed between the semiconductor layers, each including an insulating film and a connection pad provided on the insulating film, and electrically coupled to each other by bonding the surfaces of the connection pads on one side in the stacking direction and a wiring layer on the other side of the stacking direction, with Of the at least two semiconductor layers, the semiconductor layer on the light incident surface side has a photoelectric conversion region, the insulating film includes a first insulating film, and a second insulating film made of a material having higher rigidity than a material forming the first insulating film and penetrating the first insulating film in a stacking direction, The photodetector, wherein the second insulating film is provided between the connection pad and at least one of the semiconductor layers.
  • the second insulating film includes a columnar portion extending along the stacking direction, The photodetector according to (1), wherein the columnar portion has one end in the stacking direction in contact with the connection pad and the other end in contact with the semiconductor layer. (3) The photodetector according to (2), wherein the columnar portion is provided at a position not overlapping the wiring formed in the insulating film in the stacking direction. (4) The photodetector according to (2) or (3), wherein a plurality of the columnar portions are provided for one connection pad. (5) The photodetector according to any one of (1) to (4), wherein the dielectric constant of the material forming the first insulating film is lower than the dielectric constant of the material forming the second insulating film.
  • the photodetector according to any one of (1) to (5), wherein the material forming the second insulating film is silicon oxide, silicon nitride, or silicon oxide and silicon nitride.
  • the semiconductor layers at least two semiconductor layers; interposed between the semiconductor layers, each including an insulating film and a connection pad provided on the insulating film, and electrically coupled to each other by bonding the surfaces of the connection pads on one side in the stacking direction and a wiring layer on the other side of the stacking direction, with Of the at least two semiconductor layers, the semiconductor layer on the light incident surface side has a photoelectric conversion region, At least one of the connection pads is provided between a first portion made of a first metal and constituting a surface of the connection pad, and the first portion and the insulating film, and is plastically deformed by the first metal.
  • the second portion is a seed layer that functions as a base for laminating the first metal, or a barrier metal layer that suppresses diffusion of the first metal into the insulating film; ).
  • the first metal is copper
  • the second metal is aluminum, aluminum-copper alloy, aluminum-silicon alloy, cadmium, tin, tantalum, lead, lead-copper alloy, antimony, ytterbium, calcium, silver, germanium, strontium, or cerium.
  • the photodetector according to any one of (7) to (10).
  • the semiconductor layer on the light incident surface side has a photoelectric conversion region
  • the coefficient of linear expansion of the material forming the third portion, which is the portion adjacent to the side surface of the connection pad, of the insulating film is the linear expansion coefficient of the material forming the fourth portion, which is the portion adjacent to the bottom surface of the connection pad.
  • the photodetector according to (12), wherein the material forming the third portion is a glass ceramic whose coefficient of linear expansion is adjusted by an additive.
  • the photodetector according to (12), wherein the material forming the third portion has a negative coefficient of linear expansion.
  • the material constituting the third part is cubic zirconium tungstate, a Cu-Zn-VO-based oxide, zirconium phosphate, zirconium tungstate phosphate, and a glass filler having a negative coefficient of linear expansion, The photodetector according to (14), comprising at least one.
  • At least one of a silicon oxide film, a silicon nitride film, a silicon carbonitride film, a carbon-containing silicon oxide film, a silicon carbide film, an aluminum oxide film, and a tantalum oxide film is provided between the third portion and the fourth portion. 16.
  • the photodetector is at least two semiconductor layers; interposed between the semiconductor layers, each including an insulating film and a connection pad provided on the insulating film, and electrically coupled to each other by bonding the surfaces of the connection pads on one side in the stacking direction and a wiring layer on the other side of the stacking direction, with Of the at least two semiconductor layers, the semiconductor layer on the light incident surface side has a photoelectric conversion region, the insulating film includes a first insulating film, and a second insulating film made of a material having higher rigidity than a material forming the first insulating film and penetrating the first insulating film in a stacking direction, The electronic device, wherein the second insulating film is provided between the connection pad and at least one of the semiconductor layers.
  • the photodetector is at least two semiconductor layers; interposed between the semiconductor layers, each including an insulating film and a connection pad provided on the insulating film, and electrically coupled to each other by bonding the surfaces of the connection pads on one side in the stacking direction and a wiring layer on the other side of the stacking direction, with Of the at least two semiconductor layers, the semiconductor layer on the light incident surface side has a photoelectric conversion region, At least one of the connection pads is provided between a first portion made of a first metal and constituting a surface of the connection pad, and the first portion and the insulating film, and is plastically deformed by the first metal.
  • the photodetector is at least two semiconductor layers; interposed between the semiconductor layers, each including an insulating film and a connection pad provided on the insulating film, and electrically coupled to each other by bonding the surfaces of the connection pads on one side in the stacking direction and a wiring layer on the other side of the stacking direction, with Of the at least two semiconductor layers, the semiconductor layer on the light incident surface side has a photoelectric conversion region,
  • the coefficient of linear expansion of the material forming the third portion, which is the portion adjacent to the side surface of the connection pad, of the insulating film is the linear expansion coefficient of the material forming the fourth portion, which is the portion adjacent to the bottom surface of the connection pad.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

接続パッド同士の接触性の劣化が抑制された光検出装置を提供する。光検出装置は、少なくとも2層の半導体層と、半導体層同士の間に介在し、それぞれが絶縁膜及び絶縁膜に設けられた接続パッドを含み、接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、を備え、少なくとも2層の半導体層のうちの光入射面側の半導体層は光電変換領域を有し、絶縁膜は、第1絶縁膜と、第1絶縁膜を構成する材料より剛性が高い材料からなり、第1絶縁膜を積層方向に貫通している第2絶縁膜とを含み、第2絶縁膜は、接続パッドと少なくとも一の半導体層との間に設けられている。

Description

光検出装置及び電子機器
 本技術(本開示に係る技術)は、光検出装置及び電子機器に関し、特に、積層型の光検出装置及び電子機器に関する。
 積層型イメージセンサでは、ハイブリッドボンディングによりウエハ同士を直接接合する場合がある。ハイブリッドボンディングでは、配線層に形成された金属製の接続パッド同士を接合することにより、ウエハ同士を電気的に接合している(例えば、特許文献1)。
 また、配線間の寄生容量が増加するのを抑制するために、配線層の絶縁膜として、低誘電率絶縁材料を用いることがある(例えば、特許文献2)。
特開2019-110260号公報 特開2015-76502号公報
 接続パッド同士は、重ね合わされた後に熱処理される。この熱処理を行うと接続パッドを構成する金属が膨張する。これにより、パッド同士の接続パッド同士の接触性が劣化するのを抑制している。そして、このような接続パッドは、微細化に伴って寸法が小さくなってきている。接続パッドの寸法が小さくなると、接続パッドを構成する金属の容量が減少する。そして、金属の容量が減少すると、熱処理による膨張量が少なくなる。また、低誘電率絶縁材料は、例えば、酸化シリコンよりヤング率が低いことが知られている。
 本技術は、接続パッド同士の接触性の劣化が抑制された光検出装置及び電子機器を提供することを目的とする。
 本技術の一態様に係る光検出装置は、少なくとも2層の半導体層と、上記半導体層同士の間に介在し、それぞれが絶縁膜及び上記絶縁膜に設けられた接続パッドを含み、上記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、を備え、少なくとも2層の上記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、上記絶縁膜は、第1絶縁膜と、上記第1絶縁膜を構成する材料より剛性が高い材料からなり、上記第1絶縁膜を積層方向に貫通している第2絶縁膜とを含み、上記第2絶縁膜は、上記接続パッドと少なくとも一の上記半導体層との間に設けられている。
 本技術の他の一態様に係る光検出装置は、少なくとも2層の半導体層と、上記半導体層同士の間に介在し、それぞれが絶縁膜及び上記絶縁膜に設けられた接続パッドを含み、上記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、を備え、少なくとも2層の上記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、上記接続パッドの少なくとも一方は、第1金属からなり当該接続パッドの表面を構成している第1部分と、上記第1部分と上記絶縁膜との間に設けられ、上記第1金属より塑性変形しやすい第2金属からなる第2部分と、を有する。
 本技術の他の一態様に係る光検出装置は、少なくとも2層の半導体層と、上記半導体層同士の間に介在し、それぞれが絶縁膜及び上記絶縁膜に設けられた接続パッドを含み、上記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、を備え、少なくとも2層の上記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、上記絶縁膜のうち、上記接続パッドの側面に隣接する部分である第3部分を構成する材料の線膨張係数は、上記接続パッドの底面に隣接する部分である第4部分を構成する材料の線膨張係数より小さい。
 本技術の一態様に係る電子機器は、上記光検出装置と、上記光検出装置に被写体からの像光を結像させる光学系と、を備える。
本技術の第1実施形態に係る光検出装置の一構成例を示すチップレイアウト図である。 本技術の第1実施形態に係る光検出装置の一構成例を示すブロック図である。 本技術の第1実施形態に係る光検出装置の画素の等価回路図である。 本技術の第1実施形態に係る光検出装置の縦断面図である。 図4Aの要部を拡大して示す部分拡大図である。 本技術の第1実施形態に係る光検出装置の製造方法を示す工程断面図である。 図5Aに引き続く工程断面図である。 図5Bに引き続く工程断面図である。 図5Cに引き続く工程断面図である。 図5Dに引き続く工程断面図である。 図5Eに引き続く工程断面図である。 図5Fに引き続く工程断面図である。 図5Gに引き続く工程断面図である。 図5Hに引き続く工程断面図である。 図5Iに引き続く工程断面図である。 図5Jに引き続く工程断面図である。 図5Kに引き続く工程断面図である。 図5Lに引き続く工程断面図である。 図5Mに引き続く工程断面図である。 本技術の第1実施形態の他の形態に係る光検出装置の縦断面の要部を拡大して示す部分拡大図である。 本技術の第1実施形態の変形例1に係る光検出装置の縦断面の要部を拡大して示す部分拡大図である。 本技術の第1実施形態の変形例1に係る光検出装置の製造方法を示す工程断面図である。 図8Aに引き続く工程断面図である。 図8Bに引き続く工程断面図である。 図8Cに引き続く工程断面図である。 本技術の第2実施形態に係る光検出装置の縦断面図である。 本技術の第2実施形態に係る光検出装置が有する接続パッドの構成を説明するための説明図である。 本技術の第2実施形態に係る光検出装置の製造方法を示す工程断面図である。 図11Aに引き続く工程断面図である。 図11Bに引き続く工程断面図である。 図11Cに引き続く工程断面図である。 図11Dに引き続く工程断面図である。 図11Eに引き続く工程断面図である。 本技術の第2実施形態の変形例1に係る光検出装置が有する接続パッドの構成を説明するための説明図である。 本技術の第2実施形態の変形例2に係る光検出装置が有する接続パッドの構成を説明するための説明図である。 本技術の第3実施形態に係る光検出装置の縦断面図である。 本技術の第3実施形態に係る光検出装置が有する接続パッド周囲の絶縁膜の構成を説明するための説明図である。 本技術の第3実施形態に係る光検出装置の製造方法を示す工程断面図である。 図16Aに引き続く工程断面図である。 図16Bに引き続く工程断面図である。 図16Cに引き続く工程断面図である。 図16Dに引き続く工程断面図である。 図16Eに引き続く工程断面図である。 本技術の第3実施形態の変形例1に係る光検出装置が有する接触層の構成を説明するための説明図である。 本技術の第3実施形態の変形例1に係る光検出装置の製造方法を示す工程断面図である。 図18Aに引き続く工程断面図である。 図18Bに引き続く工程断面図である。 図18Cに引き続く工程断面図である。 図18Dに引き続く工程断面図である。 図18Eに引き続く工程断面図である。 図18Fに引き続く工程断面図である。 本技術の第4実施形態に係る電子機器の概略構成を示す図である。 車両制御システムの概略的な構成の一例を示すブロック図である。 車外情報検出部及び撮像部の設置位置の一例を示す説明図である。 内視鏡手術システムの概略的な構成の一例を示す図である。 カメラヘッド及びCCUの機能構成の一例を示すブロック図である。
 以下、本技術を実施するための好適な形態について図面を参照しながら説明する。なお、以下に説明する実施形態は、本技術の代表的な実施形態の一例を示したものであり、これにより本技術の範囲が狭く解釈されることはない。
 以下の図面の記載において、同一又は類似の部分には同一又は類似の符号を付している。ただし、図面は模式的なものであり、厚みと平面寸法との関係、各層の厚みの比率等は現実のものとは異なることに留意すべきである。したがって、具体的な厚みや寸法は以下の説明を参酌して判断すべきものである。又、図面相互間においても互いの寸法の関係や比率が異なる部分が含まれていることはもちろんである。
 また、以下に示す各実施形態は、本技術の技術的思想を具体化するための装置や方法を例示するものであって、本技術の技術的思想は、構成部品の材質、形状、構造、配置等を下記のものに特定するものでない。本技術の技術的思想は、特許請求の範囲に記載された請求項が規定する技術的範囲内において、種々の変更を加えることができる。
 説明は以下の順序で行う。
1.第1実施形態
2.第2実施形態
3.第3実施形態
4.第4実施形態
   電子機器への応用例
   移動体への応用例
   内視鏡手術システムへの応用例
 [第1実施形態]
 この第1実施形態では、裏面照射型のCMOS(Complementary Metal Oxide Semiconductor)イメージセンサである光検出装置に本技術を適用した一例について説明する。
 ≪光検出装置の全体構成≫
 まず、光検出装置1の全体構成について説明する。図1に示すように、本技術の第1実施形態に係る光検出装置1は、平面視したときの二次元平面形状が方形状の半導体チップ2を主体に構成されている。すなわち、光検出装置1は、半導体チップ2に搭載されている。この光検出装置1は、図19に示すように、光学系(光学レンズ)102を介して被写体からの像光(入射光106)を取り込み、撮像面上に結像された入射光106の光量を画素単位で電気信号に変換して画素信号として出力する。
 図1に示すように、光検出装置1が搭載された半導体チップ2は、互いに交差するX方向及びY方向を含む二次元平面において、中央部に設けられた方形状の画素領域2Aと、この画素領域2Aの外側に画素領域2Aを囲むようにして設けられた周辺領域2Bとを備えている。
 画素領域2Aは、例えば図19に示す光学系102により集光される光を受光する受光面である。そして、画素領域2Aには、X方向及びY方向を含む二次元平面において複数の画素3が行列状に配置されている。換言すれば、画素3は、二次元平面内で互いに交差するX方向及びY方向のそれぞれの方向に繰り返し配置されている。なお、本実施形態においては、一例としてX方向とY方向とが直交している。また、X方向とY方向との両方に直交する方向がZ方向(厚み方向)である。
 図1に示すように、周辺領域2Bには、複数のボンディングパッド14が配置されている。複数のボンディングパッド14の各々は、例えば、半導体チップ2の二次元平面における4つの辺の各々の辺に沿って配列されている。複数のボンディングパッド14の各々は、半導体チップ2を外部装置と電気的に接続する際に用いられる入出力端子である。
 <ロジック回路>
 図2に示すように、半導体チップ2は、垂直駆動回路4、カラム信号処理回路5、水平駆動回路6、出力回路7及び制御回路8などを含むロジック回路13を備えている。ロジック回路13は、電界効果トランジスタとして、例えば、nチャネル導電型のMOSFET(Metal Oxide Semiconductor Field Effect Transistor)及びpチャネル導電型のMOSFETを有するCMOS(Complenentary MOS)回路で構成されている。
 垂直駆動回路4は、例えばシフトレジスタによって構成されている。垂直駆動回路4は、所望の画素駆動線10を順次選択し、選択した画素駆動線10に画素3を駆動するためのパルスを供給し、各画素3を行単位で駆動する。即ち、垂直駆動回路4は、画素領域2Aの各画素3を行単位で順次垂直方向に選択走査し、各画素3の光電変換素子が受光量に応じて生成した信号電荷に基づく画素3からの画素信号を、垂直信号線11を通してカラム信号処理回路5に供給する。
 カラム信号処理回路5は、例えば画素3の列毎に配置されており、1行分の画素3から出力される信号に対して画素列毎にノイズ除去等の信号処理を行う。例えばカラム信号処理回路5は、画素固有の固定パターンノイズを除去するためのCDS(Correlated Double Sampling:相関2重サンプリング)及びAD(Analog Digital)変換等の信号処理を行う。カラム信号処理回路5の出力段には水平選択スイッチ(図示せず)が水平信号線12との間に接続されて設けられる。
 水平駆動回路6は、例えばシフトレジスタによって構成されている。水平駆動回路6は、水平走査パルスをカラム信号処理回路5に順次出力することによって、カラム信号処理回路5の各々を順番に選択し、カラム信号処理回路5の各々から信号処理が行われた画素信号を水平信号線12に出力させる。
 出力回路7は、カラム信号処理回路5の各々から水平信号線12を通して順次に供給される画素信号に対し、信号処理を行って出力する。信号処理としては、例えば、バッファリング、黒レベル調整、列ばらつき補正、各種デジタル信号処理等を用いることができる。
 制御回路8は、垂直同期信号、水平同期信号、及びマスタクロック信号に基づいて、垂直駆動回路4、カラム信号処理回路5、及び水平駆動回路6等の動作の基準となるクロック信号や制御信号を生成する。そして、制御回路8は、生成したクロック信号や制御信号を、垂直駆動回路4、カラム信号処理回路5、及び水平駆動回路6等に出力する。
 <画素>
 図3は、画素3の一構成例を示す等価回路図である。画素3は、光電変換素子PDと、この光電変換素子PDで光電変換された信号電荷を蓄積(保持)する電荷蓄積領域(フローティングディフュージョン:Floating Diffusion)FDと、この光電変換素子PDで光電変換された信号電荷を電荷蓄積領域FDに転送する転送トランジスタTRと、を備えている。また、画素3は、電荷蓄積領域FDに電気的に接続された読出し回路15を備えている。
 光電変換素子PDは、受光量に応じた信号電荷を生成する。光電変換素子PDはまた、生成された信号電荷を一時的に蓄積(保持)する。光電変換素子PDは、カソード側が転送トランジスタTRのソース領域と電気的に接続され、アノード側が基準電位線(例えばグランド)と電気的に接続されている。光電変換素子PDとしては、例えばフォトダイオードが用いられている。
 転送トランジスタTRのドレイン領域は、電荷蓄積領域FDと電気的に接続されている。転送トランジスタTRのゲート電極は、画素駆動線10(図2参照)のうちの転送トランジスタ駆動線と電気的に接続されている。
 電荷蓄積領域FDは、光電変換素子PDから転送トランジスタTRを介して転送された信号電荷を一時的に蓄積して保持する。
 読出し回路15は、電荷蓄積領域FDに蓄積された信号電荷を読み出し、信号電荷に基づく画素信号を出力する。読出し回路15は、これに限定されないが、画素トランジスタとして、例えば、増幅トランジスタAMPと、選択トランジスタSELと、リセットトランジスタRSTと、を備えている。これらのトランジスタ(AMP,SEL,RST)は、例えば、酸化シリコン膜(SiO膜)からなるゲート絶縁膜と、ゲート電極と、ソース領域及びドレイン領域として機能する一対の主電極領域と、を有するMOSFETで構成されている。また、これらのトランジスタとしては、ゲート絶縁膜が窒化シリコン膜(Si膜)、或いは窒化シリコン膜及び酸化シリコン膜などの積層膜からなるMISFET(Metal Insulator Semiconductor FET)でも構わない。
 増幅トランジスタAMPは、ソース領域が選択トランジスタSELのドレイン領域と電気的に接続され、ドレイン領域が電源線Vdd及びリセットトランジスタのドレイン領域と電気的に接続されている。そして、増幅トランジスタAMPのゲート電極は、電荷蓄積領域FD及びリセットトランジスタRSTのソース領域と電気的に接続されている。
 選択トランジスタSELは、ソース領域が垂直信号線11(VSL)と電気的に接続され、ドレインが増幅トランジスタAMPのソース領域と電気的に接続されている。そして、選択トランジスタSELのゲート電極は、画素駆動線10(図2参照)のうちの選択トランジスタ駆動線と電気的に接続されている。
 リセットトランジスタRSTは、ソース領域が電荷蓄積領域FD及び増幅トランジスタAMPのゲート電極と電気的に接続され、ドレイン領域が電源線Vdd及び増幅トランジスタAMPのドレイン領域と電気的に接続されている。リセットトランジスタRSTのゲート電極は、画素駆動線10(図2参照)のうちのリセットトランジスタ駆動線と電気的に接続されている。
 ≪光検出装置の具体的な構成≫
 次に、光検出装置1の具体的な構成について、図4A及び図4Bを用いて説明する。
 <光検出装置の積層構造>
 図4Aに示すように、光検出装置1(半導体チップ2)は、集光層90と、第1半導体層20と、第1配線層30と、第2配線層40と、第2半導体層50と、第3配線層60と、第4配線層70と、第3半導体層80と、をこの順で積層した積層構造を有する。図4Aに示す例では、光検出装置1は、第1半導体層20、第2半導体層50、及び第3半導体層80の3層の半導体層を有している。
 集光層90は、第1半導体層20の第2の面S2側から、これに限定されないが、例えば、カラーフィルタ91と、オンチップレンズ92とがその順で積層された積層構造を有する。第1半導体層20は、後述の光電変換領域を有し且つ一方の面が第1の面S1であり他方の面が光入射面である第2の面S2である。第1配線層30は、第1半導体層20の第1の面S1に重ね合わされている。第2配線層40は、第1配線層30の第1半導体層20側の面と反対側の面に重ね合わされている。第2半導体層50は、トランジスタを有し、一方の面が第3の面S3であり他方の面が第4の面S4であり、第3の面S3が第2配線層40の第1配線層30側の面と反対側の面に重ね合わされている。第3配線層60は、第2半導体層50の第4の面S4に重ね合わされている。第4配線層70は、第3配線層60の第2半導体層50側の面と反対側の面に重ね合わされている。第3半導体層80の第5の面S5は、第4配線層70の第3配線層60側の面と反対側の面に重ね合わされている。
 ここで、第1半導体層20の第1の面S1を素子形成面又は主面と呼び、第1半導体層20の第2の面S2を光入射面又は裏面と呼ぶこともある。また、第2半導体層50の第3の面S3を素子形成面又は主面と呼び、第2半導体層50の第4の面S4を裏面と呼ぶこともある。さらに、第3半導体層80の第5の面S5を素子形成面又は主面と呼び、第5の面S5とは反対側の面を裏面と呼ぶこともある。ここで、第3の面S3及び第5の面S5は、図4Aに示すように凹凸を有していても良い。
 <第1半導体層>
 第1半導体層20は、半導体基板で構成されている。第1半導体層20は、これには限定されないが、例えば、単結晶シリコン基板で構成されている。第1半導体層20は、第1導電型、例えばp型を呈する。第1半導体層20は、上述の3層の半導体層うちの光入射面側の半導体層である。より具体的には、第1半導体層20は、上述の3層の半導体層うち、最も光検出装置1の光入射面側寄りに位置する半導体層である。
 そして、第1半導体層20には、光電変換領域20aが画素3毎に設けられている。第1半導体層20には、例えば、分離領域20bで区画された島状の光電変換領域20aが画素3毎に設けられている。なお、画素3の数は、図4Aに限定されるものではない。分離領域20bは、これに限定されないが、例えば、第1半導体層20に分離溝を形成し、この分離溝内に絶縁膜を埋め込んだトレンチ構造を有する。図4Aに示す例では、分離溝内に絶縁膜及び金属が埋め込まれている。
 光電変換領域20aは、図示は省略するが、第1導電型、例えばp型のウエル領域と、ウエル領域の内部に埋設された、第2導電型、例えばn型の半導体領域(光電変換部)とを有する。図3に示した光電変換素子PDは、第1半導体層20のウエル領域と光電変換部とを含む光電変換領域20aに構成されている。また、光電変換領域20aには、トランジスタT1が設けられていても良い。さらに、光電変換領域20aには、第2導電型、例えばn型の半導体領域である図示しない電荷蓄積領域が設けられていても良い。
 <第1配線層及び第2配線層>
 第1配線層30及び第2配線層40は、半導体層同士の間、より具体的には第1半導体層20と第2半導体層50との間に介在している。また、第1配線層30及び第2配線層40のうちの一方が積層方向一方側の配線層であり、他方が積層方向他方側の配線層である。
 第1配線層30は、絶縁膜31と、配線32と、第1接続パッド33と、ビア(コンタクト)34とを含む。配線32及び第1接続パッド33は、図示のように絶縁膜31を介して積層されている。第1接続パッド33は、その表面が第1配線層30の第1半導体層20側とは反対側の面に臨んでいる。ビア34は、第1半導体層20と配線32、配線32同士、及び配線32と第1接続パッド33等を接続している。また、配線32及び第1接続パッド33は、これに限定されないが、例えば、銅製であり、ダマシン法により形成されていても良い。
 絶縁膜31は、第1材料からなる第1絶縁膜35と、第2材料からなる第2絶縁膜36とを有する。なお、第1絶縁膜35と第2絶縁膜36とを区別しない場合には、単に絶縁膜31と呼ぶ。まず、第2材料から先に説明する。第2材料は、第1材料より誘電率が高く、第1材料より剛性が高い材料である。第2材料は、例えば、酸化シリコン(SiO2)である。第1材料は、第2材料より誘電率が低い低誘電率(Low-K)絶縁材料であり、剛性は第1材料より低い。ここでは、第2材料は酸化シリコンであるとして説明するので、第1材料は、酸化シリコン膜より誘電率及び剛性が低い絶縁材料である。第1材料は、例えば炭素含有酸化シリコン膜(SiOC)やSiCOH膜である。また、第1材料は、上述の有機材料と無機材料の混合材料だけでなく、その他の無機材料、有機材料であっても良い。無機材料としては、例えば、フッ素添加酸化シリコン膜(SiOF)、水素化シルセスキオキサン(HSQ)などがある。有機材料としては、例えば、パレリン系、ポリアリルエーテル系などがある。炭素含有酸化シリコン膜(SiOC)やSiCOH膜以外の有機材料と無機材料の混合材料としては、例えば、メチル化シルセスキオキサン(MSQ)などがある。また、第1材料は、絶縁膜材料に空孔を導入し、多孔質(ポーラス)化させた材料をであっても良い。具体的には、例えば熱や乾燥などの作用によって、膜を低密度化させることで、絶縁膜の誘電率を低下させることができる。そして、第1絶縁膜35を第1材料で構成することで、配線間容量の増加を抑制することができる。配線間容量の増加が抑制されると、半導体素子の高速動作や信号伝送の高速化、消費電力の削減が可能になる。なお、これ以降において「第1材料」及び「第2材料」と記載されている場合、別途定義されている場合を除いて、上記説明した第1材料及び第2材料を指すものとする。
 第2配線層40は、絶縁膜41と、配線42と、第2接続パッド43と、ビア(コンタクト)44とを含む。配線42及び第2接続パッド43は、図示のように絶縁膜41を介して積層されている。第2接続パッド43は、その表面が第2配線層40の第2半導体層50側とは反対側の面に臨んでいる。ビア44は、第2半導体層50と配線42、配線42同士、及び配線42と第2接続パッド43等を接続している。また、配線42及び第2接続パッド43は、これに限定されないが、例えば、銅製であり、ダマシン法により形成されていても良い。
 第1接続パッド33の表面は、第2接続パッド43の表面と接合されている。このように、接続パッドの表面同士を接合することで、第1配線層30と第2配線層40とが互いに電気的に結合されている。
 絶縁膜41は、第1材料からなる第1絶縁膜45と、第2材料からなる第2絶縁膜46とを有する。なお、第1絶縁膜45と第2絶縁膜46とを区別しない場合には、単に絶縁膜41と呼ぶ。
 <第2半導体層>
 第2半導体層50は、半導体基板で構成されている。第2半導体層50は、これには限定されないが、例えば、単結晶シリコン基板で構成されている。第2半導体層50は、第1導電型、例えばp型を呈する。第2半導体層50には、トランジスタT2が設けられている。また、第2半導体層50には、第2半導体層50を貫通する貫通電極51,52が設けられている。
 <第3配線層及び第4配線層>
 第3配線層60及び第4配線層70は、半導体層同士の間、より具体的には第2半導体層50と第3半導体層80との間に介在している。また、第3配線層60及び第4配線層70のうちの一方が積層方向一方側の配線層であり、他方が積層方向他方側の配線層である。
 図4Aに示すように、第3配線層60は、絶縁膜61と、配線62と、第3接続パッド63とを含む。配線62及び第3接続パッド63は、図示のように絶縁膜61を介して積層されている。図4Bに示すように、第3接続パッド63は、その表面63Sが第3配線層60の第2半導体層50側とは反対側の面に臨んでいる。配線62及び第3接続パッド63は、これに限定されないが、例えば、銅製であり、ダマシン法により形成されていても良い。
 図4Aに示すように、第4配線層70は、絶縁膜71と、配線72と、第4接続パッド73と、ビア(コンタクト)74とを含む。配線72及び第4接続パッド73は、図示のように絶縁膜71を介して積層されている。図4Bに示すように、第4接続パッド73は、その表面73Sが第4配線層70の第3半導体層80側とは反対側の面に臨んでいる。ビア74は、第3半導体層80と配線72、配線72同士、及び配線72と第4接続パッド73等を接続している。また、配線72及び第4接続パッド73は、これに限定されないが、例えば、銅製であり、ダマシン法により形成されていても良い。
 第3接続パッド63の表面63Sは、第4接続パッド73の表面73Sと接合されている。このように、接続パッドの表面同士を接合することで、第3配線層60と第4配線層70とが互いに電気的に結合されている。
 絶縁膜61は、第1材料からなる第1絶縁膜65と、第2材料からなる第2絶縁膜66とを有する。なお、第1絶縁膜65と第2絶縁膜66とを区別しない場合には、単に絶縁膜61と呼ぶ。図4A及び図4Bに示すように、第2材料からなる第2絶縁膜66は、第1材料からなる第1絶縁膜65を積層方向に貫通している。より具体的には、第2材料からなる第2絶縁膜66は、積層方向に沿って延在する柱状の部分(以下、柱Pとも呼ぶ)を有し、この第2絶縁膜66のうち柱Pを構成する部分は、第1材料からなる第1絶縁膜65を積層方向に貫通している。ここで、積層方向とは、半導体層、配線層、第1絶縁膜65、第2絶縁膜66等が積層される方向である。また、第2絶縁膜66のうち柱Pを構成する部分は、第3接続パッド63と第2半導体層50との間に設けられている。そして、図4Bに示すように、柱Pは、積層方向に沿って延在し、積層方向の一端が第3接続パッド63に接し、より具体的には第3接続パッド63の底面63aに接し、他端が第2半導体層50に、より具体的には第4の面S4に接している。
 図4Aに示すように、絶縁膜71は、第1材料からなる第1絶縁膜75と、第2材料からなる第2絶縁膜76とを有する。なお、第1絶縁膜75と第2絶縁膜76とを区別しない場合には、単に絶縁膜71と呼ぶ。
 <第3半導体層>
 第3半導体層80は、半導体基板で構成されている。第3半導体層80は、第1導電型、例えばp型の、単結晶シリコン基板で構成されている。第3半導体層80には、トランジスタT3が設けられている。
 <柱を設ける位置>
 第1材料からなる第1絶縁膜35,45,65,75を、配線層のうち配線が密に設けられた場所に設けている。これにより、配線容量が増加することを抑制できる。配線容量の増加を抑制するためには、第1絶縁膜35,45,65,75を広い領域に設けることが好ましい。そこで、第1絶縁膜35,45,65,75を、配線層の水平方向のより広い領域を占めるように配置している。
 また、接続パッド同士の接合性が不十分になることを抑制するために、柱Pを設けている。柱Pは、第2絶縁膜66のうち積層方向に沿って延在する柱状の部分である。柱Pをこのような形状に設けることにより、配線が密に設けられた領域において、第2絶縁膜66が占める領域をより少なくすることができる。このように、柱Pは、必要な場所に対してのみ設けられている。
 ≪光検出装置の製造方法≫
 以下、図5Aから図5Nまでを参照して、光検出装置1の製造方法について説明する。なお、図4A及び図4Bに示す光検出装置1の例では、柱Pを第3配線層60に設けていたが、ここでは、柱Pを第2配線層40に設けた例について、光検出装置1の製造方法を説明する。
 まず、図5Aに示すように、第1導電型、例えばp型の第2半導体層50wの第3の面S3側に、トランジスタT2等の素子を形成する。そして、第3の面S3に、第2配線層40の一部の層を形成する。より具体的には、第3の面S3に、第2絶縁膜46、ビア44、貫通電極52等を形成する。図5Aに示す第2絶縁膜46は第2の材料製であり、例えばパッシベーション膜である。
 次に、図5Bに示すように、第2絶縁膜46の露出面に第1の材料からなる膜45mを積層する。そして、膜45mの露出面に、公知のリソグラフィ技術を用いてレジストパターンR1を形成する。その後、レジストパターンR1をマスクとして、公知のエッチング技術を用いて、レジストパターンR1の開口部R1aから露出する膜45mをエッチングする。このエッチングにより、図5Cに示す、穴45hを形成する。その後、レジストパターンR1を除去する。
 そして、図5Dに示すように、穴45hを埋めるように、第2の材料からなる膜46mを積層する。そして、図5Eに示すように、膜46mの余分な部分をCMP法(Chemical Mechanical Polishing、化学機械研磨)により除去する。より具体的には、膜46mの露出面をCMP法により研磨し、露出面を平坦化すると共に膜46mのうち穴45hに埋め込まれた部分以外を除去する。これにより、積層方向に垂直な方向に、異なる絶縁材料同士が隣接する絶縁膜が形成される。
 次に、図5Fに示すように、絶縁膜の露出面に、より具体的には膜45m及び膜46mの露出面に、公知のリソグラフィ技術を用いてレジストパターンR2を形成する。その後、図5Gに示すように、レジストパターンR2をマスクとして、公知のエッチング技術を用いて、レジストパターンR2の開口部R2aから露出する絶縁膜をエッチングする。このエッチングにより、開口42hを形成する。その後、レジストパターンR2を除去する。
 その後、図5Hに示すように、開口42hの内壁及び絶縁膜の露出面に対して、メタル膜M1mを積層する。そして、図5Iに示すように、CMP法により、メタル膜M1mの余分な部分を除去する。これにより、メタル層M1に属する配線42を形成する。
 以降、メタル層毎に、図5Bから図5Iまでに示す工程と同様の工程を繰り返す。これにより、図5Jに示すように、メタル層M1からメタル層M4までに属する配線42を形成する。また、ビア44が設けられる層についても、図5Bから図5Eまでに示す工程と同様の工程を行った後に、公知の方法によりビア44を形成する。そして、これにより、第2接続パッド43が設けられる層の1つ前までの層が形成される。
 次に、図5Kに示すように、絶縁膜の露出面に対して第1の材料からなる膜45mを積層し、その後第2接続パッド43を形成する。より具体的には、膜45mを積層した後、図5Fから図5Iまでに示す工程と同様の工程を行うことにより、第2接続パッド43を形成する。第2接続パッド43は、膜45mに形成された開口43hに埋め込まれている。これにより、第2配線層40がほぼ完成する。膜46mは、図示のように積層方向に沿って積み重ねられている。そして、このように積み重ねられた膜46m、及び第2の材料製の第2絶縁膜46のうちの膜46mと第2半導体層50wとの間に位置する部分により、柱Pが構成される。
 そして、図5Lに示すように、第2配線層40が積層された第2半導体層50wと、別途準備した、第1配線層30が積層された第1半導体層20とを、接合する。より具体的には、第1配線層30の第1半導体層20側とは反対側の面と、第2配線層40の第2半導体層50w側とは反対側の面とを重ね合わせて接合する。その後、接合された第1配線層30から第2半導体層50wまでを熱処理する。この熱処理により、第1接続パッド33及び第2接続パッド43を構成する金属が膨張する。また、第2接続パッド43の底面43aと第2半導体層50の第3の面S3との間には、柱Pが積層方向に沿って延在している。より具体的には、柱Pの積層方向の一端が第2接続パッド43の底面43aに接し、他端が第2半導体層50の第3の面S3に接している。そのため、第1接続パッド33及び第2接続パッド43を構成する金属が膨張する際に生じる押圧力が、絶縁膜側に逃げることを抑制できる。これにより、第1接続パッド33及び第2接続パッド43を構成する金属が膨張する際に、押圧力が意図した方向に作用し、接続パッドが互いを押し合うようになり、接続パッド同士の接合性が不十分になることを抑制できる。このようにして、第1配線層30に設けられた第1接続パッド33の表面と、第2配線層40に設けられた第2接続パッド43の表面とが接合される。
 そして、第2半導体層50wの裏面側に対してバックグラインディング等を行い、第2半導体層50wの厚みを薄くする。これにより、図5Mに示すように、第2半導体層50となる部分を残す。そして、第2半導体層50の第4の面S4側に、第3配線層60を積層する。その後、工程の順序はこれに限定されるものではないが、第3配線層60が積層された第2半導体層50と、別途準備した、第4配線層70が積層された第3半導体層80とを、接合する。そして、光入射面側に集光層90を形成する。これにより、図5Nに示す光検出装置1がほぼ完成する。光検出装置1は、半導体基板にスクライブライン(ダイシングライン)で区画された複数のチップ形成領域の各々に形成される。そして、この複数のチップ形成領域をスクライブラインに沿って個々に分割することにより、光検出装置1を搭載した半導体チップ2が形成される。
 ≪第1実施形態の主な効果≫
 従来、上述のように、CMP法を用いて接続パッドを形成する場合、絶縁膜より接続パッドを構成する金属の方がより多く研磨されてしまう場合があった。そのような場合であっても、配線層同士を重ね合わせて接合した後に熱処理を行うことにより、接続パッドを構成する金属を熱で膨張させ、接続パッド同士を接合することができる。しかしながら、接続パッドを高密度に配置しようとすると、接続パッドの寸法が小さくなり、その容積も小さくなってしまう。そして、接続パッドの容積が小さくなると、接続パッドを構成する金属の膨張量も低下してしまう。
 一方で、配線の寄生容量を低減するために、配線層を構成する絶縁膜の材料として、誘電率が低い低誘電率絶縁材料を用いることが検討されている。しかし、そのような低誘電率絶縁材料は、酸化シリコンと比べて剛性が低く、材料によっては、ヤング率が酸化シリコンの20分の1程度の場合もある。そのような低誘電率絶縁材料が接続パッドの底面と半導体層との間に設けられた場合、剛性が高い材料より容易に変形可能であるため、接続パッドを構成する金属が膨張する際に生じる押圧力が、接合相手の接続パッドではなく、接合相手と反対側に位置する低誘電率絶縁膜に逃げてしまう可能性があった。つまり、低誘電率絶縁材料が、変形することにより押圧力を吸収してしまう可能性があった。
 これに対して、本技術の第1実施形態に係る光検出装置1では、図4Bに示すように、絶縁膜61は、第1材料からなる第1絶縁膜65と、第1材料よりより剛性が高い材料からなり、第1絶縁膜65を積層方向に貫通している第2絶縁膜66とを含み、第1絶縁膜65は、第3接続パッド63と第2半導体層50との間に設けられている。そして、柱状の第2絶縁膜66(柱P)は積層方向に沿って延在し、積層方向の一端が第3接続パッド63に接し、他端が第2半導体層50に接している。このように、柱Pを、第3接続パッド63の底面63aからヤング率が十分高い第2半導体層50の第4の面S4まで途切れることなく、積層方向に沿って延在するように選択的に設けているので、第3接続パッド63及び第4接続パッド73を構成する金属が膨張する際に生じる押圧力が、第3配線層60側に逃げることを抑制できる。これにより、第3接続パッド63及び第4接続パッド73を構成する金属が膨張する際に、押圧力が意図した方向に作用し、接続パッドが互いを押し合うようになり、接続パッド同士の接合性が不十分になることを抑制できる。
 さらには、配線層に低誘電率絶縁膜を設けることができるので、配線容量が増加することを抑制できる。
 なお、本第1実施形態において、柱Pは一の配線層のみに設けられていたが、これに限定されない。柱Pは、第1配線層30、第2配線層40、第3配線層60、及び第4配線層70の全てに適用することが望ましい。また、上述の配線層のうちの任意の配線層に適用する構成でも、そのうちの少なくとも1つに適用する構成であっても良い。また、柱Pは、一の第3接続パッド63に対し複数設けられていても良い。
 また、図6は、互いに接合されている第3配線層60に柱Paを設け、第4配線層70に柱Pbを設けた例を示す。このように、柱Paと柱Pbとによって、一対の接続パッドを挟む構成になっている。より具体的には、第2半導体層50の表面から第3接続パッド63の底面までの間に途切れなく柱Paを設け、第3半導体層80の表面から第4接続パッド73の底面までの間に途切れなく柱Pbを設けたので、第3接続パッド63及び第4接続パッド73を構成する金属が膨張する際に生じる押圧力が、第3配線層60側及び第4配線層70側に逃げることを抑制できる。これにより、接続パッドがより互いを押し合うようになり、接続パッド同士の接合性が不十分になることをより抑制できる。
 [第1実施形態の変形例1]
 図7に示す本技術第1実施形態の変形例1について、以下に説明する。本第1実施形態の変形例1に係る光検出装置1が上述の第1実施形態に係る光検出装置1と相違するのは、柱Pの代わりに柱P1を設けた点であり、それ以外の光検出装置1の構成は、基本的に上述の第1実施形態の光検出装置1と同様の構成になっている。なお、すでに説明した構成要素については、同じ符号を付してその説明を省略する。
 ここでは、第3配線層60に柱P1を設けた例について、説明する。第2材料からなる第2絶縁膜66のうち柱P1を構成する部分(換言すると、柱状に形成された第2絶縁膜66)は、第1材料からなる第1絶縁膜65を積層方向に貫通している。柱P1は、第1実施形態の場合と同様に、積層方向に沿って延在し、積層方向の一端が第3接続パッド63に接し、より具体的には第3接続パッド63の底面63aに接し、他端が第2半導体層50に、より具体的には第4の面S4に接している。
 また、柱P1は、絶縁膜61に形成された配線62と積層方向で重ならない位置、すなわち、配線62と平面視で重ならない位置に設けられている。そのため、柱P1は、配線62同士の間に位置する絶縁膜を貫通している。また、柱P1は、図4A等に示す柱Pより、幅を小さく設けている。これにより、柱P1を平面視で配線62と重ならない位置に設けることができる。また、柱P1は、一の第3接続パッド63に対して1つ以上設けられていても良い。一の第3接続パッド63に対して柱P1を複数設けることにより、柱P1の幅を小さくしても、第3接続パッド63と第2半導体層50との間の剛性が不十分になることを抑制できる。
 ≪光検出装置の製造方法≫
 以下、図8Aから図8Dまでを参照して、光検出装置1の製造方法について説明する。なお、ここでは、柱P1を形成する工程についてのみ、説明する。
 まず、図8Aに示すように、第2半導体層50の第4の面S4側に、第2配線層40の一部を形成する。より具体的には、メタル層M1に属する配線42を形成し、その露出面にさらに第1絶縁膜65を堆積する。つまり、第3接続パッド63が形成される層の一つ手前の層まで、形成しておく。その後、公知のリソグラフィ技術を用いて露出面にレジストパターンR3を形成する。
 次に、図8Bに示すように、レジストパターンR3をマスクとして、公知のエッチング技術を用いて、レジストパターンR3の開口部R3aから露出する第1絶縁膜65をエッチングする。このエッチングにより、穴65hを形成する。穴65hは第1絶縁膜65を貫通していて、その底面は第2半導体層50に達している。その後、レジストパターンR3を除去する。
 そして、図8Cに示すように、穴65hを埋めるように、第2の材料からなる膜66mを積層する。そして、図8Dに示すように、膜66mの余分な部分をCMP法により除去する。より具体的には、膜66mの露出面をCMP法により研磨し、露出面を平坦化すると共に膜66mのうち穴65hに埋め込まれた部分以外を除去する。これにより、積層方向に垂直な方向に、異なる絶縁材料同士が隣接する絶縁膜が形成される。そして、柱P1が形成される。その後、図示は省略するが、公知の方法により、第3接続パッド63を形成する。
 ≪第1実施形態の変形例1の主な効果≫
 この第1実施形態の変形例1に係る光検出装置1であっても、上述の第1実施形態に係る光検出装置1と同様の効果が得られる。
 また、この第1実施形態の変形例1に係る光検出装置1では、フォトリソグラフィ技術とエッチング技術とをそれぞれ1回行うことにより柱P1を形成できるので、第1実施形態の場合と比べて工程数を削減できる。
 さらに、この第1実施形態の変形例1に係る光検出装置1では、配線を避けて柱P1を設けているので、配線間の第1材料(低誘電率絶縁材料)をより多く残すことができるので、配線容量が増えることをより抑制できる。
 なお、本実施形態の柱P1と第1実施形態の柱Pとの両方を、一の光検出装置1に設けても良い。例えば、光検出装置1の第1配線層30には柱Pを設け、第2配線層40には柱P1を設ける等、配線層毎に使い分けても良い。例えば、配線同士の隙間が十分ではない配線層には、配線の配置位置の制約を受け難い柱Pを設け、より配線容量を減らしたい配線層であって、配線を避けることが可能な配線層に対して、柱P1を設けても良い。
 [第1実施形態の変形例2]
 本技術の第1実施形態の変形例2について、以下に説明する。本第1実施形態の変形例2に係る光検出装置1が上述の第1実施形態に係る光検出装置1と相違するのは、第2材料であり、それ以外の光検出装置1の構成は、基本的に上述の第1実施形態の光検出装置1と同様の構成になっている。なお、すでに説明した構成要素については、同じ符号を付してその説明を省略する。また、ここでは、図4A及び図4Bを流用して、説明する。
 第1実施形態では、第2材料は酸化シリコンであったが、第1実施形態の変形例2では、第2材料は窒化シリコンである。ここで、酸化シリコンのヤング率は80GPaであり、窒化シリコンのヤング率は200GPaである。つまり、窒化シリコンの方が酸化シリコンより剛性が高い。そのため、第1接続パッド33及び第2接続パッド43を構成する金属が膨張する際に生じる押圧力が、絶縁膜側に逃げることをより抑制できる。これにより、接続パッドが互いをより押し合うようになり、接続パッド同士の接合性が不十分になることをより抑制できる。
 また、酸化シリコンの線膨張係数は0.5ppm/Kであり、窒化シリコンの線膨張係数は2.9ppm/Kである。つまり、窒化シリコンの方が酸化シリコンより熱による膨張量が多い。そのため、柱Pを窒化シリコンで構成した場合、酸化シリコンで構成した場合と比べて、絶縁膜側に逃げることをより抑制できることに加えて、第3接続パッド63を第4接続パッド73へ向けて押圧する力が大きくなる。そのため、線膨張係数が大きい材料ほど接続パッド同士の接触性が劣化するのをより抑制できる。
 ≪第1実施形態の変形例2の主な効果≫
 この第1実施形態の変形例2に係る光検出装置1であっても、上述の第1実施形態に係る光検出装置1と同様の効果が得られる。
 また、この第1実施形態の変形例2に係る光検出装置1の柱Pを構成する第2材料は、より剛性が高い材料で構成されているので、接続パッドを構成する金属が膨張する際に生じる押圧力が、絶縁膜側に逃げることをより抑制できる。
 さらに、この第1実施形態の変形例2に係る光検出装置1の柱Pを構成する第2材料は、より線膨張係数が大きい材料で構成されているので、一方の接続パッドを他方の接続パッドへ向けて押圧する力が大きくなる。そのため、線膨張係数が大きい材料ほど接続パッド同士の接触性が劣化するのをより抑制できる。
 なお、上述の第1実施形態の変形例2に係る光検出装置1の柱Pを構成する第2材料は窒化シリコンであったが、これには限定されない。例えば、柱Pは、窒化シリコンからなる部分(又は層)と酸化シリコンからなる部分(又は層)との両方とを含んでいても良い。このように、柱Pは、第2材料としての条件を満たす材料であれば、異なる材料からなる部分(又は層)を含んでいても良い。
 また、第1実施形態の変形例2においては図4A等に示す柱Pを窒化シリコンで構成する例について説明したが、これに限らず、図7等に示す柱P1を窒化シリコンで構成しても良い。さらに、柱P1は、窒化シリコンからなる部分(又は層)と酸化シリコンからなる部分(又は層)との両方とを含んでいても良い。柱P1は、第2材料としての条件を満たす材料であれば、異なる材料からなる部分(又は層)を含んでいても良い。その場合であっても、本第1実施形態の変形例2に係る光検出装置1と同様の効果が得られる。
 [第2実施形態]
 図9及び図10に示す本技術の第2実施形態について、以下に説明する。本第2実施形態に係る光検出装置1が上述の第1実施形態に係る光検出装置1と相違するのは、接続パッドの構成であり、それ以外の光検出装置1の構成は、基本的に上述の第1実施形態の光検出装置1と同様の構成になっている。なお、すでに説明した構成要素については、同じ符号を付してその説明を省略する。
 <絶縁膜>
 図9に示すように、第1配線層30は絶縁膜31Aを有し、第2配線層40は絶縁膜41Aを有し、第3配線層60は絶縁膜61Aを有し、第4配線層70は絶縁膜71Aを有する。絶縁膜31A,41A,61A,71Aは、これには限定されないが、例えば、酸化シリコンからなる層を含む。
 <接続パッド>
 図10は、接続パッドの構成を説明するための説明図である。図10に示す接続パッドを、ここでは便宜的に接続パッドAと呼ぶ。接続パッドAの構成は、図9に示す第1接続パッド33、第2接続パッド43、第3接続パッド63、及び第4接続パッド73のうちの任意の接続パッドに適用することができる。接続パッドAの構成を、第1接続パッド33、第2接続パッド43、第3接続パッド63、及び第4接続パッド73の全てに適用することが望ましいが、これらの接続パッドのうち、少なくとも1つに適用する構成であっても良い。
 図10に示すように、接続パッドAは、第1部分aと、第2部分bと、シード層cと、を備えている。そして、接続パッドAは、絶縁膜dに設けられた開口e内に設けられている。また、接続パッドAと絶縁膜dとの間には、バリアメタル層fが設けられている。
 第1部分aは、第1金属からなり、接続パッドAの表面を構成している。接続パッドAが熱処理されると、第1部分aは熱膨張する。より具体的には、熱処理前の状態では、第1部分aは、これには限定されないが、例えば、図10に示すように、開口e内において、開口eの底e1寄りの位置から破線の高さまでの領域を占めていたとする。そして、接続パッドAに熱処理を行うと、破線の高さから矢印a1に示す方向に熱膨張し、絶縁膜dの表面d1から突出した状態になる。第1金属は、これに限定されないが、例えば銅(Cu)からなる。ここでは、第1金属が銅であるとして、説明する。
 第2部分bは、第1部分aと絶縁膜dとの間に設けられている。第2部分bは、第1金属より塑性変形しやすい第2金属からなる。換言すると、第2金属は第1金属より剛性が低い。塑性変形しやすい金属とは、力を受けて変形しやすい金属であり、降伏応力又は耐性が低い金属である。金属は、ある程度以上の力を加えると、変形したまま戻らなくなる性質を有する。降伏応力は、材料の塑性が開始する力を表す。また、降伏応力がはっきりしない金属については、塑性変形しにくさを耐性として評価する場合もある。そして、塑性変形しやすい金属ほど、より小さい力で変形する。
 接続パッドAが熱処理されると、第2部分bは塑性変形する。より具体的には、接続パッドAが熱処理されると、第2部分bの側壁部b1及び底部b2のうち、主に側壁部b1が塑性変形する。つまり、第2部分bは、少なくとも第1部分aの側面と絶縁膜dとの間に設けられていればよい。ここで、底部b2は、開口eの底e1寄りに位置する部分であり、側壁部b1は、開口eの側壁e2寄りに位置する部分である。
 熱処理前の状態では、これには限定されないが、側壁部b1は、例えば、図10に示すように、開口e内において、開口eの底e1側から破線の高さまでの領域を占めていたとする。そして、接続パッドAに熱処理を行うと、側壁部b1は、破線の高さから、第1部分aの熱膨張に引きずられて、第1部分aと共に矢印b3に示す方向へ、塑性変形しながら伸びる。また、第2部分bは、塑性変形すると同時に熱膨張しても良い。側壁部b1は、塑性変形すると同時に矢印b3に示す方向へ熱膨張しても良い。
 接続パッドAに熱処理を行うと、側壁部b1のバリアメタル層f寄りの面b11は、バリアメタル層fからの拘束を受ける。それは、バリアメタル層fは熱による変形量が少ないからである。これに対して、側壁部b1の第1部分a寄りの面b12は、第1部分aの熱膨張に伴う張力を受ける。このように、面b11と面b12とに異なる力が作用した結果、側壁部b1は塑性変形する。
 第2金属として、例えば、アルミニウム(Al)、アルミニウム-銅系合金(AlCu)、アルミニウム-シリコン系合金(AlSi)等を挙げることができる。これらの金属は、常温で塑性変形しやすい金属である。また、第1金属は熱処理された際に膨張するので、第2金属は、加熱された状態で第1金属より塑性変形しやすい金属であっても良い。より具体的には、常温で塑性変形しにくい金属であっても、接続パッドの熱処理を行う温度において第1金属より塑性変形しやすくなっていれば、第2金属として利用することができる。
 加熱された状態で第1金属より塑性変形しやすい金属は、例えば、融点が低い金属を含む。融点が低い金属として、例えば、カドミウム(Cd)、錫(Sn)、タンタル(Tl)、鉛(Pb)等を挙げることができる。これらの金属の融点は、400度より低い。
 また、一般的に、金属が融点にむけて加熱されるとその剛性が下がる。そのため、接続パッドの熱処理を行う温度において第1金属より剛性が低い金属は、たとえ融点が高くても、加熱された状態で第1金属より塑性変形しやすい金属として挙げることができる。そのような金属として、例えば、アンチモン(Sb)、イッテイルビウム(Yb)、カルシウム(Ca)、銀(Ag)、ゲルマニウム(ゲルマニウム)、ストロンチウム(Sr)、セリウム(Ce)、鉛-銅系合金(PbCu)等を挙げることができる。これらの金属の融点は、1000度より低い。なお、アルミニウム(Al)の融点も、1000度より低い。本実施形態では、第2金属がアルミニウム-銅系合金であるとして、説明する。
 シード層cは、電解めっき法を用いて金属を堆積させる際の電極となる。また、シード層cは、電解めっき法により堆積される金属の種層としての役割も果たす。シード層cを構成する材料は、シード層cに堆積させる金属の種類に応じて選択すれば良い。より具体的には、シード層cの露出面に第2部分bを堆積させるので、シード層cは第2部分bを構成する材料の種となり得る材料で構成すれば良い。
 本実施形態では第2金属がアルミニウム-銅系合金であるので、シード層cを構成する材料は、アルミニウム-銅系合金の種となり得る材料で構成されている。例えば、シード層cは、アルミニウム-銅系合金、銅等の金属で構成されていても良い。ここでは、シード層cをアルミニウム-銅系合金で構成する例について、説明する。
 バリアメタル層fは、これには限定されないが、例えば、高融点金属を含んでいる。バリアメタル層fは、例えば、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)等の金属により構成されている。バリアメタル層fは、接続パッドAと絶縁膜dとを密着させる機能や、接続パッドAを構成する金属が絶縁膜dに拡散するのを抑制する機能を有する。
 ≪光検出装置の製造方法≫
 以下、図11Aから図11Fまでを参照して、光検出装置1の製造方法について説明する。なお、ここでは、接続パッドの形成方法についてのみ説明する。そして、接続パッドの形成方法の一例として、第4接続パッド73の形成方法について、説明する。
 図11Aに示すように、第3半導体層80の第5の面S5側に対して、メタル層M4までの層を形成する。その後、配線層の露出面に、絶縁膜71Amを積層する。絶縁膜71Amは、これには限定されないが、例えば、酸化シリコン膜、窒化シリコン膜、及び酸化シリコン膜をその順で積層した積層構造を有していても良い。そして、図11Bに示すように、公知のリソグラフィ技術及びエッチング技術を用いて、絶縁膜71Amに対して開口eを形成する。なお、次の図からは絶縁膜71Amと絶縁膜71Aとを区別せず、単に絶縁膜71Aと呼ぶ。
 次に、図11Cに示すように、絶縁膜71Aの露出面に、例えばスパッタ等の公知の技術を用いて、バリアメタル層fを構成する膜fmとシード層cを構成する膜cmとをその順で積層する。その後、めっき法により金属を堆積させていく。
 まず、図11Dに示すように、めっきの初期において、膜cmの露出面に第2金属からなる膜bmを堆積する。ここでは、第2金属としてアルミニウム-銅系合金を堆積させる。その後、図11Eに示すように、膜bmの露出面に、めっき法により第1金属からなる膜amを堆積する。ここでは、銅を堆積させる。
 そして、図11Fに示すように、膜fm,cm,bm,amの余分な部分を、CMP法により除去する。より具体的には、配線層の露出面をCMP法により研磨し、露出面を平坦化すると共に膜fm,cm,bm,amのうち開口eに埋め込まれた部分以外を除去する。これにより、メタル層M5に属する第4接続パッド73がほぼ完成する。そして、第3配線層60と第4配線層70とが重ね合わされて接合され、熱処理される。
 なお、図11Fに示すように、第4接続パッド73は、第3半導体層80側から積層方向に沿って、胴部73aと、胴部73aの第3半導体層80側とは反対側の端部に接続され、胴部73aより幅広の頭部73bとを有する。胴部73aと頭部73bとのうち、熱処理された際の膨張量が多いのは、胴部73aより容積が大きい頭部73bである。また、第4接続パッド73の表面を構成するのは頭部73bであり、接続パッド同士の接触性が劣化するのを抑制するために、熱処理でより膨張して欲しいのは、主に頭部73bを構成する部分である。よって、第2部分bの側壁部b1は、胴部73aの側壁と頭部73bの側壁とのうち、少なくとも頭部73bの側壁に形成されていればよい。
 なお、上述のような第4接続パッド73と接合される第3接続パッド63については、必要に応じて接続パッドAの構成を適用しても良い。例えば、第3接続パッド63と第4接続パッド73との接合性が得られるのであれば、接続パッドAの構成を第3接続パッド63に適用しない構成であっても良い。また、第3接続パッド63と第4接続パッド73との接合性を得るために、接続パッドAの構成を第3接続パッド63に適用する構成が望ましい場合もある。
 ≪第2実施形態の主な効果≫
 従来、接続パッド同士を重ね合わせた後に熱処理を行うことにより、接続パッドを構成する金属を膨張させ、接続パッド同士の接触性が劣化するのを抑制していた。また、CMP法を用いて接続パッドを形成する際に、接続パッドを構成する金属が絶縁膜より多く研削されて後退し、リセスが生じる可能性があった。リセスが生じた場合、接続パッド同士の接触性が劣化するのを抑制するために、熱処理によって接続パッドを構成する金属を膨張させ、その膨張量によりリセスの容積をも補う必要があった。
 その一方で、素子の微細化に伴い、接続パッドの寸法を縮小することが望まれている。接続パッドの寸法を縮小すると、その容積も縮小されることになる。接続パッドの容積が小さくなると、熱処理された際の膨張量も小さくなる。熱による金属の膨張量は、金属の容積及び膨張率により決まる。膨張率は一定だが、容積が減少すると、膨張量は減少してしまう。
 また、接続パッドと絶縁膜との間に設けられたバリアメタル層は、熱による変形量が少ない。そのため、熱処理の際に接続パッドを構成する金属が膨張しようとしても、接続パッドを構成する金属のうちバリアメタル層と接する面がバリアメタル層からの拘束を受けて、接続パッドを構成する金属の膨張が抑制される場合があった。このようなバリアメタル層からの拘束は、接続パッドの寸法が縮小するほど、膨張量により影響を与えていた。
 熱処理した場合、一般的に接続パッドの平面視の中央部付近が膨張しやすい。それは、中央部付近の方が周辺部よりバリアメタル層から遠く、拘束を受け難いからである。もし、平面視の接続パッドの寸法が小さくなると、小さくなればなる程、接続パッドの平面視中央部分とバリアメタル層との間の距離が小さくなっていく。そのため、平面視の接続パッドの寸法が小さくなればなる程、平面視中央部分はバリアメタル層からの拘束を受けやすくなる。このように、バリアメタル層に阻害されて、意図した膨張量が得られない場合があった。そこで、膨張量を確保するために、接続パッドの寸法を積層方向に大きくすることがあった。しかし、積層方向に接続パッドを大きくすると、接続パッドの容積が増え、半導体チップの積層方向の寸法が大きくなっていた。
 これに対して、本技術の第2実施形態に係る光検出装置1では、一対の接続パッドの少なくとも一方は、第1金属からなり当該接続パッドの表面を構成している第1部分aと、第1部分aと絶縁膜との間に設けられ、第1金属より塑性変形しやすい第2金属からなる第2部分bと、を有する。これにより、熱処理された際に、たとえ第2部分bの面b11がバリアメタル層fからの拘束を受けたとしても、第2部分bを構成する第2金属が塑性変形するので、バリアメタル層fからの拘束を吸収することができる。そのため、バリアメタル層fからの拘束が第1部分aに伝わり難くなり、第1部分aの膨張量がバリアメタル層fの影響を受けることを抑制することができる。その結果、接続パッドの平面視の寸法が縮小された場合であっても、接続パッド同士の接触性が劣化することを抑制することができる。
 接続パッドを構成する金属の熱膨張をシミュレーションしたところ、第2金属からなる第2部分bを有する場合、有さない場合と比べて、熱膨張量が約33パーセント向上する結果が得られた。
 また、本技術の第2実施形態に係る光検出装置1では、バリアメタル層によって接続パッドを構成する金属の膨張が阻害されることを抑制できるので、同じ容量の接続パッドであっても、接続パッド同士の接触性が劣化することを抑制することができる。そのため、接続パッドの容量を増やすために接続パッドの積層方向に沿った寸法を大きくする必要がない。これにより、半導体チップ2の積層方向の厚みが大きくなることを抑制できる。
 また、第2実施形態に係る光検出装置1であっても、上述の第1実施形態に係る光検出装置1と同様の効果が得られる。
 [第2実施形態の変形例1]
 図12に示す本技術の第2実施形態の変形例1について、以下に説明する。本第2実施形態の変形例1に係る光検出装置1が上述の第2実施形態に係る光検出装置1と相違するのは、シード層cが第2金属で構成されている点であり、それ以外の光検出装置1の構成は、基本的に上述の第2実施形態の光検出装置1と同様の構成になっている。なお、すでに説明した構成要素については、同じ符号を付してその説明を省略する。
 <接続パッド>
 図12は、接続パッドの構成を説明するための説明図である。図12に示す接続パッドを、ここでは便宜的に接続パッドA1と呼ぶ。接続パッドA1の構成は、図9に示す第1接続パッド33、第2接続パッド43、第3接続パッド63、及び第4接続パッド73のうちの任意の接続パッドに適用することができる。接続パッドA1の構成を、第1接続パッド33、第2接続パッド43、第3接続パッド63、及び第4接続パッド73の全てに適用することが望ましいが、これらの接続パッドのうち、少なくとも1つに適用する構成であっても良い。
 接続パッドAは、第1部分aと、第1部分a(第1金属)を積層するための下地として機能するシード層cとを備えている。第2実施形態の変形例1では、シード層cが第2部分として機能する。シード層として機能する。シード層cは、第1部分aと絶縁膜dとの間に設けられている。シード層cは、第1金属より塑性変形しやすい第2金属からなる。また、シード層cには、めっき法により第1部分aが堆積される。そのため、シード層cは、上述の第2金属のうち、第1部分aを構成する第1金属の種層としての役割も果たす金属で構成されることが望ましい。
 ≪第2実施形態の変形例1の主な効果≫
 この第2実施形態の変形例1に係る光検出装置1であっても、上述の第2実施形態に係る光検出装置1と同様の効果が得られる。
 [第2実施形態の変形例2]
 図13に示す本技術の第2実施形態の変形例2について、以下に説明する。本第2実施形態の変形例2に係る光検出装置1が上述の第2実施形態に係る光検出装置1と相違するのは、バリアメタル層fが第2金属で構成されている点であり、それ以外の光検出装置1の構成は、基本的に上述の第2実施形態の光検出装置1と同様の構成になっている。なお、すでに説明した構成要素については、同じ符号を付してその説明を省略する。
 <接続パッド>
 図13は、接続パッドの構成を説明するための説明図である。図13に示す接続パッドを、ここでは便宜的に接続パッドA2と呼ぶ。接続パッドA2の構成は、図9に示す第1接続パッド33、第2接続パッド43、第3接続パッド63、及び第4接続パッド73のうちの任意の接続パッドに適用することができる。接続パッドA2の構成を、第1接続パッド33、第2接続パッド43、第3接続パッド63、及び第4接続パッド73の全てに適用することが望ましいが、これらの接続パッドのうち、少なくとも1つに適用する構成であっても良い。
 接続パッドAは、第1部分aとバリアメタル層fとを備えている。第2実施形態の変形例2においては、バリアメタル層fも接続パッドAに含まれる。そして、バリアメタル層fが第2部分として機能する。バリアメタル層fは、第1部分aと絶縁膜dとの間に設けられている。バリアメタル層fは、第1金属より塑性変形しやすい第2金属からなる。また、バリアメタル層fは、第1部分aと絶縁膜dとを密着させる機能や、第1部分aを構成する金属が絶縁膜dに拡散するのを抑制する機能を有する。そのため、バリアメタル層fは、第2金属のうち、上述の機能を有する金属で構成されることが望ましい。
 ≪第2実施形態の変形例2の主な効果≫
 この第2実施形態の変形例2に係る光検出装置1であっても、上述の第2実施形態に係る光検出装置1と同様の効果が得られる。
 [第3実施形態]
 図14及び図15に示す本技術の第3実施形態について、以下に説明する。本第3実施形態に係る光検出装置1が上述の第1実施形態に係る光検出装置1と相違するのは、配線層の絶縁膜であり、それ以外の光検出装置1の構成は、基本的に上述の第1実施形態の光検出装置1と同様の構成になっている。なお、すでに説明した構成要素については、同じ符号を付してその説明を省略する。
 <絶縁膜>
 図14に示すように、第1配線層30は絶縁膜31Bを有し、第2配線層40は絶縁膜41Bを有し、第3配線層60は絶縁膜61Bを有し、第4配線層70は絶縁膜71Bを有する。絶縁膜31Bは絶縁膜da31と絶縁膜db31とを含み、絶縁膜41Bは絶縁膜da41と絶縁膜db41とを含み、絶縁膜61Bは絶縁膜da61と絶縁膜db61とを含み、絶縁膜71Bは絶縁膜da71と絶縁膜db71とを含む。絶縁膜da31、絶縁膜da41、絶縁膜da61、及び絶縁膜da71を区別する必要がない時は、それらを区別せず、単に絶縁膜daと呼ぶ。絶縁膜db31、絶縁膜db41、絶縁膜db61、及び絶縁膜db71を区別する必要がない時は、それらを区別せず、単に絶縁膜dbと呼ぶ。
 <第3部分及び第4部分>
 図15は、接続パッド周囲の絶縁膜の構成を説明するための説明図である。図15に示すように、配線層C1は配線層C2と重ね合わされて接合されている。配線層C1及び配線層C2のそれぞれは、絶縁膜d及び絶縁膜dに設けられた接続パッドBを含み、接続パッドBの表面同士を接合することで互いに電気的に結合されている。接続パッドBは、これには限定されないが、例えば、第1実施形態の接続パッドと同様の構成を有していても良い。
 絶縁膜dは、絶縁膜daと絶縁膜dbとの積層構造を含む。絶縁膜daと絶縁膜dbとは、その順で積層されている。接続パッドBは、絶縁膜dに設けられた開口e内に設けられている。絶縁膜dのうち、接続パッドBの側面B1に隣接する部分を他の部分と区別するために第3部分と呼び、接続パッドBの底面B2に隣接する部分を他の部分と区別するために第4部分と呼ぶ。そして、第3部分を構成する材料の線膨張係数は、第4部分を構成する材料の線膨張係数より小さい。図15に示す例では、絶縁膜daと絶縁膜dbとのうちの絶縁膜dbが第3部分であり、絶縁膜daが第4部分である。なお、第3部分及び第4部分の構成を、図14に示すように、絶縁膜31B、絶縁膜41B、絶縁膜61B、及び絶縁膜71Bの全てに適用することが望ましいが、そのうちの任意の接続パッドに適用しても良い。第3部分及び第4部分の構成を、これらの接続パッドのうち、少なくとも1つに適用する構成であっても良い。
 配線層C1と配線層C2とを接合する際、まず、配線層C1と配線層C2とを重ね合わせ、その後熱処理を行う。接続パッドBは、熱処理されると膨張し、接続パッドBの表面同士が接合される。矢印B3は、接続パッドBの熱処理による膨張量を模式的に示している。矢印B3で示す接続パッドBの膨張量は、より多いことが好ましい。なお、図15の破線B4は、接続パッドBの熱処理前の表面の位置を示す。また、配線層C1と配線層C2とを熱処理すると、絶縁膜dも膨張する。矢印db1は、絶縁膜dbの熱処理による膨張量を模式的に示している。
 接続パッドBの膨張量が大きいほど、接続パッド同士の接触性が劣化するのを抑制できる。また、絶縁膜dbの膨張量が小さいほど、接続パッド同士の接触性が劣化するのを抑制できる。それは、接続パッドBの膨張量が、絶縁膜dbの膨張量によって実質的に目減りすることと同じだからである。そこで、接続パッドBを構成する材料の線膨張係数と、絶縁膜dbを構成する材料の線膨張係数との差(線膨張係数差)が大きくなることが望ましい。本実施形態では、このような線膨張係数差を大きくするために、絶縁膜dbを構成する材料を工夫している。絶縁膜dbを構成する材料として、線膨張係数がより小さい材料を用いることが好ましい。
 なお、絶縁膜daを構成する材料については、接続パッドBと積層方向に沿って重ねられているので、その線膨張係数の大小によって、接続パッドBの膨張量が実質的に目減りすることはない。そこで、絶縁膜daと絶縁膜dbとのうち、絶縁膜dbについては、より線膨張係数が小さい材料で構成している。
 絶縁膜dbを構成する材料としては、例えば、添加剤により線膨張係数が調整されたガラスセラミックが挙げられる。添加剤とは、これには限定されないが、例えば、温度が上がった時に縮むような材料である。ここでは、絶縁膜dbを構成する材料はそのようなガラスセラミックであるとして、説明する。絶縁膜daは、例えば、酸化シリコンからなる層を含んでいても良い。
 ≪光検出装置の製造方法≫
 以下、図16Aから図16Fまでを参照して、光検出装置1の製造方法について説明する。なお、ここでは、接続パッドの形成方法についてのみ説明する。そして、接続パッドの形成方法の一例として、第2接続パッド43の形成方法について、説明する。
 図16Aに示すように、第2半導体層50wの第3の面S3側に対して、メタル層M4までの層を形成する。絶縁膜da41のうち、配線層の露出面に露出している部分は、例えば、酸化シリコン膜で構成されている。その後、配線層の露出面に、ガラスセラミックdb41を積層する。より具体的には、第2半導体層50wと同等の大きさを有する、板状のガラスセラミックdb41を準備して、準備したガラスセラミックdb41を配線層の露出面に貼り合わせる。そして、図16Bに示すように、ガラスセラミックdb41の露出面に対してバックグラインディング等を行い、その厚みを薄くする。
 次に、図16Cに示すように、公知のリソグラフィ技術及びエッチング技術を用いてガラスセラミックdb41をエッチングし、開口eを形成する。その後、レジストパターンを除去する。そして、図16Dに示すように、配線層の露出面に、開口eを埋めるように銅からなる膜43mを堆積させる。より具体的には、まず、例えばスパッタ等の公知の技術を用いて銅を堆積し、その後、めっき法により、銅を堆積していく。その後、図16Eに示すように、膜43mの余分な部分を、CMP法により除去して、第2接続パッド43を得る。そして、図16Fに示すように、第2配線層40を第1配線層30と重ね合わせ、熱処理する。図16Fに示す例では、第1配線層30の絶縁膜31も、第2配線層40と同様にガラスセラミックdb31を有している。
 ≪第3実施形態の主な効果≫
 絶縁膜dbを構成する材料として、従来から良く使用されている酸化シリコンを用いた場合を考える。銅の線膨張係数は16.5ppm/Kであり、酸化シリコンの線膨張係数は0.6ppm/Kであるので、両者の線膨張係数差は、15.9ppm/Kである。素子の微細化に伴い接続パッドの寸法が縮小されると、接続パッドを構成する金属の後退により生じるリセスを補うために、金属の膨張量が重要である。
 絶縁膜db(第3部分)を構成する材料として、例えば、SCHOTT社製のZERODUR(登録商標)を用いた場合を考える。ZERODUR(登録商標)はガラスセラミックであり、線膨張係数は0.02ppm/Kである。よって、銅との線膨張係数差は、16.48ppm/Kである。このように、絶縁膜dbを酸化シリコンで構成した場合より、線膨張係数を大きくすることができる。
 このように、本技術の第3実施形態に係る光検出装置1では、絶縁膜dbを構成する材料として、線膨張係数がより小さい材料を用いることにより、接続パッドの膨張量が絶縁膜dbの膨張量によって実質的に目減りすることを抑制できる。そのため、接続パッド同士の接合性が不十分になることを抑制できる。
 また、本技術の第3実施形態に係る光検出装置1では、接続パッドの側面に隣接する部分である第3部分を構成する材料の線膨張係数は、接続パッドの底面に隣接する部分である第4部分を構成する材料の線膨張係数より小さい。絶縁膜daと絶縁膜dbとのうち、接続パッドの実質的な膨張量に影響を与える絶縁膜dbを選択的に、より線膨張係数が小さい材料で構成しているので、接続パッド同士の接合性が不十分になることを抑制できる。
 また、第3実施形態に係る光検出装置1であっても、上述の第1実施形態に係る光検出装置1と同様の効果が得られる。
 [第3実施形態の変形例1]
 図17に示す本技術の第3実施形態の変形例1について、以下に説明する。本第3実施形態の変形例1に係る光検出装置1が上述の第3実施形態に係る光検出装置1と相違するのは、接触層を有する点であり、それ以外の光検出装置1の構成は、基本的に上述の第3実施形態の光検出装置1と同様の構成になっている。なお、すでに説明した構成要素については、同じ符号を付してその説明を省略する。
 <接触層>
 図17は、接触層gの構成を説明するための説明図である。第3部分である絶縁膜dbと絶縁膜daとの間には、接触層gが設けられている。より具体的には、第3部分である絶縁膜dbと、絶縁膜daとは、接触層gを介して接合されている。また、絶縁膜dbと接続パッドBとの間にも、接触層gが設けられている。接触層gは、酸化シリコン膜、窒化シリコン膜、炭化窒化シリコン(SiCN)膜、炭素含有酸化シリコン膜、炭化シリコン(SiC)膜、酸化アルミニウム膜(Al2O3)、及び酸化タンタル膜(Ta2O3)のうちの少なくとも1層を含む。
 ≪光検出装置の製造方法≫
 以下、図18Aから図18Gまでを参照して、光検出装置1の製造方法について説明する。なお、ここでは、接続パッドの形成方法についてのみ説明する。そして、接続パッドの形成方法の一例として、第2接続パッド43の形成方法について、説明する。
 図18Aに示すように、第2半導体層50wの第3の面S3側に対して、メタル層M4までの層を形成する。絶縁膜da41のうち、配線層の露出面に露出している部分は、例えば、酸化シリコン膜で構成されている。その後、配線層(例えば、絶縁膜da41等)の露出面に、両面に接触層gを有するガラスセラミックdb41を積層する。より具体的には、第2半導体層50wと同等の大きさをする板状であり、その両面に接触層gが堆積されたガラスセラミックdb41を準備して、準備したガラスセラミックdb41を配線層の露出面に貼り合わせる。そして、図18Bに示すように、露出面に対してバックグラインディング等を行い、ガラスセラミックdb41の厚みを薄くする。
 次に、図18Cに示すように、公知のリソグラフィ技術及びエッチング技術を用いてガラスセラミックdb41及び接触層gをエッチングし、開口eを形成する。その後、レジストパターンを除去する。そして、図18Dに示すように、露出面に接触層gを堆積させる。次いで、図18Eに示すように、公知のリソグラフィ技術及びエッチング技術を用いて、開口eの底面に積層された接触層gを除去する。これにより、接触層gのうち、ガラスセラミックdb41の露出面に堆積された部分が残される。より具体的には、開口eの側面に積層された部分と、ガラスセラミックdb41の第2半導体層50側と反対側の面に積層された部分とが、残される。その後、レジストパターンを除去する。
 次に、図18Fに示すように、配線層の露出面に、開口eを埋めるように銅からなる膜を堆積させる。そして、銅からなる膜の余分な部分をCMP法により除去する。これにより、第2接続パッド43を得る。また、このCMP工程により、接触層gのうち、ガラスセラミックdb41の第2半導体層50側と反対側の面に積層された部分も除去される。これにより、ガラスセラミックdb41が露出するようになる。
 そして、図18Gに示すように、第2配線層40を第1配線層30と重ね合わせ、熱処理する。図18Gに示す例では、第1配線層30の絶縁膜31も、第2配線層40と同様にガラスセラミックdb41及び接触層gを有している。そして、ガラスセラミックdb41の露出面同士が接合され、第1接続パッド33と第2接続パッド43とが接合される。
 ≪第3実施形態の変形例1の主な効果≫
 この第3実施形態の変形例1に係る光検出装置1であっても、上述の第3実施形態に係る光検出装置1と同様の効果が得られる。
 さらに、この第3実施形態の変形例1に係る光検出装置1では、ガラスセラミックdbのうち配線層に接合される部分には接触層gを積層させてあるので、配線層を構成する層同士の接合性は、少なくとも従来と同様の接合性を有することができる。
 また、ガラスセラミックdbと絶縁膜daとの間、及びガラスセラミックdbと第2接続パッド43等の配線との間に接触層gが設けられているので、ガラスセラミックdbを構成する材料が周囲に拡散することを抑制できる。
 なお、第3実施形態の変形例1では、接触層gがガラスセラミックdb41の両面に堆積されていたが、ガラスセラミックdb41のうち配線層に接合される側の面のみに堆積されていても良い。
 [第3実施形態の変形例2]
 本技術の第3実施形態の変形例2について、以下に説明する。本第3実施形態の変形例2に係る光検出装置1が上述の第3実施形態に係る光検出装置1と相違するのは、第3部分(絶縁膜db)を構成する材料が異なる点であり、それ以外の光検出装置1の構成は、基本的に上述の第3実施形態の光検出装置1と同様の構成になっている。なお、すでに説明した構成要素については、同じ符号を付してその説明を省略する。また、ここでは、図14及び図15を流用して、説明する。
 <第3部分>
 第3部分(絶縁膜db)を構成する材料の線膨張係数は、第4部分(絶縁膜da)を構成する材料の線膨張係数より小さい。より具体的には、絶縁膜dbを構成する材料の線膨張係数は、負の値である。一般的には、物質は熱せられると膨張するが、負の線膨張係数を有する材料は、熱せられると収縮する性質を有している。絶縁膜dbは、負の線膨張係数を有する材料からなる、又は、負の線膨張係数を有する材料を含んでいる。負の線膨張係数を有する材料として、例えば、立方晶タングステン酸ジルコニウム、銅(Cu)-亜鉛(Zn)-バナジウム(V)の酸化物(Cu-Zn-V-O系酸化物)、リン酸ジルコニウム、リン酸タングステン酸ジルコニウム、及び、負の線膨張係数を有するガラスからなるフィラー等を挙げることができる。
 立方晶タングステン酸ジルコニウムは、0.3Kから熱分解点の1050Kまでの温度範囲で、温度が上がると連続的に収縮する。同様の振る舞いを示す材料としては、AM2O8(A=ジルコニウム(Zr)又はハフニウム(Hf)、M=モリブデン(Mo)又はタングステン(W))の組成式を持つ化合物、およびピロバナジン酸ジルコニウム(ZrV2O7)などを挙げることができる。また、A2(MO4)3(A=ジルコニウム(Zr)又はハフニウム(Hf)、M=モリブデン(Mo)又はタングステン(W))の組成式を持つ化合物も制御可能な負の熱膨張を示す。
 Cu-Zn-V-O系酸化物は、銅、亜鉛、バナジウムの3種の金属からなる酸化物である。Cu-Zn-V-O系酸化物として、例えば、株式会社IBLC社製のCG-NiTE(登録商標)が挙げられる。のCG-NiTE(登録商標)の線膨張係数は、-10ppm/Kから-5ppm/K程度である。Cu-Zn-V-O系酸化物は粒子であっても良く、その場合はガラス、樹脂等の材料に添加された状態で使用されても良い。
 リン酸ジルコニウムの線膨張係数は-2程度、リン酸タングステン酸ジルコニウムの線膨張係数は-3程度である。
 負の線膨張係数を有するガラスからなるフィラーとしては、例えば、日本電気硝子株式会社製の低熱膨張結晶化ガラスを材料とするフィラーが挙げられる。日本電気硝子株式会社製の低熱膨張結晶化ガラスを材料とするフィラーの線膨張係数は、例えば、-1.1ppm/Kから-0.9ppm/K程度である。フィラーは粒子状であるため、ガラス、樹脂等の材料に添加された状態で使用されても良い。
 ≪第3実施形態の変形例2の主な効果≫
 この第3実施形態の変形例2に係る光検出装置1であっても、上述の第3実施形態に係る光検出装置1と同様の効果が得られる。
 この第3実施形態の変形例2に係る光検出装置1において、絶縁膜db(第3部分)を構成する材料として、例えば、リン酸ジルコニウムを用いた場合を考える。リン酸ジルコニウムの線膨張係数は-2ppm/Kである。よって、線膨張係数が16.5ppm/Kである銅との線膨張係数差は、18.5ppm/Kである。このように、第3実施形態で説明した絶縁膜dbを酸化シリコンで構成した場合より、線膨張係数を大きくすることができる。さらには、リン酸ジルコニウムの線膨張係数が負であるので、線膨張係数差を、銅の線膨張係数の値である16.5ppm/Kより、大きくすることができる。そのため、銅などの接続パッドを構成する金属の実質的な線膨張係数を、その材料が元々有する値より大きくすることができる。換言すると、接続パッドを構成する金属を変えることなく、実質的な線膨張係数を大きくすることができる。これにより、接続パッド同士の接合性が不十分になることを抑制できる。
 なお、上記説明した負の線膨張係数を有する材料は、図17等に示す第3実施形態の変形例1に係る光検出装置1において、絶縁膜db(第3部分)を構成する材料として、使用しても良い。
 [第4実施形態]
 <1.電子機器への応用例>
 次に、図19に示す本技術の第4実施形態に係る電子機器100について説明する。電子機器100は、固体撮像装置101と、光学レンズ102と、シャッタ装置103と、駆動回路104と、信号処理回路105とを備えている。電子機器100は、これに限定されないが、例えば、カメラ等の電子機器である。また、電子機器100は、固体撮像装置101として、上述の光検出装置1を備えている。
 光学レンズ(光学系)102は、被写体からの像光(入射光106)を固体撮像装置101の撮像面上に結像させる。これにより、固体撮像装置101内に一定期間にわたって信号電荷が蓄積される。シャッタ装置103は、固体撮像装置101への光照射期間及び遮光期間を制御する。駆動回路104は、固体撮像装置101の転送動作及びシャッタ装置103のシャッタ動作を制御する駆動信号を供給する。駆動回路104から供給される駆動信号(タイミング信号)により、固体撮像装置101の信号転送を行う。信号処理回路105は、固体撮像装置101から出力される信号(画素信号)に各種信号処理を行う。信号処理が行われた映像信号は、メモリ等の記憶媒体に記憶され、或いはモニタに出力される。
 このような構成により、電子機器100では、固体撮像装置101として低消費電力化され、高速化された光検出装置1を備えるため、電子機器100を低消費電力化でき、さらに高速化できる。また、固体撮像装置101の接続パッド同士の接合性が不十分になることを抑制でき、電子機器100の信頼性が向上する。
 なお、電子機器100は、カメラに限られるものではなく、他の電子機器であっても良い。例えば、携帯電話機等のモバイル機器向けカメラモジュール等の撮像装置であっても良い。
 また、電子機器100は、固体撮像装置101として、第1実施形態から第3実施形態まで、及びその変形例のいずれかに係る光検出装置1、又は第1実施形態から第3実施形態まで、及びその変形例のうちの少なくとも2つの組み合わせに係る光検出装置1を備えることができる。
 <2.移動体への応用例>
 本開示に係る技術(本技術)は、様々な製品へ応用することができる。例えば、本開示に係る技術は、自動車、電気自動車、ハイブリッド電気自動車、自動二輪車、自転車、パーソナルモビリティ、飛行機、ドローン、船舶、ロボット等のいずれかの種類の移動体に搭載される装置として実現されてもよい。
 図20は、本開示に係る技術が適用され得る移動体制御システムの一例である車両制御システムの概略的な構成例を示すブロック図である。
 車両制御システム12000は、通信ネットワーク12001を介して接続された複数の電子制御ユニットを備える。図20に示した例では、車両制御システム12000は、駆動系制御ユニット12010、ボディ系制御ユニット12020、車外情報検出ユニット12030、車内情報検出ユニット12040、及び統合制御ユニット12050を備える。また、統合制御ユニット12050の機能構成として、マイクロコンピュータ12051、音声画像出力部12052、及び車載ネットワークI/F(interface)12053が図示されている。
 駆動系制御ユニット12010は、各種プログラムにしたがって車両の駆動系に関連する装置の動作を制御する。例えば、駆動系制御ユニット12010は、内燃機関又は駆動用モータ等の車両の駆動力を発生させるための駆動力発生装置、駆動力を車輪に伝達するための駆動力伝達機構、車両の舵角を調節するステアリング機構、及び、車両の制動力を発生させる制動装置等の制御装置として機能する。
 ボディ系制御ユニット12020は、各種プログラムにしたがって車体に装備された各種装置の動作を制御する。例えば、ボディ系制御ユニット12020は、キーレスエントリシステム、スマートキーシステム、パワーウィンドウ装置、あるいは、ヘッドランプ、バックランプ、ブレーキランプ、ウィンカー又はフォグランプ等の各種ランプの制御装置として機能する。この場合、ボディ系制御ユニット12020には、鍵を代替する携帯機から発信される電波又は各種スイッチの信号が入力され得る。ボディ系制御ユニット12020は、これらの電波又は信号の入力を受け付け、車両のドアロック装置、パワーウィンドウ装置、ランプ等を制御する。
 車外情報検出ユニット12030は、車両制御システム12000を搭載した車両の外部の情報を検出する。例えば、車外情報検出ユニット12030には、撮像部12031が接続される。車外情報検出ユニット12030は、撮像部12031に車外の画像を撮像させるとともに、撮像された画像を受信する。車外情報検出ユニット12030は、受信した画像に基づいて、人、車、障害物、標識又は路面上の文字等の物体検出処理又は距離検出処理を行ってもよい。
 撮像部12031は、光を受光し、その光の受光量に応じた電気信号を出力する光センサである。撮像部12031は、電気信号を画像として出力することもできるし、測距の情報として出力することもできる。また、撮像部12031が受光する光は、可視光であっても良いし、赤外線等の非可視光であっても良い。
 車内情報検出ユニット12040は、車内の情報を検出する。車内情報検出ユニット12040には、例えば、運転者の状態を検出する運転者状態検出部12041が接続される。運転者状態検出部12041は、例えば運転者を撮像するカメラを含み、車内情報検出ユニット12040は、運転者状態検出部12041から入力される検出情報に基づいて、運転者の疲労度合い又は集中度合いを算出してもよいし、運転者が居眠りをしていないかを判別してもよい。
 マイクロコンピュータ12051は、車外情報検出ユニット12030又は車内情報検出ユニット12040で取得される車内外の情報に基づいて、駆動力発生装置、ステアリング機構又は制動装置の制御目標値を演算し、駆動系制御ユニット12010に対して制御指令を出力することができる。例えば、マイクロコンピュータ12051は、車両の衝突回避あるいは衝撃緩和、車間距離に基づく追従走行、車速維持走行、車両の衝突警告、又は車両のレーン逸脱警告等を含むADAS(Advanced Driver Assistance System)の機能実現を目的とした協調制御を行うことができる。
 また、マイクロコンピュータ12051は、車外情報検出ユニット12030又は車内情報検出ユニット12040で取得される車両の周囲の情報に基づいて駆動力発生装置、ステアリング機構又は制動装置等を制御することにより、運転者の操作に拠らずに自律的に走行する自動運転等を目的とした協調制御を行うことができる。
 また、マイクロコンピュータ12051は、車外情報検出ユニット12030で取得される車外の情報に基づいて、ボディ系制御ユニット12020に対して制御指令を出力することができる。例えば、マイクロコンピュータ12051は、車外情報検出ユニット12030で検知した先行車又は対向車の位置に応じてヘッドランプを制御し、ハイビームをロービームに切り替える等の防眩を図ることを目的とした協調制御を行うことができる。
 音声画像出力部12052は、車両の搭乗者又は車外に対して、視覚的又は聴覚的に情報を通知することが可能な出力装置へ音声及び画像のうちの少なくとも一方の出力信号を送信する。図20の例では、出力装置として、オーディオスピーカ12061、表示部12062及びインストルメントパネル12063が例示されている。表示部12062は、例えば、オンボードディスプレイ及びヘッドアップディスプレイの少なくとも一つを含んでいてもよい。
 図21は、撮像部12031の設置位置の例を示す図である。
 図21では、車両12100は、撮像部12031として、撮像部12101,12102,12103,12104,12105を有する。
 撮像部12101,12102,12103,12104,12105は、例えば、車両12100のフロントノーズ、サイドミラー、リアバンパ、バックドア及び車室内のフロントガラスの上部等の位置に設けられる。フロントノーズに備えられる撮像部12101及び車室内のフロントガラスの上部に備えられる撮像部12105は、主として車両12100の前方の画像を取得する。サイドミラーに備えられる撮像部12102,12103は、主として車両12100の側方の画像を取得する。リアバンパ又はバックドアに備えられる撮像部12104は、主として車両12100の後方の画像を取得する。撮像部12101及び12105で取得される前方の画像は、主として先行車両又は、歩行者、障害物、信号機、交通標識又は車線等の検出に用いられる。
 なお、図21には、撮像部12101ないし12104の撮影範囲の一例が示されている。撮像範囲12111は、フロントノーズに設けられた撮像部12101の撮像範囲を示し、撮像範囲12112,12113は、それぞれサイドミラーに設けられた撮像部12102,12103の撮像範囲を示し、撮像範囲12114は、リアバンパ又はバックドアに設けられた撮像部12104の撮像範囲を示す。例えば、撮像部12101ないし12104で撮像された画像データが重ね合わせられることにより、車両12100を上方から見た俯瞰画像が得られる。
 撮像部12101ないし12104の少なくとも1つは、距離情報を取得する機能を有していてもよい。例えば、撮像部12101ないし12104の少なくとも1つは、複数の撮像素子からなるステレオカメラであってもよいし、位相差検出用の画素を有する撮像素子であってもよい。
 例えば、マイクロコンピュータ12051は、撮像部12101ないし12104から得られた距離情報を基に、撮像範囲12111ないし12114内における各立体物までの距離と、この距離の時間的変化(車両12100に対する相対速度)を求めることにより、特に車両12100の進行路上にある最も近い立体物で、車両12100と略同じ方向に所定の速度(例えば、0km/h以上)で走行する立体物を先行車として抽出することができる。さらに、マイクロコンピュータ12051は、先行車の手前に予め確保すべき車間距離を設定し、自動ブレーキ制御(追従停止制御も含む)や自動加速制御(追従発進制御も含む)等を行うことができる。このように運転者の操作に拠らずに自律的に走行する自動運転等を目的とした協調制御を行うことができる。
 例えば、マイクロコンピュータ12051は、撮像部12101ないし12104から得られた距離情報を元に、立体物に関する立体物データを、2輪車、普通車両、大型車両、歩行者、電柱等その他の立体物に分類して抽出し、障害物の自動回避に用いることができる。例えば、マイクロコンピュータ12051は、車両12100の周辺の障害物を、車両12100のドライバが視認可能な障害物と視認困難な障害物とに識別する。そして、マイクロコンピュータ12051は、各障害物との衝突の危険度を示す衝突リスクを判断し、衝突リスクが設定値以上で衝突可能性がある状況であるときには、オーディオスピーカ12061や表示部12062を介してドライバに警報を出力することや、駆動系制御ユニット12010を介して強制減速や回避操舵を行うことで、衝突回避のための運転支援を行うことができる。
 撮像部12101ないし12104の少なくとも1つは、赤外線を検出する赤外線カメラであってもよい。例えば、マイクロコンピュータ12051は、撮像部12101ないし12104の撮像画像中に歩行者が存在するか否かを判定することで歩行者を認識することができる。かかる歩行者の認識は、例えば赤外線カメラとしての撮像部12101ないし12104の撮像画像における特徴点を抽出する手順と、物体の輪郭を示す一連の特徴点にパターンマッチング処理を行って歩行者か否かを判別する手順によって行われる。マイクロコンピュータ12051が、撮像部12101ないし12104の撮像画像中に歩行者が存在すると判定し、歩行者を認識すると、音声画像出力部12052は、当該認識された歩行者に強調のための方形輪郭線を重畳表示するように、表示部12062を制御する。また、音声画像出力部12052は、歩行者を示すアイコン等を所望の位置に表示するように表示部12062を制御してもよい。
 以上、本開示に係る技術が適用され得る車両制御システムの一例について説明した。本開示に係る技術は、以上説明した構成のうち、例えば、撮像部12031等に適用され得る。具体的には、上述の光検出装置1は、撮像部12031に適用することができる。撮像部12031に本開示に係る技術を適用することにより、撮像部12031の接続パッド同士の接合性が不十分になることを抑制でき、撮像部12031の信頼性が向上する。
 <3.内視鏡手術システムへの応用例>
 本開示に係る技術(本技術)は、様々な製品へ応用することができる。例えば、本開示に係る技術は、内視鏡手術システムに適用されてもよい。
 図22は、本開示に係る技術(本技術)が適用され得る内視鏡手術システムの概略的な構成の一例を示す図である。
 図22では、術者(医師)11131が、内視鏡手術システム11000を用いて、患者ベッド11133上の患者11132に手術を行っている様子が図示されている。図示するように、内視鏡手術システム11000は、内視鏡11100と、気腹チューブ11111やエネルギー処置具11112等の、その他の術具11110と、内視鏡11100を支持する支持アーム装置11120と、内視鏡下手術のための各種の装置が搭載されたカート11200と、から構成される。
 内視鏡11100は、先端から所定の長さの領域が患者11132の体腔内に挿入される鏡筒11101と、鏡筒11101の基端に接続されるカメラヘッド11102と、から構成される。図示する例では、硬性の鏡筒11101を有するいわゆる硬性鏡として構成される内視鏡11100を図示しているが、内視鏡11100は、軟性の鏡筒を有するいわゆる軟性鏡として構成されてもよい。
 鏡筒11101の先端には、対物レンズが嵌め込まれた開口部が設けられている。内視鏡11100には光源装置11203が接続されており、当該光源装置11203によって生成された光が、鏡筒11101の内部に延設されるライトガイドによって当該鏡筒の先端まで導光され、対物レンズを介して患者11132の体腔内の観察対象に向かって照射される。なお、内視鏡11100は、直視鏡であってもよいし、斜視鏡又は側視鏡であってもよい。
 カメラヘッド11102の内部には光学系及び撮像素子が設けられており、観察対象からの反射光(観察光)は当該光学系によって当該撮像素子に集光される。当該撮像素子によって観察光が光電変換され、観察光に対応する電気信号、すなわち観察像に対応する画像信号が生成される。当該画像信号は、RAWデータとしてカメラコントロールユニット(CCU: Camera Control Unit)11201に送信される。
 CCU11201は、CPU(Central Processing Unit)やGPU(Graphics Processing Unit)等によって構成され、内視鏡11100及び表示装置11202の動作を統括的に制御する。さらに、CCU11201は、カメラヘッド11102から画像信号を受け取り、その画像信号に対して、例えば現像処理(デモザイク処理)等の、当該画像信号に基づく画像を表示するための各種の画像処理を施す。
 表示装置11202は、CCU11201からの制御により、当該CCU11201によって画像処理が施された画像信号に基づく画像を表示する。
 光源装置11203は、例えばLED(Light Emitting Diode)等の光源から構成され、術部等を撮影する際の照射光を内視鏡11100に供給する。
 入力装置11204は、内視鏡手術システム11000に対する入力インタフェースである。ユーザは、入力装置11204を介して、内視鏡手術システム11000に対して各種の情報の入力や指示入力を行うことができる。例えば、ユーザは、内視鏡11100による撮像条件(照射光の種類、倍率及び焦点距離等)を変更する旨の指示等を入力する。
 処置具制御装置11205は、組織の焼灼、切開又は血管の封止等のためのエネルギー処置具11112の駆動を制御する。気腹装置11206は、内視鏡11100による視野の確保及び術者の作業空間の確保の目的で、患者11132の体腔を膨らめるために、気腹チューブ11111を介して当該体腔内にガスを送り込む。レコーダ11207は、手術に関する各種の情報を記録可能な装置である。プリンタ11208は、手術に関する各種の情報を、テキスト、画像又はグラフ等各種の形式で印刷可能な装置である。
 なお、内視鏡11100に術部を撮影する際の照射光を供給する光源装置11203は、例えばLED、レーザ光源又はこれらの組み合わせによって構成される白色光源から構成することができる。RGBレーザ光源の組み合わせにより白色光源が構成される場合には、各色(各波長)の出力強度及び出力タイミングを高精度に制御することができるため、光源装置11203において撮像画像のホワイトバランスの調整を行うことができる。また、この場合には、RGBレーザ光源それぞれからのレーザ光を時分割で観察対象に照射し、その照射タイミングに同期してカメラヘッド11102の撮像素子の駆動を制御することにより、RGBそれぞれに対応した画像を時分割で撮像することも可能である。当該方法によれば、当該撮像素子にカラーフィルタを設けなくても、カラー画像を得ることができる。
 また、光源装置11203は、出力する光の強度を所定の時間ごとに変更するようにその駆動が制御されてもよい。その光の強度の変更のタイミングに同期してカメラヘッド11102の撮像素子の駆動を制御して時分割で画像を取得し、その画像を合成することにより、いわゆる黒つぶれ及び白とびのない高ダイナミックレンジの画像を生成することができる。
 また、光源装置11203は、特殊光観察に対応した所定の波長帯域の光を供給可能に構成されてもよい。特殊光観察では、例えば、体組織における光の吸収の波長依存性を利用して、通常の観察時における照射光(すなわち、白色光)に比べて狭帯域の光を照射することにより、粘膜表層の血管等の所定の組織を高コントラストで撮影する、いわゆる狭帯域光観察(Narrow Band Imaging)が行われる。あるいは、特殊光観察では、励起光を照射することにより発生する蛍光により画像を得る蛍光観察が行われてもよい。蛍光観察では、体組織に励起光を照射し当該体組織からの蛍光を観察すること(自家蛍光観察)、又はインドシアニングリーン(ICG)等の試薬を体組織に局注するとともに当該体組織にその試薬の蛍光波長に対応した励起光を照射し蛍光像を得ること等を行うことができる。光源装置11203は、このような特殊光観察に対応した狭帯域光及び/又は励起光を供給可能に構成され得る。
 図23は、図22に示すカメラヘッド11102及びCCU11201の機能構成の一例を示すブロック図である。
 カメラヘッド11102は、レンズユニット11401と、撮像部11402と、駆動部11403と、通信部11404と、カメラヘッド制御部11405と、を有する。CCU11201は、通信部11411と、画像処理部11412と、制御部11413と、を有する。カメラヘッド11102とCCU11201とは、伝送ケーブル11400によって互いに通信可能に接続されている。
 レンズユニット11401は、鏡筒11101との接続部に設けられる光学系である。鏡筒11101の先端から取り込まれた観察光は、カメラヘッド11102まで導光され、当該レンズユニット11401に入射する。レンズユニット11401は、ズームレンズ及びフォーカスレンズを含む複数のレンズが組み合わされて構成される。
 撮像部11402は、撮像素子で構成される。撮像部11402を構成する撮像素子は、1つ(いわゆる単板式)であってもよいし、複数(いわゆる多板式)であってもよい。撮像部11402が多板式で構成される場合には、例えば各撮像素子によってRGBそれぞれに対応する画像信号が生成され、それらが合成されることによりカラー画像が得られてもよい。あるいは、撮像部11402は、3D(Dimensional)表示に対応する右目用及び左目用の画像信号をそれぞれ取得するための1対の撮像素子を有するように構成されてもよい。3D表示が行われることにより、術者11131は術部における生体組織の奥行きをより正確に把握することが可能になる。なお、撮像部11402が多板式で構成される場合には、各撮像素子に対応して、レンズユニット11401も複数系統設けられ得る。
 また、撮像部11402は、必ずしもカメラヘッド11102に設けられなくてもよい。例えば、撮像部11402は、鏡筒11101の内部に、対物レンズの直後に設けられてもよい。
 駆動部11403は、アクチュエータによって構成され、カメラヘッド制御部11405からの制御により、レンズユニット11401のズームレンズ及びフォーカスレンズを光軸に沿って所定の距離だけ移動させる。これにより、撮像部11402による撮像画像の倍率及び焦点が適宜調整され得る。
 通信部11404は、CCU11201との間で各種の情報を送受信するための通信装置によって構成される。通信部11404は、撮像部11402から得た画像信号をRAWデータとして伝送ケーブル11400を介してCCU11201に送信する。
 また、通信部11404は、CCU11201から、カメラヘッド11102の駆動を制御するための制御信号を受信し、カメラヘッド制御部11405に供給する。当該制御信号には、例えば、撮像画像のフレームレートを指定する旨の情報、撮像時の露出値を指定する旨の情報、並びに/又は撮像画像の倍率及び焦点を指定する旨の情報等、撮像条件に関する情報が含まれる。
 なお、上記のフレームレートや露出値、倍率、焦点等の撮像条件は、ユーザによって適宜指定されてもよいし、取得された画像信号に基づいてCCU11201の制御部11413によって自動的に設定されてもよい。後者の場合には、いわゆるAE(Auto Exposure)機能、AF(Auto Focus)機能及びAWB(Auto White Balance)機能が内視鏡11100に搭載されていることになる。
 カメラヘッド制御部11405は、通信部11404を介して受信したCCU11201からの制御信号に基づいて、カメラヘッド11102の駆動を制御する。
 通信部11411は、カメラヘッド11102との間で各種の情報を送受信するための通信装置によって構成される。通信部11411は、カメラヘッド11102から、伝送ケーブル11400を介して送信される画像信号を受信する。
 また、通信部11411は、カメラヘッド11102に対して、カメラヘッド11102の駆動を制御するための制御信号を送信する。画像信号や制御信号は、電気通信や光通信等によって送信することができる。
 画像処理部11412は、カメラヘッド11102から送信されたRAWデータである画像信号に対して各種の画像処理を施す。
 制御部11413は、内視鏡11100による術部等の撮像、及び、術部等の撮像により得られる撮像画像の表示に関する各種の制御を行う。例えば、制御部11413は、カメラヘッド11102の駆動を制御するための制御信号を生成する。
 また、制御部11413は、画像処理部11412によって画像処理が施された画像信号に基づいて、術部等が映った撮像画像を表示装置11202に表示させる。この際、制御部11413は、各種の画像認識技術を用いて撮像画像内における各種の物体を認識してもよい。例えば、制御部11413は、撮像画像に含まれる物体のエッジの形状や色等を検出することにより、鉗子等の術具、特定の生体部位、出血、エネルギー処置具11112の使用時のミスト等を認識することができる。制御部11413は、表示装置11202に撮像画像を表示させる際に、その認識結果を用いて、各種の手術支援情報を当該術部の画像に重畳表示させてもよい。手術支援情報が重畳表示され、術者11131に提示されることにより、術者11131の負担を軽減することや、術者11131が確実に手術を進めることが可能になる。
 カメラヘッド11102及びCCU11201を接続する伝送ケーブル11400は、電気信号の通信に対応した電気信号ケーブル、光通信に対応した光ファイバ、又はこれらの複合ケーブルである。
 ここで、図示する例では、伝送ケーブル11400を用いて有線で通信が行われていたが、カメラヘッド11102とCCU11201との間の通信は無線で行われてもよい。
 以上、本開示に係る技術が適用され得る内視鏡手術システムの一例について説明した。本開示に係る技術は、以上説明した構成のうち、例えば、カメラヘッド11102の撮像部11402等に適用され得る。具体的には、上述の光検出装置1は、撮像部11402に適用することができる。撮像部11402に本開示に係る技術を適用することにより、撮像部11402の接続パッド同士の接合性が不十分になることを抑制でき、撮像部11402の信頼性が向上する。
 なお、ここでは、一例として内視鏡手術システムについて説明したが、本開示に係る技術は、その他、例えば、顕微鏡手術システム等に適用されてもよい。
 [その他の実施形態]
 上記のように、本技術は複数の実施形態によって記載したが、この開示の一部をなす論述及び図面は本技術を限定するものであると理解すべきではない。この開示から当業者には様々な代替実施の形態、実施例及び運用技術が明らかとなろう。
 例えば、第1実施形態から第3実施形態までにおいて説明したそれぞれの技術的思想を互いに組み合わせることも可能である。例えば、第1実施形態に係る柱の構成と、第2実施形態に係る接続パッドの構成と、第3実施形態に係る絶縁膜dbの構成との全てを組み合わせても良いし、そのうちの2つを組み合わせることができる。これらの実施形態の少なくとも2つを組み合わせることにより、接続パッド同士の接合性が不十分になることをより抑制できる。
 また、第2実施形態に係る光検出装置1において、絶縁膜31A,41A,61A,71Aは、低誘電率(Low-K)絶縁材料である第1材料製であっても良い。本技術の第2実施形態に係る光検出装置1では、第1部分aの膨張量がバリアメタル層fの影響を受けることを抑制することができるので、絶縁膜が第1材料製であっても、接続パッド同士の接触性が劣化することを抑制することができる。さらに、絶縁膜31A,41A,61A,71Aはそれぞれ、少なくとも一部が、低誘電率(Low-K)絶縁材料である第1材料製であっても良い。同様に、第3実施形態に係る光検出装置1において、絶縁膜daは、低誘電率(Low-K)絶縁材料である第1材料製であっても良い。本技術の第3実施形態に係る光検出装置1では、絶縁膜dbの膨張量を抑制することができるので、絶縁膜daが第1材料製であっても、接続パッド同士の接触性が劣化することを抑制することができる。さらに、各配線層の絶縁膜daは、少なくとも一部が、低誘電率(Low-K)絶縁材料である第1材料製であっても良い。このように、それぞれの技術的思想に沿った種々の組み合わせが可能である。
 さらに、上述の光検出装置1は3層の半導体層を有していたが、これに限定されず、少なくとも2層の半導体層を備えていれば良い。
 また、本技術は、上述したイメージセンサとしての固体撮像装置の他、ToF(Time of Flight)センサともよばれる距離を測定する測距センサなども含む光検出装置全般に適用することができる。測距センサは、物体に向かって照射光を発光し、その照射光が物体の表面で反射され返ってくる反射光を検出し、照射光が発光されてから反射光が受光されるまでの飛行時間に基づいて物体までの距離を算出するセンサである。この測距センサの構造として、上述した接続パッド及び絶縁膜の構造を採用することができる。また、本技術は、光検出装置1以外の半導体装置にも適用可能である。
 このように、本技術はここでは記載していない様々な実施の形態等を含むことは勿論である。したがって、本技術の技術的範囲は上記の説明から妥当な特許請求の範囲に記載された発明特定事項によってのみ定められるものである。
 また、本明細書に記載された効果はあくまでも例示であって限定されるものでは無く、また他の効果があっても良い。
 なお、本技術は、以下のような構成としてもよい。
(1)
 少なくとも2層の半導体層と、
 前記半導体層同士の間に介在し、それぞれが絶縁膜及び前記絶縁膜に設けられた接続パッドを含み、前記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、
 を備え、
 少なくとも2層の前記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、
 前記絶縁膜は、第1絶縁膜と、前記第1絶縁膜を構成する材料より剛性が高い材料からなり、前記第1絶縁膜を積層方向に貫通している第2絶縁膜とを含み、
 前記第2絶縁膜は、前記接続パッドと少なくとも一の前記半導体層との間に設けられている、光検出装置。
(2)
 前記第2絶縁膜は、積層方向に沿って延在する柱状の部分を含み、
 前記柱状の部分は、積層方向の一端が前記接続パッドに接し、他端が前記半導体層に接している、(1)に記載の光検出装置。
(3)
 前記柱状の部分は、前記絶縁膜に形成された配線と積層方向で重ならない位置に設けられている、(2)に記載の光検出装置。
(4)
 前記柱状の部分は、一の前記接続パッドに対して複数設けられている、(2)又は(3)に記載の光検出装置。
(5)
 前記第1絶縁膜を構成する材料の誘電率は、前記第2絶縁膜を構成する材料の誘電率より低い、(1)から(4)のいずれかに記載の光検出装置。
(6)
 前記第2絶縁膜を構成する材料は、酸化シリコン、窒化シリコン、又は、酸化シリコン及び窒化シリコンである、(1)から(5)のいずれかに記載の光検出装置。
(7)
 少なくとも2層の半導体層と、
 前記半導体層同士の間に介在し、それぞれが絶縁膜及び前記絶縁膜に設けられた接続パッドを含み、前記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、
 を備え、
 少なくとも2層の前記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、
 前記接続パッドの少なくとも一方は、第1金属からなり当該接続パッドの表面を構成している第1部分と、前記第1部分と前記絶縁膜との間に設けられ、前記第1金属より塑性変形しやすい第2金属からなる第2部分と、を有する、光検出装置。
(8)
 前記第2部分は、少なくとも前記第1部分の側面と前記絶縁膜との間に設けられている、(7)に記載の光検出装置。
(9)
 前記第2金属の融点は前記第1金属の融点より低い、(7)又は(8)に記載の光検出装置。
(10)
 前記第2部分は、前記第1金属を積層するための下地として機能するシード層、又は前記第1金属が前記絶縁膜に拡散するのを抑制するバリアメタル層である、(7)から(9)のいずれかに記載の光検出装置。
(11)
 前記第1金属は銅であり、
 前記第2金属は、アルミニウム、アルミニウム-銅系合金、アルミニウム-シリコン系合金、カドミウム、錫、タンタル、鉛、鉛-銅系合金、アンチモン、イッテイルビウム、カルシウム、銀、ゲルマニウム、ストロンチウム、又はセリウムである、(7)から(10)のいずれかに記載の光検出装置。
(12)
 少なくとも2層の半導体層と、
 前記半導体層同士の間に介在し、それぞれが絶縁膜及び前記絶縁膜に設けられた接続パッドを含み、前記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、
 を備え、
 少なくとも2層の前記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、
 前記絶縁膜のうち、前記接続パッドの側面に隣接する部分である第3部分を構成する材料の線膨張係数は、前記接続パッドの底面に隣接する部分である第4部分を構成する材料の線膨張係数より小さい、光検出装置。
(13)
 前記第3部分を構成する材料は、添加剤により線膨張係数が調整されたガラスセラミックである、(12)に記載の光検出装置。
(14)
 前記第3部分を構成する材料の線膨張係数は負の値である、(12)に記載の光検出装置。
(15)
 前記第3部分を構成する材料は、立方晶タングステン酸ジルコニウム、Cu-Zn-V-O系酸化物、リン酸ジルコニウム、リン酸タングステン酸ジルコニウム、及び負の線膨張係数を有するガラスからなるフィラーのうち、少なくとも1つを含む、(14)に記載の光検出装置。
(16)
 前記第3部分と前記第4部分との間には、酸化シリコン膜、窒化シリコン膜、炭化窒化シリコン膜、炭素含有酸化シリコン膜、炭化シリコン膜、酸化アルミニウム膜、及び酸化タンタル膜のうち、少なくとも1つが設けられている、(12)から(15)のいずれかに記載の光検出装置。
(17)
 光検出装置と、前記光検出装置に被写体からの像光を結像させる光学系と、を備え、
 前記光検出装置は、
 少なくとも2層の半導体層と、
 前記半導体層同士の間に介在し、それぞれが絶縁膜及び前記絶縁膜に設けられた接続パッドを含み、前記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、
 を備え、
 少なくとも2層の前記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、
 前記絶縁膜は、第1絶縁膜と、前記第1絶縁膜を構成する材料より剛性が高い材料からなり、前記第1絶縁膜を積層方向に貫通している第2絶縁膜とを含み、
 前記第2絶縁膜は、前記接続パッドと少なくとも一の前記半導体層との間に設けられている、電子機器。
(18)
 光検出装置と、前記光検出装置に被写体からの像光を結像させる光学系と、を備え、
 前記光検出装置は、
 少なくとも2層の半導体層と、
 前記半導体層同士の間に介在し、それぞれが絶縁膜及び前記絶縁膜に設けられた接続パッドを含み、前記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、
 を備え、
 少なくとも2層の前記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、
 前記接続パッドの少なくとも一方は、第1金属からなり当該接続パッドの表面を構成している第1部分と、前記第1部分と前記絶縁膜との間に設けられ、前記第1金属より塑性変形しやすい第2金属からなる第2部分と、を有する、電子機器。
(19)
 光検出装置と、前記光検出装置に被写体からの像光を結像させる光学系と、を備え、
 前記光検出装置は、
 少なくとも2層の半導体層と、
 前記半導体層同士の間に介在し、それぞれが絶縁膜及び前記絶縁膜に設けられた接続パッドを含み、前記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、
 を備え、
 少なくとも2層の前記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、
 前記絶縁膜のうち、前記接続パッドの側面に隣接する部分である第3部分を構成する材料の線膨張係数は、前記接続パッドの底面に隣接する部分である第4部分を構成する材料の線膨張係数より小さい、電子機器。
 本技術の範囲は、図示され記載された例示的な実施形態に限定されるものではなく、本技術が目的とするものと均等な効果をもたらす全ての実施形態をも含む。さらに、本技術の範囲は、請求項により画される発明の特徴の組み合わせに限定されるものではなく、全ての開示されたそれぞれの特徴のうち特定の特徴のあらゆる所望する組み合わせによって画されうる。
 1 光検出装置
 2 半導体チップ
 2A 画素領域
 2B 周辺領域
 3 画素
 4 垂直駆動回路
 5 カラム信号処理回路
 6 水平駆動回路
 7 出力回路
 8 制御回路
 10 画素駆動線
 11 垂直信号線
 12 水平信号線
 13 ロジック回路
 14 ボンディングパッド
 15 読出し回路
 20 第1半導体層
 20a 光電変換領域
 30 第1配線層
 31,31A,31B 絶縁膜
 32 配線
 33 第1接続パッド
 35 第1絶縁膜
 36 第2絶縁膜
 40 第2配線層
 41,41A,41B 絶縁膜
 42 配線
 43 第2接続パッド
 43a 底面
 45 第1絶縁膜
 46 第2絶縁膜
 50 第2半導体層
 60 第3配線層
 61,61A,61B 絶縁膜
 62 配線
 63 第3接続パッド
 63a 底面
 63S 表面
 65 第1絶縁膜
 66 第2絶縁膜
 70 第4配線層
 71,71A,71B 絶縁膜
 72 配線
 73 第4接続パッド
 73S 表面
 75 第1絶縁膜
 76 第2絶縁膜
 80 第3半導体層
 100 電子機器
 101 固体撮像装置
 102 光学系(光学レンズ)
 103 シャッタ装置
 104 駆動回路
 105 信号処理回路
 a 第1部分
 A,A1,A2,B 接続パッド
 接続パッド
 b 第2部分
 b1 側壁部
 B1 側面
 B2 底面
 c シード層
 d 絶縁膜
 da,da31,da41,da61,da71 絶縁膜
 db,db31,db41,db61,db71 絶縁膜
 f バリアメタル層
 g 接触層
 P,P1,Pa,Pb 柱
 

Claims (17)

  1.  少なくとも2層の半導体層と、
     前記半導体層同士の間に介在し、それぞれが絶縁膜及び前記絶縁膜に設けられた接続パッドを含み、前記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、
     を備え、
     少なくとも2層の前記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、
     前記絶縁膜は、第1絶縁膜と、前記第1絶縁膜を構成する材料より剛性が高い材料からなり、前記第1絶縁膜を積層方向に貫通している第2絶縁膜とを含み、
     前記第2絶縁膜は、前記接続パッドと少なくとも一の前記半導体層との間に設けられている、光検出装置。
  2.  前記第2絶縁膜は、積層方向に沿って延在する柱状の部分を含み、
     前記柱状の部分は、積層方向の一端が前記接続パッドに接し、他端が前記半導体層に接している、請求項1に記載の光検出装置。
  3.  前記柱状の部分は、前記絶縁膜に形成された配線と積層方向で重ならない位置に設けられている、請求項2に記載の光検出装置。
  4.  前記柱状の部分は、一の前記接続パッドに対して複数設けられている、請求項3に記載の光検出装置。
  5.  前記第1絶縁膜を構成する材料の誘電率は、前記第2絶縁膜を構成する材料の誘電率より低い、請求項1に記載の光検出装置。
  6.  前記第2絶縁膜を構成する材料は、酸化シリコン、窒化シリコン、又は、酸化シリコン及び窒化シリコンである、請求項1に記載の光検出装置。
  7.  少なくとも2層の半導体層と、
     前記半導体層同士の間に介在し、それぞれが絶縁膜及び前記絶縁膜に設けられた接続パッドを含み、前記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、
     を備え、
     少なくとも2層の前記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、
     前記接続パッドの少なくとも一方は、第1金属からなり当該接続パッドの表面を構成している第1部分と、前記第1部分と前記絶縁膜との間に設けられ、前記第1金属より塑性変形しやすい第2金属からなる第2部分と、を有する、光検出装置。
  8.  前記第2部分は、少なくとも前記第1部分の側面と前記絶縁膜との間に設けられている、請求項7に記載の光検出装置。
  9.  前記第2金属の融点は前記第1金属の融点より低い、請求項7に記載の光検出装置。
  10.  前記第2部分は、前記第1金属を積層するための下地として機能するシード層、又は前記第1金属が前記絶縁膜に拡散するのを抑制するバリアメタル層である、請求項7に記載の光検出装置。
  11.  前記第1金属は銅であり、
     前記第2金属は、アルミニウム、アルミニウム-銅系合金、アルミニウム-シリコン系合金、カドミウム、錫、タンタル、鉛、鉛-銅系合金、アンチモン、イッテイルビウム、カルシウム、銀、ゲルマニウム、ストロンチウム、又はセリウムである、請求項7に記載の光検出装置。
  12.  少なくとも2層の半導体層と、
     前記半導体層同士の間に介在し、それぞれが絶縁膜及び前記絶縁膜に設けられた接続パッドを含み、前記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、
     を備え、
     少なくとも2層の前記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、
     前記絶縁膜のうち、前記接続パッドの側面に隣接する部分である第3部分を構成する材料の線膨張係数は、前記接続パッドの底面に隣接する部分である第4部分を構成する材料の線膨張係数より小さい、光検出装置。
  13.  前記第3部分を構成する材料は、添加剤により線膨張係数が調整されたガラスセラミックである、請求項12に記載の光検出装置。
  14.  前記第3部分を構成する材料の線膨張係数は負の値である、請求項12に記載の光検出装置。
  15.  前記第3部分を構成する材料は、立方晶タングステン酸ジルコニウム、Cu-Zn-V-O系酸化物、リン酸ジルコニウム、リン酸タングステン酸ジルコニウム、及び負の線膨張係数を有するガラスからなるフィラーのうち、少なくとも1つを含む、請求項14に記載の光検出装置。
  16.  前記第3部分と前記第4部分との間には、酸化シリコン膜、窒化シリコン膜、炭化窒化シリコン膜、炭素含有酸化シリコン膜、炭化シリコン膜、酸化アルミニウム膜、及び酸化タンタル膜のうち、少なくとも1つが設けられている、請求項12に記載の光検出装置。
  17.  光検出装置と、前記光検出装置に被写体からの像光を結像させる光学系と、を備え、
     前記光検出装置は、
     少なくとも2層の半導体層と、
     前記半導体層同士の間に介在し、それぞれが絶縁膜及び前記絶縁膜に設けられた接続パッドを含み、前記接続パッドの表面同士を接合することで互いに電気的に結合された、積層方向一方側の配線層及び積層方向他方側の配線層と、
     を備え、
     少なくとも2層の前記半導体層のうちの光入射面側の半導体層は光電変換領域を有し、
     前記絶縁膜は、第1絶縁膜と、前記第1絶縁膜を構成する材料より剛性が高い材料からなり、前記第1絶縁膜を積層方向に貫通している第2絶縁膜とを含み、
     前記第2絶縁膜は、前記接続パッドと少なくとも一の前記半導体層との間に設けられている、電子機器。
     
     
     
     
PCT/JP2022/013959 2021-08-24 2022-03-24 光検出装置及び電子機器 WO2023026559A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE112022004086.6T DE112022004086T5 (de) 2021-08-24 2022-03-24 Lichtdetektionsvorrichtung und elektronische einrichtung
CN202280055500.8A CN117882191A (zh) 2021-08-24 2022-03-24 光检测装置和电子设备
JP2023543671A JPWO2023026559A1 (ja) 2021-08-24 2022-03-24

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021-136266 2021-08-24
JP2021136266 2021-08-24

Publications (1)

Publication Number Publication Date
WO2023026559A1 true WO2023026559A1 (ja) 2023-03-02

Family

ID=85322619

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2022/013959 WO2023026559A1 (ja) 2021-08-24 2022-03-24 光検出装置及び電子機器

Country Status (4)

Country Link
JP (1) JPWO2023026559A1 (ja)
CN (1) CN117882191A (ja)
DE (1) DE112022004086T5 (ja)
WO (1) WO2023026559A1 (ja)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013033900A (ja) * 2011-07-05 2013-02-14 Sony Corp 半導体装置、電子機器、及び、半導体装置の製造方法
JP2013033786A (ja) * 2011-08-01 2013-02-14 Sony Corp 半導体装置および半導体装置の製造方法
JP2019110260A (ja) * 2017-12-20 2019-07-04 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置、及びその製造方法
WO2021100332A1 (ja) * 2019-11-19 2021-05-27 ソニーセミコンダクタソリューションズ株式会社 半導体装置、固体撮像装置及び電子機器

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015076502A (ja) 2013-10-09 2015-04-20 ソニー株式会社 半導体装置およびその製造方法、並びに電子機器

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013033900A (ja) * 2011-07-05 2013-02-14 Sony Corp 半導体装置、電子機器、及び、半導体装置の製造方法
JP2013033786A (ja) * 2011-08-01 2013-02-14 Sony Corp 半導体装置および半導体装置の製造方法
JP2019110260A (ja) * 2017-12-20 2019-07-04 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置、及びその製造方法
WO2021100332A1 (ja) * 2019-11-19 2021-05-27 ソニーセミコンダクタソリューションズ株式会社 半導体装置、固体撮像装置及び電子機器

Also Published As

Publication number Publication date
CN117882191A (zh) 2024-04-12
DE112022004086T5 (de) 2024-05-29
JPWO2023026559A1 (ja) 2023-03-02

Similar Documents

Publication Publication Date Title
JP7291148B2 (ja) 半導体装置
US11901392B2 (en) Semiconductor device and method of manufacturing semiconductor device
US20230052040A1 (en) Semiconductor device, imaging device, and manufacturing apparatus
JP7419476B2 (ja) 半導体装置およびその製造方法、並びに電子機器
KR20210107640A (ko) 촬상 소자 및 촬상 소자의 제조 방법
WO2020179494A1 (ja) 半導体装置および撮像装置
WO2024042996A1 (ja) 積層基板及び半導体装置
JP7143329B2 (ja) 半導体装置
WO2023026559A1 (ja) 光検出装置及び電子機器
WO2021111893A1 (en) Semiconductor element and electronic apparatus
CN114467177A (zh) 半导体元件和电子设备
WO2023112520A1 (ja) 半導体装置、電子機器、及びウエハ
WO2023042462A1 (ja) 光検出装置、光検出装置の製造方法、及び電子機器
WO2024057814A1 (ja) 光検出装置および電子機器
WO2024111457A1 (ja) 光検出装置、その製造方法、及び電子機器
WO2024024573A1 (ja) 撮像装置及び電子機器
WO2024095751A1 (ja) 光検出装置及び電子機器
WO2023106308A1 (ja) 受光装置
WO2023119840A1 (ja) 撮像素子、撮像素子の製造方法、電子機器
WO2024101204A1 (ja) 光検出装置及び積層基板
WO2023106023A1 (ja) 光検出装置及び電子機器
WO2023058484A1 (ja) 撮像装置
WO2022014400A1 (ja) 配線構造およびその製造方法、ならびに撮像装置
WO2023106316A1 (ja) 受光装置
WO2024101203A1 (ja) 光検出装置及び積層基板

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22860857

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2023543671

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 202280055500.8

Country of ref document: CN