WO2022137467A1 - 静電チャック及び処理装置 - Google Patents

静電チャック及び処理装置 Download PDF

Info

Publication number
WO2022137467A1
WO2022137467A1 PCT/JP2020/048578 JP2020048578W WO2022137467A1 WO 2022137467 A1 WO2022137467 A1 WO 2022137467A1 JP 2020048578 W JP2020048578 W JP 2020048578W WO 2022137467 A1 WO2022137467 A1 WO 2022137467A1
Authority
WO
WIPO (PCT)
Prior art keywords
electrostatic chuck
sprayed coating
dielectric layer
ceramic sprayed
plasma
Prior art date
Application number
PCT/JP2020/048578
Other languages
English (en)
French (fr)
Inventor
剛 高畠
智博 中筋
亮 伊藤
健太郎 瀬戸
豊 大本
裕穂 北田
一海 田中
Original Assignee
トーカロ株式会社
株式会社日立ハイテク
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by トーカロ株式会社, 株式会社日立ハイテク filed Critical トーカロ株式会社
Priority to US17/642,083 priority Critical patent/US11955360B2/en
Priority to PCT/JP2020/048578 priority patent/WO2022137467A1/ja
Priority to JP2022513924A priority patent/JP7234459B2/ja
Priority to CN202080063677.3A priority patent/CN114981949A/zh
Priority to KR1020227008008A priority patent/KR102626584B1/ko
Priority to TW110147038A priority patent/TWI782819B/zh
Publication of WO2022137467A1 publication Critical patent/WO2022137467A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Definitions

  • the present invention relates to an electrostatic chuck and a processing apparatus provided with the electrostatic chuck.
  • an electrostatic chuck is used to hold a semiconductor wafer.
  • a chuck electrode is provided on a metal mounting table via an insulating layer, and a ceramic dielectric layer is laminated so as to cover the chuck electrode. It is known that the surface is an electrostatic adsorption surface for holding a semiconductor wafer.
  • the electrostatic chuck is arranged in a plasma processing device such as a plasma etching device.
  • the electrostatic adsorption surface of the electrostatic chuck arranged in the plasma processing apparatus is required to have corrosion resistance to plasma gas and a cleaning liquid. This is because the electrostatic chuck is used repeatedly.
  • a cleaning step is performed for each semiconductor wafer or lot in order to remove the reaction product generated from the material to be processed adhering to the inner wall of the etching chamber.
  • plasma cleaning using a halogen such as fluorine (F) or a mixed gas containing a halogen is performed.
  • the electrostatic adsorption surface of the electrostatic chuck is also exposed to the plasma gas used for plasma cleaning.
  • a protective layer on the electrostatic adsorption surface of the electrostatic chuck arranged in the plasma processing device to prevent corrosion by plasma gas (including plasma gas used in the cleaning process) and cleaning liquid.
  • a means for forming the protective layer for example, a PVD method, a CVD method, a thermal spraying method, a coating method and the like are used.
  • a thermal spraying method capable of forming a ceramic (for example, ytria) coating with a thickness of about several hundred ⁇ m is suitable as a means for forming a protective layer having high corrosion resistance.
  • the film formed by the thermal spraying method often has pores, and in order to close the pores, a post-treatment called a pore-sealing treatment may be performed.
  • Organic resins such as epoxy resins are often used for the sealing treatment, but there is also a method of applying a coating material containing an inorganic component and then volatilizing the solvent component to fill the pores with the inorganic component. (See, for example, Patent Documents 1 and 2).
  • the sealing component filled in the pores of the thermal spray coating as the protective layer disappears, the inside of the dielectric layer is exposed to plasma gas, which may affect the characteristics of the dielectric layer.
  • the dielectric layer may adhere to the semiconductor wafer due to cracking or wear of the dielectric layer, and as a result, the yield of the semiconductor wafer may decrease, or the electrical characteristics of the dielectric layer itself may be impaired, causing adsorption failure. be.
  • Electrostatic chucks can be divided into several types, such as Johnson-Labeck force type and Coulomb force type, depending on the electrostatic adsorption mechanism.
  • the electrostatic adsorption surface has a highly corrosion-resistant dielectric layer. May not be formed.
  • the Itria coating cannot be used as a dielectric layer of a Johnson-Labeck force type electrostatic chuck in which a small amount of current flows on the outermost surface due to its large volume resistivity.
  • a thermal spraying material having a low volume resistivity and excellent corrosion resistance is used, and the dielectric layer is formed by a thermal spraying method. Is conceivable. However, the current situation is that no thermal spraying material that can realize this has been found.
  • Another method is to form a ceramic sprayed coating using a thermal spraying material that is inferior in corrosion resistance but has a low volume resistivity, and then perform a sealing treatment using a sealing treatment agent having high corrosion resistance. Can also be considered. With this method, it can be expected that a dielectric layer having high corrosion resistance can be formed without significantly changing the electrical characteristics of the electrostatic adsorption surface.
  • the present inventors have made diligent studies to solve the above problems, and by using a new sealing component, a layer having a low volume resistivity and a high corrosion resistance is provided as a dielectric layer constituting the electrostatic adsorption surface. We have found that we can provide a Johnson-Labeck force type electrostatic chuck, and completed the present invention.
  • the electrostatic chuck of the present invention comprises a metal substrate, an electrostatic adsorption electrode provided on the metal substrate via an insulating layer, and a dielectric constituting an electrostatic adsorption surface in contact with an object to be processed.
  • the dielectric layer contains a ceramic sprayed coating and a sealing component filled in the pores of the ceramic sprayed coating.
  • the sealing component contains a metal organic acid salt containing a rare earth element.
  • the electrostatic chuck includes a dielectric layer containing a ceramic sprayed coating and a specific sealing component as a dielectric layer provided with an electrostatic adsorption surface, and the dielectric layer has a low volume resistivity and a high corrosion resistance. Is. Therefore, the electrostatic chuck is a Johnson-Labeck force type electrostatic chuck having excellent corrosion resistance.
  • the electrostatic chuck preferably has a volume resistivity of 1.0 ⁇ 108 to 1.0 ⁇ 10 13 ⁇ ⁇ cm of the ceramic sprayed coating. In this case, as a Johnson-Labeck force type electrostatic chuck, good electrostatic adsorption performance can be exhibited.
  • the ceramic sprayed coating is preferably made of aluminum-titanium oxide. In this case, it is particularly suitable to have a volume resistivity suitable for a Johnson-Labeck force type electrostatic chuck.
  • the rare earth element is preferably yttrium or ytterbium. In these cases, the dielectric layer is particularly suitable for forming a layer having high corrosion resistance.
  • the processing apparatus of the present invention includes the electrostatic chuck according to any one of (1) to (4) above.
  • Examples of the processing device include a plasma processing device and the like.
  • the electrostatic chuck provided in the plasma processing apparatus is excellent in corrosion resistance (plasma resistance).
  • the present invention it is possible to provide a Johnson-Labeck force type electrostatic chuck having an electrostatic adsorption surface having excellent corrosion resistance, and a processing apparatus provided with this electrostatic chuck.
  • FIG. (A) is a diagram schematically showing the evaluation electrostatic chuck manufactured in Test Example 2
  • (b) is a graph showing the cumulative discharge time until cracking.
  • (A) is an observation image of the cut surface of the region A after the exposure test
  • (b) is a diagram showing the distribution of each component in the film of the evaluation electrostatic chuck.
  • FIGS. 9 (c) to 9 (e) are database search results. It is a chromatogram obtained by the GC analysis performed in Test Example 3.
  • FIG. 1 is a vertical sectional view showing a schematic configuration of a plasma processing apparatus according to the present embodiment.
  • the processing device shown in FIG. 1 is a plasma processing device 10.
  • This plasma processing device 10 can be suitably used as, for example, a plasma etching device.
  • the plasma processing apparatus 10 has three main parts. Specifically, it has a plasma forming unit 11, a vacuum container 12, and an exhaust system 13.
  • the plasma forming unit 11 has a microwave source 101, a waveguide 103, and a solenoid coil (static magnetic field generator) 104.
  • the micro wave source 101 is connected to the ground via a power supply, and the load impedance can be adjusted by the adjacent automatic matcher 102 to automatically suppress the reflected wave.
  • the cross section of the waveguide 103 changes from a square shape to a circular shape, and microwaves are transmitted to the cylindrical cavity resonance portion 105.
  • the solenoid coil 104 is arranged so as to cover the upper side and the side surface of the vacuum vessel 12, and the distribution of the static magnetic field can be controlled by changing the current applied to the electromagnet.
  • the vacuum vessel 12 has a dielectric window (microwave introduction window) 111, a shower plate 112, a gas ring (gas introduction section) 113, and a plasma processing chamber 110.
  • a desired reactive gas whose flow rate is controlled by the mass flow controller 141 and the gas supply valve 142 from the gas source 140 passes through the gas ring (gas introduction unit) 113 and the dielectric window (microwave introduction). It is introduced between the window) 111 and the shower plate 112, and is supplied into the plasma processing chamber 110 via the shower plate 112.
  • the shower plate 112 is provided with a large number of holes at positions facing the semiconductor wafer 150 electrostatically adsorbed by the electrostatic chuck 120, and is configured to be able to supply the processing gas from the gas source 140 into the vacuum vessel 12. ing.
  • the shower plate 112 is installed facing the electrostatic chuck 120 at a distance from the electrostatic chuck 120.
  • the vacuum vessel 12 further has an electrostatic chuck 120 below the inside of the plasma processing chamber 110.
  • the electrostatic chuck 120 has a disk shape, and is capable of adsorbing and holding a semiconductor wafer (also simply referred to as a wafer in the present specification) 150 as an object to be processed by static electricity and controlling the temperature of the wafer 150.
  • an RF (Radio Frequency) power supply 121 is connected to the metal base material 201 of the electrostatic chuck 120 via a matching unit 122 so that the electrostatic chuck 120 can apply RF to the wafer 150. It is configured in.
  • the exhaust system 13 has a movable valve 130 and a TMP (Turbomolecular pump) 131.
  • the gas in the plasma processing chamber 110 is exhausted from the TMP 131.
  • the movable valve 130 provided in the upstream portion of the TMP controls the exhaust speed of the exhausted gas, thereby controlling the pressure in the plasma processing chamber 110.
  • FIG. 2 is a vertical cross-sectional view of the electrostatic chuck included in the plasma processing apparatus 10 of FIG.
  • the electrostatic chuck 120 includes a metal base material 201 made of metal, an insulating layer 202 arranged on the upper surface of the metal base material 201, and a chuck electrode (electrostatic adsorption electrode) arranged on the insulating layer 202. ) 205 and a dielectric layer 206 provided so as to cover the chuck electrode 205.
  • the insulating layer 202 is provided with a heater (heater layer) 203 inside.
  • the heater 203 is energized and heated by a DC power supply for a heater (not shown).
  • the dielectric layer 206 has an electrostatic adsorption surface 207 in contact with the wafer 150.
  • the dielectric layer 206 is provided so as to cover the upper surface and the side surface of the electrostatic chuck 120, and also has a function as a protective layer of the electrostatic chuck 120.
  • flow paths (refrigerant grooves) 204 are arranged concentrically or spirally.
  • a refrigerant whose temperature and flow rate (flow velocity) are adjusted by a temperature control unit (not shown) is introduced into the flow path 204.
  • a heat transfer gas flow path (not shown) is provided between the dielectric layer 206 of the electrostatic chuck 120 and the back surface of the wafer 150.
  • This heat transfer gas flow path is composed of a groove provided on the surface of the dielectric layer 206 and a semiconductor wafer, and this groove functions as a gas flow path.
  • a gas having heat transferability such as He is supplied from the heat transfer gas supply source to the heat transfer gas flow path.
  • the electrostatic chuck 120 generates a Johnson-Labeck force by applying a DC voltage (chuck voltage) to the chuck electrode 205 using a DC power supply (not shown), and electrostatically attracts the semiconductor wafer 150 to the electrostatic chuck 120. It can be attracted and held on the surface 207.
  • a DC voltage chuck voltage
  • DC power supply not shown
  • the metal base material 201 is made of, for example, titanium, aluminum, molybdenum, tungsten, an alloy containing at least one of these, and the like. When a metal base material made of aluminum is used, for example, the surface may be anodized.
  • the insulating layer 202 is made of, for example, aluminum oxide (Al 2 O 3 ) or the like.
  • the insulating layer 202 is, for example, a thermal spray coating formed by thermal spraying.
  • the insulating layer 202 may be composed of one layer of thermal spray coating or may be composed of two or more thermal spray coatings.
  • the dielectric layer 206 contains a ceramic sprayed coating and a pore-sealing component filled in the pores of the ceramic sprayed coating.
  • the sealing component prevents moisture in the atmosphere and reaction products generated during the etching process from infiltrating into the pores in the sprayed coating and the microcracks generated during the surface polishing process.
  • a sealing agent is applied to the surface of the ceramic sprayed coating, impregnated for a certain period of time, and then heat-treated to be contained in the sealing agent. This is done by volatilizing the solvent component of.
  • the ceramic sprayed coating constituting the dielectric layer 206 is, for example, a coating made of aluminum-titanium oxide. It is more preferable that the aluminum-titanium oxide contains 2.0 to 12.0 wt% of titanium oxide and the balance is aluminum oxide.
  • the dielectric layer 206 may be composed of one layer of thermal spray coating or may be composed of two or more thermal spray coatings.
  • the thickness of the insulating layer 202 is, for example, about 200 to 500 ⁇ m.
  • the thickness of the dielectric layer 206 is, for example, about 100 to 500 ⁇ m.
  • the volume resistivity of the ceramic sprayed coating constituting the dielectric layer 206 is preferably 1.0 ⁇ 108 to 1.0 ⁇ 10 13 ⁇ ⁇ cm. This range is the volume resistivity suitable for electrostatically adsorbing the object to be processed by the Johnson-Labeck force. If the volume resistivity is less than 1.0 ⁇ 108 ⁇ ⁇ cm, the amount of current flowing through the dielectric layer 206 becomes too large, and it is difficult to exhibit the electrostatic adsorption performance by the Johnson-Labeck force. Further, when the volume resistivity exceeds 1.0 ⁇ 10 13 ⁇ ⁇ cm, the amount of current flowing through the dielectric layer 206 becomes too small, and it is difficult to exhibit the electrostatic adsorption performance by the Johnson-Labeck force.
  • the above-mentioned ceramic spray film containing 2.0 to 12.0 wt% of titanium oxide and the balance being aluminum oxide has a volume resistivity of 1.0 ⁇ 10 8 ⁇ ⁇ cm to 1.0 ⁇ 10 11 ⁇ . -Because it is cm, it is suitable as a dielectric layer 206 of a Johnson-Labeck force type electrostatic chuck.
  • the sealing component contains a metal organic acid salt containing a rare earth element.
  • a solidified product containing the metal organic acid salt and the resin is preferable.
  • the resin acts as a binder for retaining the metal organic acid salt.
  • Such a solidified product has excellent environmental barrier properties and prevents the cleaning liquid and corrosive gas from entering the inside of the ceramic sprayed coating.
  • the solidified product contains a metal organic acid salt containing a rare earth element, and the rare earth element is oxidized by the influence of oxygen plasma or the like when the plasma processing apparatus is used to form a rare earth oxide (itria or the like). Therefore, it is not easily deteriorated by plasma, and a good sealing state can be maintained for a long period of time. As a result, corrosion of the chuck electrode 205, the metal base material 201, etc. is suppressed, and the corrosion resistance is excellent.
  • the sealing component is preferably a solidified product containing a resin from the viewpoint of improving the environmental barrier property and corrosion resistance of the dielectric layer 206.
  • the resin may be either a natural resin or a synthetic resin.
  • the terpenoid is preferable as the natural resin.
  • rosin containing a diterpene-based carboxylic acid such as abietic acid or pimaric acid as a main component is preferable. The reason is that the hydroxyl group contained in the diterpene-based carboxylic acid has a high affinity with oxide ceramics, improves the adhesion between the thermal sprayed coating of the ceramics and the sealing component, and has excellent environmental barrier properties.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)

Abstract

金属基材と、絶縁層を介して前記金属基材上に設けられた静電吸着用電極と、被処理体と接する静電吸着面を構成する誘電層とを有するジョンソン・ラーベック力型の静電チャックであって、前記誘電層は、セラミック溶射皮膜と、前記セラミック溶射皮膜の気孔内に充填された封孔成分とを含み、前記封孔成分は、希土類元素を含む金属有機酸塩を含む、静電チャック。

Description

静電チャック及び処理装置
 本発明は、静電チャック、及びこの静電チャックを備えた処理装置に関する。
 半導体装置の製造工程では、半導体ウエハを保持するために静電チャックが使用されている。静電チャックとしては、例えば、金属製の載置台の上に、絶縁層を介してチャック用電極が設けられ、更に、チャック用電極を覆うようセラミックス製の誘電層が積層され、当該誘電層の表面を半導体ウエハを保持するための静電吸着面としたものが知られている。
 静電チャックは、例えば、プラズマエッチング装置などのプラズマ処理装置内に配置される。プラズマ処理装置内に配置される静電チャックの静電吸着面は、プラズマガスや洗浄液に対する耐食性を有することが求められる。これは、当該静電チャックを繰り返し使用するためである。
 例えば、プラズマエッチング装置は、エッチングチャンバー内壁に付着する被処理材から発生した反応生成物を除去するために、半導体ウエハ毎、又はロット毎にクリーニング工程が行われる。このクリーニング工程では、例えばフッ素(F)等のハロゲン、又はハロゲンを含む混合ガスを用いたプラズマクリーニングが行われる。このとき、静電チャックの静電吸着面もプラズマクリーニングに用いられるプラズマガスに晒される。
 そこで、プラズマ処理装置内に配置される静電チャックの静電吸着面には、プラズマガス(クリーニング工程で使用されるプラズマガスを含む)や洗浄液による腐食を防ぐための保護層を設けることが提案されている。保護層の形成手段としては、例えば、PVD法、CVD法、溶射法、塗布法などが用いられている。なかでも、セラミック(例えば、イットリア)のコーティングを数100μm程度の厚さで形成できる溶射法は、耐食性の高い保護層の形成手段として好適である。
 一方、溶射法によって形成された皮膜には、気孔ができてしまうことが多く、この気孔を塞ぐために、封孔処理と呼ばれる後処理が施されることがある。封孔処理には、エポキシ樹脂などの有機系の樹脂が用いられることが多いが、無機成分を含むコーティング材を塗布した後、溶剤成分を揮発させて無機成分を気孔内に充填させる方法もある(例えば、特許文献1、2参照)。
 ここで、保護層としての溶射皮膜の気孔に充填した封孔成分が消失すると、誘電層内部がプラズマガスに晒され、誘電層の特性に影響を及ぼす可能性がある。例えば、誘電層の割れや消耗により誘電層が半導体ウエハ上に付着し、その結果、半導体ウエハの歩留まりが低下する可能性や、誘電層自体の電気特性が損なわれて吸着不良を引き起こす可能性がある。
特開2004-190136号公報 特開2004-260159号公報
 静電チャックは、静電吸着機構の違いによって、ジョンソン・ラーベック力型や、クーロン力型など、いくつかのタイプに区分けすることができ、タイプによっては、静電吸着面に耐食性の高い誘電層を形成できないことがある。
 例えば、イットリアのコーティングは、体積抵抗率が大きいため、最表面において微量の電流が流れるジョンソン・ラーベック力型の静電チャックの誘電層としては使用することができない。
 ジョンソン・ラーベック力型の静電チャックの静電吸着面に耐食性の高い誘電層を形成する方法としては、体積抵抗率が低く、かつ耐食性にも優れる溶射材料を使用して、溶射法により誘電層を形成する方法が考えられる。しかしながら、それを実現可能な溶射材料が見つかっていないのが現状である。
 また、他の方法としては、耐食性には劣るが、体積抵抗率の低い溶射材料を使用してセラミック溶射皮膜を形成し、その後、耐食性の高い封孔処理剤を使用した封孔処理を行う方法も考えられる。この方法であれば、静電吸着面の電気特性を大きく変化させることなく、耐食性の高い誘電層を形成することが期待できる。
 しかしながら、特許文献1、2のように、ゾルゲル法によってセラミック溶射皮膜の気孔内をイットリアで封孔しようとすると、有機成分がなくなるまで高温(例えば、500℃以上の温度)で熱処理する必要があるので、セラミック溶射皮膜と静電チャックを構成する金属製の載置台との熱膨張差に起因してセラミック溶射皮膜に大きな割れが発生し、セラミック溶射皮膜がもはや誘電層として機能しなくなることがある。
 また、耐食性には劣るものの体積抵抗率の低い溶射材料を使用してセラミック溶射皮膜を形成した後、封孔処理に代えて、セラミック溶射皮膜の表面全体を覆うようにPVD法やCVD法によって耐食性を有する数ミクロン程度の被膜を形成する方法も考えられる。
 しかしながら、この方法の場合も、PVD法やCVD法による処理中の熱により、セラミック溶射皮膜と静電チャックを構成する金属製の載置台との熱膨張差に起因した割れがセラミック溶射皮膜に発生することがあった。
 このように、ジョンソン・ラーベック力型の静電チャックについては、静電吸着面に、体積抵抗率が低く、耐食性の高い誘電層を形成することが困難であった。
 本発明者らは、上記の課題を解決すべく鋭意検討を行い、新たな封孔成分を用いることによって、静電吸着面を構成する誘電層として体積抵抗率が低く、耐食性の高い層を備えたジョンソン・ラーベック力型の静電チャックを提供できることを見出し、本発明を完成した。
(1)本発明の静電チャックは、金属基材と、絶縁層を介して上記金属基材上に設けられた静電吸着用電極と、被処理体と接する静電吸着面を構成する誘電層とを有するジョンソン・ラーベック力型の静電チャックであって、
 上記誘電層は、セラミック溶射皮膜と、上記セラミック溶射皮膜の気孔内に充填された封孔成分とを含み、
 上記封孔成分は、希土類元素を含む金属有機酸塩を含む。
 上記静電チャックは、静電吸着面を備えた誘電層としてセラミック溶射皮膜と特定の封孔成分とを含む誘電層を備えており、この誘電層は、体積抵抗率が低くかつ耐食性の高い層である。そのため、上記静電チャックは、耐食性に優れたジョンソン・ラーベック力型の静電チャックである。
(2)上記静電チャックは、上記セラミック溶射皮膜の体積抵抗率が、1.0×10~1.0×1013Ω・cmであることが好ましい。
 この場合、ジョンソン・ラーベック力型の静電チャックとして、良好な静電吸着性能を発揮することができる。
(3)上記静電チャックにおいて、上記セラミック溶射皮膜は、アルミニウム-チタン酸化物からなることが好ましい。
 この場合、ジョンソン・ラーベック力型の静電チャックに適した体積抵抗率とするのに特に適している。
(4)上記静電チャックにおいて、上記希土類元素は、イットリウム又はイッテルビウムであることが好ましい。
 これらの場合、上記誘電層を耐食性の高い層とするのに特に適している。
(5)本発明の処理装置は、上記(1)~(4)のいずれかの静電チャックを備える。
 上記処理装置としては、例えば、プラズマ処理装置等が挙げられる。このとき、上記プラズマ処理装置が備える静電チャックは、耐食性(耐プラズマ性)に優れる。
 本発明によれば、静電吸着面が耐食性に優れたジョンソン・ラーベック力型の静電チャック、及びこの静電チャックを備えた処理装置を提供することができる。
本発明の実施形態に係るプラズマ処理装置の概略構成を示す縦断面図である。 図1のプラズマ処理装置が備える静電チャックの縦断面図である。 試験例1の測定結果を示すグラフである。 (a)は、試験例2で作製した評価用静電チャックを模式的に示す図であり、(b)は、割れに至るまでの累積放電時間を示すグラフである。 (a)は、暴露試験後の領域Aの切断面の観察像であり、(b)は、評価用静電チャックの皮膜中の各成分の分布を示す図である。 (a)及び(b)は、評価用静電チャックの皮膜中のフッ素の分布を示す図であり、(a)は領域Aの分析結果を示し、(b)は領域Bの分析結果を示す。 試験例3で行ったFT-IR分析の測定結果を示すグラフである。 試験例3で行ったGC-MS分析で得られたクロマトグラムである。 (a)及び(b)は、図8のクロマトグラムで検出された検出ピークの一部のマススペクトルであり、図9(c)~(e)は、データベース検索結果である。 試験例3で行ったGC分析で得られたクロマトグラムである。
 以下、本発明の実施形態について、図面を参照しながら説明する。
(第1実施形態)
 ここでは、プラズマ処理装置を例に本発明の実施形態を説明する。
 図1は、本実施形態に係るプラズマ処理装置の概略構成を示す縦断面図である。
 図1に示す処理装置は、プラズマ処理装置10である。このプラズマ処理装置10は、例えばプラズマエッチング装置として好適に使用することができる。
 プラズマ処理装置10は、大きく分けて3つの部分を有する。具体的には、プラズマ形成部11、真空容器12、及び排気系統13を有する。
 プラズマ形成部11は、マイクロ波源101と、導波管103と、ソレノイドコイル(静磁界の発生装置)104とを有する。マイクロ波源101は電源を介してアースに接続されており、隣接した自動整合器102により、負荷インピーダンスを調整して反射波を自動的に抑制することができる。導波管103は方形状から円形状に断面が変化しており、マイクロ波を円筒状の空洞共振部105に伝達する。ソレノイドコイル104は真空容器12の上方及び側方を覆うように配置されており、この電磁石に通電する電流を変えることで、静磁界の分布を制御することができる。
 真空容器12は、誘電体窓(マイクロ波導入窓)111、シャワープレート112、ガスリング(ガス導入部)113、及びプラズマ処理室110を有する。真空容器12では、ガス源140からマスフローコントローラ141、及びガス供給バルブ142により流量制御された所望の反応性ガスが、ガスリング(ガス導入部)113を経由して、誘電体窓(マイクロ波導入窓)111とシャワープレート112間に導入され、シャワープレート112を介してプラズマ処理室110内に供給される。
 シャワープレート112は、静電チャック120に静電吸着された半導体ウエハ150と対向する位置に多数の孔部が設けられ、ガス源140からの処理ガスを真空容器12内に供給できるように構成されている。
 シャワープレート112は、静電チャック120と間隔をあけた状態で対向設置されている。
 真空容器12は、さらにプラズマ処理室110内の下方に、静電チャック120を有する。
 静電チャック120は、円盤形状を有しており、被処理体としての半導体ウエハ(本明細書では、単にウエハともいう)150の静電気による吸着保持や、ウエハ150の温度制御が可能である。さらに、静電チャック120の金属基材201には、整合器122を介してRF(Radio Frequency)電源121が接続されており、静電チャック120は、ウエハ150にRFを印加することができるように構成されている。
 排気系統13は、可動弁130及びTMP(Turbomolecular pump:ターボ分子ポンプ)131を有する。プラズマ処理室110内のガスは、TMP131から排気される。TMP上流部に設けられた可動弁130は、排気されるガスの排気速度を制御し、これによって、プラズマ処理室110内の圧力を制御する。
 静電チャック120の構造について図2を参照しつつ、詳しく説明する。
 図2は、図1のプラズマ処理装置10が備える静電チャックの縦断面図である。
 静電チャック120は、金属で構成される金属基材201と、この金属基材201の上面に配置された絶縁層202と、この絶縁層202上に配置されたチャック電極(静電吸着用電極)205と、このチャック電極205を覆うように設けられた誘電層206とを備える。
 絶縁層202は、その内部にヒータ(ヒータ層)203を備えている。ヒータ203は、図示しないヒータ用直流電源により通電加熱される。
 誘電層206は、ウエハ150と接する静電吸着面207を有する。誘電層206は、静電チャック120の上面及び側面を覆うように設けられ、静電チャック120の保護層としての機能も有する。
 静電チャック120(金属基材201)の内部には、同心円状または螺旋状に流路(冷媒溝)204が配置されている。この流路204には、図示しない温調ユニットにより温度及び流量(流速)を調節された冷媒が導入される。
 静電チャック120の誘電層206とウエハ150の裏面との間には図示しない伝熱ガス流路が設けられている。この伝熱ガス流路は、誘電層206の表面に設けられた溝と半導体ウエハとで構成され、この溝がガスの流路として機能する。この伝熱ガス流路には、伝熱ガス供給元からHe等の熱伝達性を有するガスが供給される。
 静電チャック120は、チャック電極205に直流電源(図示せず)を用いて直流電圧(チャック電圧)を印加することでジョンソン・ラーベック力が生じ、半導体ウエハ150を静電チャック120の静電吸着面207に吸着保持することができる。
 金属基材201は、例えば、チタン、アルミニウム、モリブデン、タングステン、これらの少なくとも一つを含む合金等からなる。アルミニウム製の金属基材を用いる場合は、例えば表面がアルマイト処理されていてもよい。
 絶縁層202は、例えば、酸化アルミニウム(Al)等からなる。絶縁層202は、例えば、溶射で形成された溶射皮膜である。絶縁層202は、1層の溶射皮膜で構成されていても良いし、2層以上の溶射皮膜で構成されていてもよい。
 誘電層206は、セラミック溶射皮膜と、上記セラミック溶射皮膜が有する気孔内に充填された封孔成分とを含む。封孔成分は、溶射皮膜内の気孔や表面研磨加工時に生じるマイクロクラック内に大気中の水分や、エッチング処理中に発生した反応生成物が浸入することを防ぐ。
 セラミックス溶射皮膜の気孔内に封孔成分を充填させるための封孔処理は、例えば、封孔剤をセラミックス溶射皮膜の表面に塗布し、一定時間含浸させた後、熱処理を行って封孔剤中の溶剤成分を揮発させて行う。
 誘電層206を構成するセラミック溶射皮膜は、例えば、アルミニウム-チタン酸化物からなる被膜である。
 上記アルミニウム-チタン酸化物としては、チタン酸化物を2.0~12.0wt%含み、残部がアルミニウム酸化物であるものがより好ましい。
 誘電層206は、1層の溶射皮膜で構成されていても良いし、2層以上の溶射皮膜で構成されていてもよい。
 絶縁層202の厚さは、例えば200~500μm程度である。
 誘電層206の厚さは、例えば100~500μm程度である。
 誘電層206を構成するセラミック溶射皮膜の体積抵抗率は、1.0×10~1.0×1013Ω・cmが好ましい。
 この範囲が、ジョンソン・ラーベック力によって被処理体を静電吸着するのに適した体積抵抗率である。
 上記体積抵抗率が、1.0×10Ω・cm未満では、誘電層206を流れる電流量が多くなりすぎて、ジョンソン・ラーベック力による静電吸着性能を発揮しにくい。また、上記体積抵抗率が、1.0×1013Ω・cmを超えると、誘電層206を流れる電流量が少なくなりすぎて、ジョンソン・ラーベック力による静電吸着性能を発揮しにくい。
 上記の、チタン酸化物を2.0~12.0wt%含み、残部がアルミニウム酸化物であるセラミック溶射皮膜は、体積抵抗率が1.0×10Ω・cm~1.0×1011Ω・cmであるため、ジョンソン・ラーベック力型静電チャックの誘電層206として好適である。
 上記封孔成分は、希土類元素を含む金属有機酸塩を含むものである。上記封孔成分としては、上記金属有機酸塩と樹脂とを含む固化物が好ましい。この場合、樹脂が、金属有機酸塩を保持するバインダーの役割を果たす。
 このような固化物は、環境遮断性に優れており、上記セラミック溶射皮膜の内部に洗浄液や腐食性ガスが浸入することを防ぐ。また、上記固化物は希土類元素を含む金属有機酸塩を含んでおり、プラズマ処理装置の使用時における酸素プラズマ等の影響によって希土類元素が酸化し、希土類酸化物(イットリア等)を形成する。そのため、プラズマによって劣化しにくく、長期間に亘って良好な封孔状態を維持することができる。その結果、チャック電極205や金属基材201等の腐食が抑制され、耐食性に優れる。
 また、上記固化物をセラミックス溶射皮膜の気孔内に充填させるための封孔処理は、200℃以下の低温で施工できる。
 そのため、封孔処理を行う際に、金属基材201と上記セラミック溶射皮膜との熱膨張差によって、上記セラミック溶射皮膜に割れ等が発生することを回避できる。
 さらに、上記固化物は、上記セラミックス溶射皮膜の体積抵抗率への影響が少ないので、当該セラミックス溶射皮膜が有する、ジョンソン・ラーベック力によって被処理体を静電吸着するのに適した電気特性を維持することができる。
 上記希土類元素を含む金属有機酸塩において、希土類元素としては、イットリウム、又はイッテルビウムが好ましい。これらの酸化物は、耐食性(耐プラズマ性)が高いためである。
 上記希土類元素を含む金属有機酸塩としては、例えば、カルボキシル基含有化合物と希土類元素含有化合物との塩が挙げられる。具体例としては、例えば、2-エチルヘキサン酸イットリウム、カプリル酸イットリウム、デカン酸イットリウム、ステアリン酸イットリウム、ナフテン酸イットリウム等が挙げられる。
 上記封孔成分は、上述した通り、誘電層206の環境遮断性及び耐食性を向上させる観点から、樹脂を含む固化物が好ましい。
 上記樹脂としては、天然樹脂と合成樹脂のいずれであってもよい。上記天然樹脂としてはテルペノイドが好ましい。中でも、アビエチン酸、ピマル酸等のジテルペン系カルボン酸を主成分とするロジンが好ましい。その理由は、ジテルペン系カルボン酸に含まれる水酸基が酸化物セラミックスと親和性が高く、セラミックス溶射皮膜と封孔成分の密着性を向上させ、環境遮断性に優れたものになるからである。
 上記封孔成分中の希土類元素を含む金属有機酸塩の含有量は20wt%以上であることが好ましい。上記金属有機酸塩の含有量が、20wt%未満であると希土類酸化物が十分に形成されないことがある。上記金属有機酸塩の含有量は、耐食性が更に優れる点から40wt%以上がより好ましい。
 上記封孔成分中の樹脂の含有量は10wt%以上であることが好ましく、10wt%未満であると封孔が十分でないことがある。より好ましくは40wt%以上であり、優れた環境遮断性が得られる。
 このような構成の誘電層206は、例えば、下記の方法によって形成することができる。
(1)アルミニウム-チタン酸化物等の溶射材料をチャック電極205を覆うように絶縁層202上に溶射して、金属酸化物からなり、気孔を有するセラミック溶射皮膜を形成する。
 このとき、溶射方法としては特に限定されず、例えば、プラズマ溶射、フレーム溶射等が採用できる。
(2)上記の工程(1)とは別に、上記希土類元素を含む金属有機酸塩を用意し、これを樹脂油に希釈し、さらに有機溶剤を加え、適切な粘度となるよう濃度調整する。
 ここで、上記有機溶剤としては、酢酸エステル等が挙げられる。
 上記樹脂油としては、テレビン油等が挙げられる。
(3)工程(2)で調製した溶液を工程(1)で形成したセラミック溶射皮膜に塗布し、上記溶液を上記セラミック溶射皮膜の気孔に浸透させる。
(4)その後、例えば、加熱温度120~200℃、で加熱処理を行い、有機溶剤の一部又は全部を揮発させて、上記封孔剤を焼き固める。
 このような工程を経ることで、セラミック溶射皮膜と、当該セラミック溶射皮膜の気孔内に、希土類元素を含む金属有機酸塩とロジンを含む樹脂とが混在した固化物からなる封孔成分が充填され、セラミック溶射皮膜と封孔成分とを含む誘電層206を形成することができる。
 次に、プラズマ処理装置10を用いた処理方法について説明する。
 まず、搬入口(図示せず)から半導体ウエハ150を真空容器12内に搬入し、静電チャック120上に載置し、搬入口を閉鎖する。次に、プラズマ処理室110内のガスを、可動弁130で排気速度を調節しながら、TMP131で排気する。
 その後、直流電源(図示せず)からチャック電極205に直流電圧を印加して、半導体ウエハ150を誘電層206の静電吸着面207に静電吸着させるとともに、プラズマ処理室110内に、マスフローコントローラ141及びガス供給バルブ142介して処理ガスをガス源140から供給する。
 マイクロ波源101より発振されて導波管103及び誘電体窓111、シャワープレート112を介して処理室110内に導入された電界は、磁場発生コイル104によって形成された磁場との相互作用を生起して、処理室110内に供給された処理用ガスを解離させて処理室110内にプラズマを生成する。それによって形成された処理ガスのプラズマにより静電チャック120上に吸着された半導体ウエハ150にエッチング処理を施す。
 このとき、静電チャック120が備えるヒータ層203及び流路204等を用いて、半導体ウエハ150の温度を所定温度に制御する。
(他の実施形態)
 第1実施形態において、絶縁層202は、溶射で形成されたセラミックスからなる絶縁層であるが、本発明の実施形態に係る静電チャックにおいて、上記絶縁層は、焼結体を成形したものであってもよい。この場合、上記絶縁層は、エポキシ樹脂系接着剤、シリコーン樹脂系接着剤等からなる接着剤層を介して、金属基材の上面に固定される。
 本発明の実施形態に係る静電チャックにおいて、ヒータ層や流路(冷媒溝)は必須ではなく、必要に応じて設けられていれば良い。
 本発明の実施形態に係るプラズマ処理装置に適用できるプラズマ方式は、マイクロ波ECRプラズマ方式に限定されず、容量結合型プラズマ方式や誘導結合型プラズマ方式など、他のプラズマ方式を適用することもできる。
 本発明の実施形態に係る処理装置は、プラズマエッチング装置に限定されず、アッシング装置や成膜装置などの他の処理装置であってもよい。
 本発明の実施形態において、被処理体は、半導体ウエハに限定されず、例えば、LCD用ガラス基板等であってもよい。
[評価試験]
 本発明の実施形態に係る静電チャックは、静電吸着面が優れた耐食性を有する。このことを示すために、下記の評価試験を行った。
(試験例1)
 この試験例では、各種溶射及び封孔処理を施した試験片を形成し、プラズマエッチング雰囲気に暴露する試験(以下、暴露試験ともいう)を行った。この試験例では、試験片の曲げ変形によって割れが発生するまでの歪み量の変化を評価した。
(1)供試基材
 供試基材はチタン(TP340)とし、この基材から寸法:幅16mm×長さ100mm×厚さ4mmの基材片を複数作製した。
(2)溶射皮膜の形成及び封孔処理
 各基材片の1面に、大気圧プラズマ溶射法を用いてアルミナ-10wt%チタニア粉末を溶射し、0.3mm厚さのセラミック溶射皮膜を形成した。次に、このセラミック溶射皮膜に対し、各種封孔処理を実施した。封孔処理は、以下の2種類(封孔処理A及びB)の手法で行った。
 封孔処理A:テレビン油55wt%と、2-エチルヘキサン酸イットリウム15wt%と、酢酸エステル30wt%とを含む混合溶液を、セラミック溶射皮膜の表面に塗布・含浸し、150℃で2時間焼成した。こうして、セラミック溶射皮膜の気孔内を2-エチルヘキサン酸イットリウムと樹脂とを含む封孔成分で充填した試験片(No.1)を用意した。
 封孔処理B:モノメチルトリイソシアナートシラン10wt%と、酢酸エステル90wt%とを含む混合溶液を、セラミック溶射皮膜の表面に塗布・含浸し、70℃で6時間焼成した。このようにして、セラミック溶射皮膜の気孔内をシリコーン樹脂で封孔した試験片(No.2)を用意した。
 また、セラミック溶射皮膜を形成し、封孔処理を実施しなかった試験片(No.3)も用意した。
(3)試験方法、及びその条件
 プラズマエッチング雰囲気に暴露する前後において、試験片が割れの発生に至るまでのひずみ量の変化を調査するため、以下に示す条件で試験片(No.1~No.3)をプラズマエッチング雰囲気に200時間暴露した。
<プラズマエッチング条件>
 Arガス流量 :60ml/min
 NFガス流量:750ml/min
 圧力     :40Pa
 放電出力   :1000W
 次に、暴露試験前後の各試験片の溶射面にひずみゲージを接着し、90°に曲げ変形を与え、割れに至ったときのひずみ量を測定した。
(4)試験結果
 図3に試験結果を示した。図3は、試験例1の測定結果を示すグラフである。図3の表の各数値は、試験片(No.2)の暴露前の上記ひずみ量を基準とした相対値を表している。
 試験片(No.2)及び試験片(No.3)は、プラズマエッチング雰囲気に暴露した後において、割れに至るまでのひずみ量が大幅に低下していた。
 一方で、試験片(No.1)は、プラズマエッチング雰囲気に暴露した後において、割れに至るまでのひずみ量の変化がほとんど見られなかった。
 よって、2-エチルヘキサン酸イットリウムと樹脂とを含む封孔成分が気孔内に充填されたセラミック溶射皮膜は、他の仕様と比較して、割れを抑制するのに適していることが確認された。
(試験例2)
 この試験例では、各種溶射及び封孔処理を施して、図2に示した構成を有する評価用静電チャックを形成した後、この評価用静電チャックをプラズマエッチング雰囲気に暴露する暴露試験を行い、(a)溶射皮膜に割れが発生するまでの累積放電時間、(b)暴露試験後の断面XPS分析、及び(c)暴露試験後のEPMA分析を行った。
(1)供試基材
 本試験例では、供試基材はチタン(TP340)とした。
(2)溶射皮膜の形成、及び封孔処理
 本試験例では、この基材片の上に、第一の絶縁層として、大気圧プラズマ溶射法を用いてアルミナ粉末を溶射し、セラミック溶射皮膜を形成した。
 次に、ヒータ層として、第一の絶縁層の上に大気圧プラズマ溶射法を用いてタングステン粉末を溶射し、タングステン溶射皮膜を形成した。
 次に、第二の絶縁層として、ヒータ層及び第一の絶縁層の上に大気圧プラズマ溶射法を用いてアルミナ粉末を溶射し、セラミック溶射皮膜を形成した。
 次に、チャック電極層として、第二の絶縁層の上に大気圧プラズマ溶射法を用いてタングステン粉末を溶射し、タングステン溶射皮膜を形成した。
 次に、誘電層として、大気圧プラズマ溶射法を用いてアルミナ-10wt%チタニア粉末を溶射し、セラミック溶射皮膜を形成した。
 次に、誘電層に対し、試験例1で行った各種封孔処理を領域ごとに塗り分けた。
 このような処理を経て、評価用静電チャック220(図4(a)参照)を作製した。
 この評価用静電チャック220は、誘電層の静電吸着面に試験例1の試験片(No.1)と同じ封孔処理を行った領域Aと、試験例1の試験片(No.2)と同じ封孔処理を行った領域Bとを有している。
(3)暴露試験の方法
 上記の評価用静電チャック220を、以下に示す条件でプラズマエッチング雰囲気に暴露した。また、評価用静電チャック220のヒータ層は図示しないヒータ用直流電源に接続されており、繰り返し通電を行った。
<プラズマエッチング条件>
 Arガス流量 :60ml/min
 NFガス流量:750ml/min
 圧力     :40Pa
 放電出力   :1000W
 ヒータ出力  :1200W
(a)割れに至るまでの累積放電時間
 上記累積放電時間の測定結果を図4に示す。
 図4(a)は、試験例2で作製した評価用静電チャック220を模式的に示す図であり、図4(b)は、試験例2で測定した割れに至るまでの累積放電時間を示すグラフである。
 図4(b)に示すように、領域Aでは1000時間の放電においても割れは発生しなかったが、領域Bでは180時間の放電で割れが発生した。
(b)断面XPS分析結果
 暴露試験後の評価用静電チャックの一部を切断し、セラミック溶射皮膜部分の断面XPS分析を行った。結果を図5に示す。
 図5(a)は、暴露試験後の領域Aの切断面の観察像であり、図5(b)は、試験例2で測定した評価用静電チャックの皮膜中の各成分の分布を示す図である。
 XPS分析装置としては、PHI社製QuanteraSXMを用いた。
 図5の通り、領域Aは、領域Bよりもフッ素量が少ないことが確認された。
(c)断面EPMA分析結果
 暴露試験後の評価用静電チャックの一部を切断し、セラミック溶射皮膜の表面近くの断面EPMA分析を行った。結果を図6に示す。
 図6(a)及び(b)は、試験例2で測定した評価用静電チャックの皮膜中のフッ素の分布を示す図であり、(a)は領域Aの分析結果を示し、(b)は領域Bの分析結果を示す。図6(a)及び(b)はともにカラー表示された図である。
 EPMA分析装置としては、日本電子社製JXA-8500Fを用いた。
 図6の通り、領域Aは、領域Bよりもフッ素量が少なく、表面からの浸透範囲も狭いことが確認された。
(試験例3)
 この試験例3では、試験例1で作製した試験片(No.1)の溶射皮膜に含まれる封孔成分を分析した。
 まず、試験例1で使用したものと同じ封孔剤をるつぼに入れて、150℃で2時間焼成し、固化させた。
 次に、得られた固化物をFT-IR分析した。
 FT-IR分析には、Agilent Technologies社製のフーリエ変換型赤外分光分析器3100型を用いた。
 図7は、FT-IR分析で得られたIRスペクトルである。
 このFT-IR分析によって、固化物には、2-エチルヘキサン酸イットリウムが含まれていることが確認された。
 次に、150℃で2時間の焼成によって得られた固化物をGC-MS分析した。
 GC-MS分析には、Agilent Technologies社製のガスクロマトグラフィー質量分析器5977A MSDを用いた。誘導体化処理として、固化物を塩酸メタノール5wt%溶液で80℃の密閉加熱(18.5時間保持)することで溶解させ、メチルエステル化した。
 図8は、GC-MS分析で得られたクロマトグラムである。
 図9(a)及び(b)は、図8のクロマトグラムで検出された検出ピークの一部(ピーク3及びピーク4)のマススペクトルであり、図9(c)~(e)は、データベース検索結果である。
 このGC-MS分析では、固化物には2-エチルヘキサン酸、及びテレビン油由来のアビエチン酸類縁化合物が含まれることが確認された。
 さらに、同試料(固化物)を島津製作所社製のGC2010でGC分析した。
 ここで、カラムとしては、Agilent Technologies社製のDB-17を使用した。誘導体化処理として、固化物を塩酸メタノール5wt%溶液で80℃の密閉加熱(18.5時間保持)で溶解させ、メチルエステル化した。
 図10は、GC分析で得られたクロマトグラムである。
 このGC分析では、固化物には2-エチルヘキサン酸が41wt%含まれていることが確認された。
 次に、上記固化物をICP分析した。
 ICP分析には、Agilent Technologies社製のICP AES 5110 VDV型を用いた。
 その結果、Yが13wt%検出された。
 以上のことから、固化物の成分は、2-エチルヘキサン酸イットリウムが約54wt%、残りがアビエチン酸類縁化合物を主体とするロジン系物質であることが確認された。
10 プラズマ処理装置
11 プラズマ形成部
12 真空容器
13 排気系統
101 マイクロ波源
102 自動整合器
103 導波管
104 ソレノイドコイル
110 プラズマ処理室
111 誘電体窓
112 シャワープレート
113 ガスリング(ガス導入部)
120 静電チャック
121 RF電源
122 整合器
130 可動弁
131 TMP
140 ガス源
141 マスフローコントローラ
142 ガス供給バルブ
150 (半導体)ウエハ
201 金属基材
202 絶縁層
203 ヒータ(ヒータ層)
204 流路(冷媒溝)
205 チャック電極
206 誘電層
207 静電吸着面
220 評価用静電チャック

Claims (5)

  1.  金属基材と、絶縁層を介して前記金属基材上に設けられた静電吸着用電極と、被処理体と接する静電吸着面を構成する誘電層とを有するジョンソン・ラーベック力型の静電チャックであって、
     前記誘電層は、セラミック溶射皮膜と、前記セラミック溶射皮膜の気孔内に充填された封孔成分とを含み、
     前記封孔成分は、希土類元素を含む金属有機酸塩を含む、静電チャック。
  2.  前記セラミック溶射皮膜の体積抵抗率は、1.0×10~1.0×1013Ω・cmである請求項1に記載の静電チャック。
  3.  前記セラミック溶射皮膜は、アルミニウム-チタン酸化物からなる請求項1又は2に記載の静電チャック。
  4.  前記希土類元素は、イットリウム又はイッテルビウムである請求項1~3のいずれか1項に記載の静電チャック。
  5.  請求項1~4のいずれか1項に記載の静電チャックを備える、処理装置。
PCT/JP2020/048578 2020-12-24 2020-12-24 静電チャック及び処理装置 WO2022137467A1 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US17/642,083 US11955360B2 (en) 2020-12-24 2020-12-24 Electrostatic chuck and processing apparatus
PCT/JP2020/048578 WO2022137467A1 (ja) 2020-12-24 2020-12-24 静電チャック及び処理装置
JP2022513924A JP7234459B2 (ja) 2020-12-24 2020-12-24 静電チャック及び処理装置
CN202080063677.3A CN114981949A (zh) 2020-12-24 2020-12-24 静电吸盘及处理装置
KR1020227008008A KR102626584B1 (ko) 2020-12-24 2020-12-24 정전 척 및 처리 장치
TW110147038A TWI782819B (zh) 2020-12-24 2021-12-15 靜電吸盤及處理裝置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2020/048578 WO2022137467A1 (ja) 2020-12-24 2020-12-24 静電チャック及び処理装置

Publications (1)

Publication Number Publication Date
WO2022137467A1 true WO2022137467A1 (ja) 2022-06-30

Family

ID=82157640

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2020/048578 WO2022137467A1 (ja) 2020-12-24 2020-12-24 静電チャック及び処理装置

Country Status (6)

Country Link
US (1) US11955360B2 (ja)
JP (1) JP7234459B2 (ja)
KR (1) KR102626584B1 (ja)
CN (1) CN114981949A (ja)
TW (1) TWI782819B (ja)
WO (1) WO2022137467A1 (ja)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06737A (ja) * 1991-03-29 1994-01-11 Shin Etsu Chem Co Ltd 静電チャック基板
JPH08274151A (ja) * 1995-01-12 1996-10-18 Applied Materials Inc ポリマー含浸静電チャックおよび製造方法
JPH0969554A (ja) * 1995-08-31 1997-03-11 Tocalo Co Ltd 静電チャック部材およびその製造方法
JP2002083861A (ja) * 2000-09-06 2002-03-22 Taiheiyo Cement Corp 真空処理装置用部材および静電チャック
JP2004055909A (ja) * 2002-07-22 2004-02-19 Tokyo Electron Ltd 静電チャックおよび処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003045952A (ja) 2001-05-25 2003-02-14 Tokyo Electron Ltd 載置装置及びその製造方法並びにプラズマ処理装置
JP4503270B2 (ja) 2002-11-28 2010-07-14 東京エレクトロン株式会社 プラズマ処理容器内部材
KR100772740B1 (ko) * 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
JP4486372B2 (ja) 2003-02-07 2010-06-23 東京エレクトロン株式会社 プラズマ処理装置
TW201100578A (en) * 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
KR100997374B1 (ko) * 2009-08-21 2010-11-30 주식회사 코미코 정전척 및 이의 제조 방법
JP6000737B2 (ja) 2011-08-23 2016-10-05 キヤノン株式会社 シート処理装置及び画像形成装置
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11014853B2 (en) * 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
JP7147675B2 (ja) * 2018-05-18 2022-10-05 信越化学工業株式会社 溶射材料、及び溶射部材の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06737A (ja) * 1991-03-29 1994-01-11 Shin Etsu Chem Co Ltd 静電チャック基板
JPH08274151A (ja) * 1995-01-12 1996-10-18 Applied Materials Inc ポリマー含浸静電チャックおよび製造方法
JPH0969554A (ja) * 1995-08-31 1997-03-11 Tocalo Co Ltd 静電チャック部材およびその製造方法
JP2002083861A (ja) * 2000-09-06 2002-03-22 Taiheiyo Cement Corp 真空処理装置用部材および静電チャック
JP2004055909A (ja) * 2002-07-22 2004-02-19 Tokyo Electron Ltd 静電チャックおよび処理装置

Also Published As

Publication number Publication date
US20230154780A1 (en) 2023-05-18
KR102626584B1 (ko) 2024-01-18
US11955360B2 (en) 2024-04-09
CN114981949A (zh) 2022-08-30
TW202225426A (zh) 2022-07-01
KR20220093089A (ko) 2022-07-05
JPWO2022137467A1 (ja) 2022-06-30
TWI782819B (zh) 2022-11-01
JP7234459B2 (ja) 2023-03-07

Similar Documents

Publication Publication Date Title
US5280156A (en) Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
KR101757793B1 (ko) 유전체 재료 및 정전 척 장치
US20150311043A1 (en) Chamber component with fluorinated thin film coating
JP4856978B2 (ja) プラズマエッチング装置及び処理室の内壁の形成方法
JP2004190136A (ja) プラズマ処理容器内部材
KR20190089824A (ko) 기판 탑재대 및 기판 처리 장치
JP2001189378A (ja) ウエハー吸着加熱装置
US20070065678A1 (en) Electro-static chuck with non-sintered aln and a method of preparing the same
WO2022137467A1 (ja) 静電チャック及び処理装置
CN104241183A (zh) 静电吸盘的制造方法,静电吸盘及等离子体处理装置
US10774006B2 (en) Microwave and induction heat treatment of ceramic coatings
JP4181069B2 (ja) プラズマ処理装置
KR20160074397A (ko) 플라즈마 에칭 방법
CN114730692A (zh) 用于等离子体室的低温烧结涂层
WO2020208801A1 (ja) プラズマ処理装置およびプラズマ処理装置の内部部材ならびに当該内部部材の製造方法
CN104241181A (zh) 静电吸盘的制造方法,静电吸盘及等离子体处理装置
US5927727A (en) Sealing element, particularly for shut-off and regulating valves, and process for its production
US20220130705A1 (en) Electrostatic chuck with powder coating
KR102155512B1 (ko) 반도체 제조용 정전척의 아킹 현상 개선방법
US20220246404A1 (en) Sealant coating for plasma processing chamber components
JP2006222240A (ja) プラズマ処理装置
JP6067210B2 (ja) プラズマ処理装置
KR20230153530A (ko) 반도체 프로세싱 챔버 컴포넌트들을 위한 폴리머 코팅
JP6174210B2 (ja) 載置台およびプラズマ処理装置
WO2008152675A2 (en) Method for depositing ag on glass supports or the like

Legal Events

Date Code Title Description
ENP Entry into the national phase

Ref document number: 2022513924

Country of ref document: JP

Kind code of ref document: A

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20966955

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20966955

Country of ref document: EP

Kind code of ref document: A1