WO2021182247A1 - 半導体集積回路装置 - Google Patents

半導体集積回路装置 Download PDF

Info

Publication number
WO2021182247A1
WO2021182247A1 PCT/JP2021/008218 JP2021008218W WO2021182247A1 WO 2021182247 A1 WO2021182247 A1 WO 2021182247A1 JP 2021008218 W JP2021008218 W JP 2021008218W WO 2021182247 A1 WO2021182247 A1 WO 2021182247A1
Authority
WO
WIPO (PCT)
Prior art keywords
nanosheet
gate wiring
node
transistor
transistors
Prior art date
Application number
PCT/JP2021/008218
Other languages
English (en)
French (fr)
Inventor
祖父江 功弥
Original Assignee
株式会社ソシオネクスト
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社ソシオネクスト filed Critical 株式会社ソシオネクスト
Priority to JP2022505977A priority Critical patent/JPWO2021182247A1/ja
Publication of WO2021182247A1 publication Critical patent/WO2021182247A1/ja
Priority to US17/902,537 priority patent/US20220415885A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/07Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
    • H01L27/0705Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
    • H01L27/0727Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors
    • H01L27/0733Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors in combination with capacitors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS

Definitions

  • the present disclosure relates to a semiconductor integrated circuit device provided with a nanosheet (nanowire) FET (Field Effect Transistor).
  • nanosheet nanowire
  • FET Field Effect Transistor
  • the withstand voltage of transistors tends to decrease as the process becomes finer.
  • some interface units that input and output signals to and from the outside of the device require a high voltage that exceeds the withstand voltage of the transistor, depending on the standard and the like.
  • one of the basic elements constituting a semiconductor integrated circuit is a capacitive element.
  • a capacitive element may be configured by using a transistor.
  • the transistor which is a basic component of the LSI, has realized an improvement in the degree of integration, a reduction in the operating voltage, and an improvement in the operating speed by reducing (scaling) the gate length.
  • off-current due to excessive scaling and a significant increase in power consumption due to the off-current have become problems.
  • three-dimensional structure transistors in which the transistor structure is changed from the conventional two-dimensional type to the three-dimensional type are being actively studied. As one of them, nanosheet (nanowire) FETs are attracting attention.
  • Patent Document 1 discloses a high withstand voltage capacitive element configured by connecting transistors in series.
  • Non-Patent Documents 1 and 2 disclose the layout of a SRAM memory cell and a standard cell using a nanosheet FET having a fork-shaped gate electrode.
  • a nanosheet FET having a fork-shaped gate electrode is referred to as a forksheet FET, following the description in Non-Patent Document 1.
  • An object of the present disclosure is to provide a layout structure of a capacitive element having a high withstand voltage using a fork sheet FET.
  • the first aspect of the present disclosure is a semiconductor integrated circuit device including a capacitive element, wherein the capacitive element includes at least one capacitive structure provided between a first node and a second node.
  • the capacitive structure extends in a first nanosheet extending in the first direction and a second direction perpendicular to the first direction, the second direction of the first nanosheet, and the first and second nanosheets.
  • a first transistor having a first gate wiring formed to surround an outer periphery in a third direction perpendicular to the direction, a second nanosheet extending in the first direction, and extending in the second direction.
  • the second nanosheet comprises a second transistor having a second gate wiring formed to surround the outer periphery of the second nanosheet in the second and third directions, wherein the first and second transistors are the second. Adjacent in the direction and at least one of the nodes is connected to each other, the first nanosheet and the second nanosheet face each other in the second direction, and the first nanosheet The surface of the second nanosheet on the second nanosheet side is exposed from the first gate wiring, and the surface of the second nanosheet on the first nanosheet side is exposed from the second gate wiring.
  • the capacitive structure constituting the capacitive element is a first transistor having a first nanosheet extending in the first direction and a first gate wiring extending in the second direction and surrounding the outer periphery of the first nanosheet.
  • the first and second transistors are adjacent in the second direction, and at least one of the nodes is connected to each other.
  • the first nanosheet and the second nanosheet face each other in the second direction, and the surface of the first nanosheet on the second nanosheet side is exposed from the first gate wiring, and the surface of the second nanosheet on the first nanosheet side. The surface is exposed from the second gate wiring.
  • the distance between the first nanosheet and the second nanosheet, which is required to separate the gate of the first transistor and the gate of the second transistor, can be reduced. Therefore, since the size of the capacitive element in the second direction can be reduced, the layout structure of the capacitive element having a high withstand voltage using the fork sheet FET can be realized in a small area.
  • the second aspect of the present disclosure is a semiconductor integrated circuit device including a capacitive element, wherein the capacitive element includes at least one capacitive structure provided between the first node and the second node.
  • the capacitive structure extends in a first nanosheet extending in the first direction and a second direction perpendicular to the first direction, the second direction of the first nanosheet, and the first and second nanosheets.
  • a second transistor comprising a second gate wiring formed to surround the outer periphery of the second nanosheet in the second and third directions, wherein the first and second transistors are the first. Adjacent in the direction and one node connected to each other, the first side surface of the first nanosheet in the second direction is exposed from the first gate wiring and said second. The surface of the nanosheet on the first side in the second direction is exposed from the second gate wiring.
  • the capacitive structure constituting the capacitive element is a first transistor having a first nanosheet extending in the first direction and a first gate wiring extending in the second direction and surrounding the outer periphery of the first nanosheet.
  • the first and second transistors are adjacent in the first direction and one node is connected to each other.
  • the first side surface of the first nanosheet in the second direction is exposed from the first gate wiring, and the same first side surface of the second nanosheet in the second direction is exposed from the second gate wiring.
  • the distance between the capacitance structure and another capacitance structure adjacent to the first side in the second direction can be reduced. Therefore, since the size of the capacitive element in the second direction can be reduced, the layout structure of the capacitive element having a high withstand voltage using the fork sheet FET can be realized in a small area.
  • a third aspect of the present disclosure is a semiconductor integrated circuit device including a capacitive element, wherein the capacitive element includes at least one capacitive structure provided between a first node and a second node.
  • the capacitive structure comprises a first transistor having a first nanosheet extending in the first direction and a second transistor having a second nanosheet extending in the first direction, wherein the first and second transistors are:
  • the first gate wiring which is adjacent in the second direction perpendicular to the first direction and extends in the second direction, is the second direction of the first and second nanosheets, and the first and second directions. It is formed so as to surround the outer periphery in the third direction perpendicular to the second direction, and the surface of the second nanosheet opposite to the first nanosheet in the second direction is from the first gate wiring. It is exposed.
  • the capacitive structure constituting the capacitive element includes a first transistor having a first nanosheet extending in the first direction and a second transistor having a second nanosheet extending in the first direction.
  • the first and second transistors are adjacent in the second direction, and the first gate wiring extending in the second direction is formed so as to surround the outer periphery of the first and second nanosheets.
  • the surface of the second nanosheet opposite to the first nanosheet in the second direction is exposed from the first gate wiring.
  • a capacitive element having a high withstand voltage can be realized by using a fork sheet FET.
  • FIGS. 1 and 2 are cross-sectional views in the vertical direction of the plan view of FIG. Circuit diagram of the capacitive element shown in FIGS. 1 and 2.
  • Circuit diagram of the capacitive element shown in FIGS. 6 and 7 Top view showing an example of the layout structure of the capacitive element according to the third embodiment. Circuit diagram of the capacitive element shown in FIG.
  • FIG. 1 Top view showing an example of the layout structure of the capacitive element which concerns on the modification of 3rd Embodiment Circuit diagram of the capacitive element shown in FIG. It is a figure which shows the basic structure of a fork sheet FET, (a) is a plan view, (b) is a sectional view.
  • the semiconductor integrated circuit device is provided with a nanosheet FET (Field Effect Transistor).
  • the nanosheet FET is an FET using a thin sheet (nanosheet) through which an electric current flows. Nanosheets are made of, for example, silicon. Then, in the semiconductor integrated circuit device, a part of the nanosheet FET is a fork-sheet FET having a fork-shaped gate electrode.
  • the semiconductor layer portion formed at both ends of the nanosheet and forming the terminal serving as the source or drain of the nanosheet FET is referred to as a "pad".
  • FIG. 13 is a diagram showing the basic structure of the fork sheet FET, (a) is a plan view, and (b) is a cross-sectional view taken along the line YY'of (a).
  • two transistors TR1 and TR2 are arranged side by side with an interval S in the Y direction.
  • the gate wiring 531 that serves as the gate of the transistor TR1 and the gate wiring 532 that serves as the gate of the transistor TR2 both extend in the Y direction and are arranged at the same position in the X direction.
  • the channel portion 521 which is the channel region of the transistor TR1 and the channel portion 526 which is the channel region of the transistor TR2 are composed of nanosheets.
  • each of the channel portions 521 and 526 is composed of nanosheets having a three-sheet structure that overlaps in a plan view.
  • Pads 522a and 522b serving as a source region or a drain region of the transistor TR1 are formed on both sides of the channel portion 521 in the X direction.
  • Pads 527a and 527b serving as a source region or a drain region of the transistor TR2 are formed on both sides of the channel portion 526 in the X direction.
  • the pads 522a and 522b are formed by epitaxial growth from the nanosheets constituting the channel portion 521.
  • the pads 527a and 527b are formed by epitaxial growth from the nanosheets constituting the channel portion 526.
  • the gate wiring 531 surrounds the outer circumference of the channel portion 521 made of nanosheets in the Y direction and the Z direction via a gate insulating film (not shown). However, in the nanosheet constituting the channel portion 521, the surface on the side of the transistor TR2 in the Y direction is not covered by the gate wiring 531 and is exposed from the gate wiring 531. That is, in the cross-sectional view of FIG. 13B, the gate wiring 531 does not cover the right side of the drawing of the nanosheet constituting the channel portion 521, but covers the upper side, the left side, and the lower side of the drawing. The gate wiring 531 overlaps the nanosheet constituting the channel portion 521 on the opposite side of the transistor TR2 in the Y direction by the length OL.
  • the gate wiring 532 surrounds the outer circumference of the channel portion 526 made of nanosheets in the Y and Z directions via a gate insulating film (not shown). However, in the nanosheet constituting the channel portion 526, the surface on the side of the transistor TR1 in the Y direction is not covered by the gate wiring 532 and is exposed from the gate wiring 532. That is, in the cross-sectional view of FIG. 13B, the gate wiring 532 does not cover the left side of the drawing of the nanosheet constituting the channel portion 526, but covers the upper side, the right side, and the lower side of the drawing. The gate wiring 532 overlaps the nanosheet constituting the channel portion 526 on the opposite side of the transistor TR1 in the Y direction by the length OL.
  • the effective gate width Weff 2 ⁇ W + H Will be. Since the channel portions 521 and 526 of the transistors TR1 and TR2 are composed of three nanosheets, the gate effective width of the transistors TR1 and TR2 is determined. 3x (2xW + H) Will be.
  • the gate wiring 531 does not overlap the nanosheet constituting the channel portion 521 on the side of the transistor TR2 in the Y direction. Further, the gate wiring 532 does not overlap with the nanosheet constituting the channel portion 526 on the side of the transistor TR1 in the Y direction. As a result, the transistors TR1 and TR2 can be brought closer to each other, and the area can be reduced.
  • the number of nanosheets constituting the channel portion of the transistor is not limited to three. That is, the nanosheet may have a single sheet structure, or may have a plurality of overlapping sheet structures in a plan view. Further, in FIG. 13B, the cross-sectional shape of the nanosheet is shown as a rectangle, but the cross-sectional shape of the nanosheet is not limited to this, and the cross-sectional shape of the nanosheet may be, for example, a square, a circle, an ellipse, or the like. ..
  • the fork sheet FET and the nano sheet FET in which the gate wiring surrounds the entire circumference of the nano sheet may be mixed in the semiconductor integrated circuit device.
  • VDD and VVSS indicate the power supply voltage or the power supply itself.
  • expressions such as “same wiring width” that mean that the widths and the like are the same include a range of variations in manufacturing.
  • the source and drain of the transistor are appropriately referred to as "nodes" of the transistor. That is, one node of the transistor refers to the source or drain of the transistor, and both nodes of the transistor refer to the source and drain of the transistor.
  • FIGS. 2 (a) and 2 (b) are cross-sectional views in a vertical direction in a plan view. ..
  • FIG. 2A is a cross section of line Y1-Y1'
  • FIG. 2B is a cross section of line Y2-Y2'.
  • the horizontal direction of the drawing is the X direction (corresponding to the first direction)
  • the vertical direction of the drawing is the Y direction (corresponding to the second direction)
  • the direction perpendicular to the substrate surface is defined. It is in the Z direction (corresponding to the third direction).
  • FIG. 3 is a circuit diagram showing the configuration of the capacitive element shown in FIGS. 1 and 2.
  • the semiconductor integrated circuit device according to this embodiment includes the capacitive element shown in FIG. A signal is given to the nodes IN1 and IN2, for example. Alternatively, the nodes IN1 and IN2 are connected to the power supply line. In this case, the capacitive element functions as the inter-power supply capacitance. The same applies to the capacitive elements shown below.
  • the capacitive element of FIG. 3 is connected to two nodes IN1.
  • N-conductive transistors N11, N12, N13, and N14 are arranged between one node IN1 and node IN2.
  • the gates of the transistors N11 and N13 are connected to the node IN1.
  • the gates of the transistors N12 and N14 are connected to the node IN2.
  • Both nodes of the transistors N11 and N12 are connected to each other.
  • Both nodes of the transistors N13 and N14 are connected to each other.
  • Transistors N11 and N13 share one node, and transistors N12 and N14 share one node.
  • N conductive type transistors N15, N16, N17, and N18 are arranged between the other node IN1 and node IN2.
  • the connection relationship between the transistors N15 to N18 is the same as the connection relationship between the transistors N11 to N14, and the details thereof will be omitted here.
  • the voltage applied to the transistors N11 to N14 and N15 to N18 is 1/2 of the voltage between the nodes IN1-IN2. Therefore, a voltage higher than the withstand voltage of the transistors N11 to N14 and N15 to N18 can be applied to this capacitive element.
  • the capacitive element of FIG. 3 has a configuration in which four capacitive structures consisting of two transistors in which a source and a drain are connected to each other are provided between the node IN1 and the node IN2. That is, the capacitive element of FIG. 3 has a capacitive structure composed of transistors N11 and N12, a capacitive structure composed of transistors N13 and N14, a capacitive structure composed of transistors N15 and N16, and a capacitive structure composed of transistors N17 and N18. ..
  • N-type transistors N11 to N18 are arranged in two rows in the X direction and four rows in the Y direction. That is, the transistors N11 and N13 are arranged in the X direction, the transistors N12 and N14 are arranged in the X direction, the transistors N15 and N17 are arranged in the X direction, and the transistors N16 and N18 are arranged in the X direction.
  • the transistors N11, N12, N15, and N16 are arranged in a row in the Y direction.
  • the transistors N13, N14, N17, and N18 are arranged in a row in the Y direction.
  • Transistors N11 to N14 have nanosheets 21a, 21c, 21b, and 21d each having a three-sheet structure that overlaps in a plan view as a channel portion.
  • the transistors N15 to N18 have nanosheets 26a, 26c, 26b, and 26d each having a three-sheet structure overlapping in a plan view as a channel portion. That is, the transistors N11 to N14 and N15 to N18 are nanosheet FETs.
  • pads 22a, 22b and 22c composed of a semiconductor layer having an integral structure connected to three sheet structures are provided. Each is formed.
  • the pads 22a and 22b serve as a source region and a drain region of the transistor N11.
  • the pads 22b and 22c serve as a source region and a drain region of the transistor N13.
  • Pads 22d, 22e, and 22f made of a semiconductor layer having an integral structure connected to three sheet structures are formed on the left side of the drawing of the nanosheet 21c, between the nanosheets 21c and 21d, and on the right side of the drawing of the nanosheet 21d, respectively.
  • the pads 22d and 22e serve as a source region and a drain region of the transistor N12.
  • the pads 22e and 22f serve as a source region and a drain region of the transistor N14.
  • Pads 27a, 27b, 27c made of an integral semiconductor layer connected to three sheet structures are formed on the left side of the drawing of the nanosheet 26a, between the nanosheets 26a and 26b, and on the right side of the drawing of the nanosheet 26b, respectively.
  • the pads 27a and 27b serve as a source region and a drain region of the transistor N15.
  • the pads 27b and 27c serve as a source region and a drain region of the transistor N17.
  • Pads 27d, 27e, 27f made of a semiconductor layer having an integral structure connected to three sheet structures are formed on the left side of the drawing of the nanosheet 26c, between the nanosheets 26c and 26d, and on the right side of the drawing of the nanosheet 26d, respectively.
  • the pads 27d and 27e serve as a source region and a drain region of the transistor N16.
  • the pads 27e and 27f serve as a source region and a drain region of the transistor N18.
  • Gate wirings 31a and 31b extending in parallel in the Y direction are formed in the regions of the transistors N11 and N13. Gate wirings 32a and 32b extending in parallel in the Y direction are formed in the regions of the transistors N12, N14, N15 and N17. Gate wirings 33a and 33b extending in parallel in the Y direction are formed in the regions of the transistors N16 and N18. The gate wirings 31a, 32a, 33a are arranged in a row in the Y direction. The gate wirings 31b, 32b, 33b are arranged in a row in the Y direction. Further, dummy gate wirings 36a and 36b are formed on both sides of the gate wirings 31a and 31b in the X direction. Dummy gate wirings 36c and 36d are formed on both sides of the gate wirings 32a and 32b in the X direction. Dummy gate wirings 36e and 36f are formed on both sides of the gate wirings 33a and 33b in the X direction.
  • the gate wiring 31a surrounds the outer periphery of the nanosheet 21a of the transistor N11 in the Y direction and the Z direction via a gate insulating film (not shown).
  • the gate wiring 31a serves as a gate for the transistor N11.
  • the gate wiring 31b surrounds the outer periphery of the nanosheet 21b of the transistor N13 in the Y direction and the Z direction via a gate insulating film (not shown).
  • the gate wiring 31b serves as a gate for the transistor N13.
  • the gate wiring 32a surrounds the outer periphery of the nanosheet 21c of the transistor N12 and the nanosheet 26a of the transistor N15 in the Y direction and the Z direction via a gate insulating film (not shown).
  • the gate wiring 32a serves as a gate for the transistors N12 and N15.
  • the gate wiring 32b surrounds the outer periphery of the nanosheet 21d of the transistor N14 and the nanosheet 26b of the transistor N17 in the Y direction and the Z direction via a gate insulating film (not shown).
  • the gate wiring 32b serves as a gate for the transistors N14 and N17.
  • the gate wiring 33a surrounds the outer periphery of the nanosheet 26c of the transistor N16 in the Y direction and the Z direction via a gate insulating film (not shown).
  • the gate wiring 33a serves as a gate for the transistor N16.
  • the gate wiring 33b surrounds the outer periphery of the nanosheet 26d of the transistor N18 in the Y direction and the Z direction via a gate insulating film (not shown).
  • the gate wiring 33b serves as a gate for the transistor N18.
  • Local wirings 41a, 41b, 41c extending in parallel in the Y direction and local wirings 46a, 46b, 46c extending in parallel in the Y direction are formed in the local wiring layer.
  • the local wiring 41a is connected to the pads 22a and 22d.
  • the local wiring 41b is connected to the pads 22b and 22e.
  • the local wiring 41c is connected to the pads 22c and 22f.
  • the local wiring 46a is connected to the pads 27a and 27d.
  • the local wiring 46b is connected to the pads 27b and 27e.
  • the local wiring 46c is connected to the pads 27c and 27f.
  • metal wirings 51, 52, 53 extending in the X direction are formed.
  • the metal wirings 51 and 53 correspond to the node IN1 of the capacitance element, and the metal wiring 52 corresponds to the node IN2 of the capacitance element.
  • the metal wiring 51 is connected to the gate wirings 31a and 31b via vias.
  • the metal wiring 52 is connected to the gate wirings 32a and 32b via vias.
  • the metal wiring 53 is connected to the gate wirings 33a and 33b via vias.
  • the nanosheet 21a and the nanosheet 21c face each other in the Y direction.
  • the surface of the nanosheet 21a on the nanosheet 21c side in the Y direction is not covered by the gate wiring 31a and is exposed from the gate wiring 31a.
  • the surface on the nanosheet 21a side in the Y direction is not covered by the gate wiring 32a and is exposed from the gate wiring 32a.
  • the nanosheets 21b and the nanosheets 21d face each other in the Y direction.
  • the surface of the nanosheet 21b on the nanosheet 21d side in the Y direction is not covered by the gate wiring 31b and is exposed from the gate wiring 31b.
  • the surface on the nanosheet 21b side in the Y direction is not covered by the gate wiring 32b and is exposed from the gate wiring 32b.
  • the nanosheet 26a and the nanosheet 26c face each other in the Y direction.
  • the surface of the nanosheet 26a on the nanosheet 26c side in the Y direction is not covered by the gate wiring 32a and is exposed from the gate wiring 32a.
  • the surface on the nanosheet 26a side in the Y direction is not covered by the gate wiring 33a and is exposed from the gate wiring 33a.
  • the nanosheet 26b and the nanosheet 26d face each other in the Y direction.
  • the surface of the nanosheet 26b on the nanosheet 26d side in the Y direction is not covered by the gate wiring 32b and is exposed from the gate wiring 32b.
  • the surface on the nanosheet 26b side in the Y direction is not covered by the gate wiring 33b and is exposed from the gate wiring 33b.
  • the gate wiring 31a and the gate wiring 32a need to be separated, and the gate wiring 31b and the gate wiring 32b need to be separated.
  • the distance between the nanosheets 21a and 21c and the distance between the nanosheets 21b and 21d can be reduced (d1 ⁇ d2).
  • different signals are given to the gates of the transistors N15 and N17 and the gates of the transistors N16 and N18. Therefore, the gate wiring 32a and the gate wiring 33a need to be separated, and the gate wiring 32b and the gate wiring 33b need to be separated.
  • the distance between the nanosheets 26a and 26c and the distance between the nanosheets 26b and 26d can be reduced.
  • the gates of the transistors arranged between the nodes IN1 and IN2 can be separated by a small distance between nanosheets, so that the size of the capacitive element in the Y direction can be reduced.
  • the capacitive structure constituting the capacitive element is, for example, a transistor having a nanosheet 21a extending in the X direction and a gate wiring 31a extending in the Y direction and surrounding the outer periphery of the nanosheet 21a. It includes N11, a nanosheet 21c extending in the X direction, and a transistor N12 extending in the Y direction and having a gate wiring 32a surrounding the outer periphery of the nanosheet 21c.
  • the transistors N11 and N12 are adjacent to each other in the Y direction, and both nodes are connected to each other.
  • the nanosheet 21a and the nanosheet 21c face each other in the Y direction, the surface of the nanosheet 21c of the nanosheet 21a is exposed from the gate wiring 31a, and the surface of the nanosheet 21c on the nanosheet 21a side is exposed from the gate wiring 32a.
  • the distance between the nanosheet 21a and the nanosheet 21c, which is required to separate the gate of the transistor N11 and the gate of the transistor N12, can be reduced. Therefore, since the size of the capacitance element in the Y direction can be reduced, the layout structure of the capacitance element having a high withstand voltage using the fork sheet FET can be realized in a small area.
  • both nodes that is, both the source and the drain, are connected to the two transistors constituting the capacitive structure, but only one of the nodes may be connected. That is, at least one of the two transistors constituting the capacitive structure may be connected.
  • the transistors arranged in the X direction share one node, but the nodes do not have to be shared.
  • each capacitance structure is composed of N conductive type transistors, but may be composed of P conductive type transistors.
  • the capacitive element may include both a capacitive structure made of an N conductive transistor and a capacitive structure made of a P conductive transistor.
  • the upper N conductive transistors N11 to N14 may be replaced with P conductive transistors.
  • two capacitance structures are arranged in the X direction, but three or more may be arranged. Further, the capacitance structures may be further arranged in the Y direction.
  • FIG. 4 is a plan view showing an example of the layout structure of the capacitive element according to the modified example of the first embodiment.
  • the layout structure of FIG. 4 corresponds to two capacitive elements of FIG. 1 arranged side by side in the Y direction. That is, both the capacitance structures 1A and 1B have the same configuration as the capacitance element of FIG.
  • FIG. 5 is a circuit diagram showing the configuration of the capacitive element shown in FIG.
  • the capacitive element of FIG. 5 is connected to two nodes IN1, transistors N11 to N18 are arranged between one node IN1 and node IN2, and transistors N11 to N18 are arranged between the node IN2 and the other node IN1.
  • N21 to N28 are arranged.
  • transistors N11 and N13 are connected to node IN1.
  • the gates of the transistors N12, N14, N15, and N17 are connected to each other.
  • the gates of the transistors N16 and N18 are connected to the node IN2.
  • Both nodes of the transistors N11 and N12 are connected to each other.
  • Both nodes of the transistors N13 and N14 are connected to each other.
  • Both nodes of the transistors N15 and N16 are connected to each other.
  • Both nodes of the transistors N17 and N18 are connected to each other.
  • Transistors N11 and N13 share one node, and transistors N12 and N14 share one node.
  • Transistors N15 and N17 share one node, and transistors N16 and N18 share one node.
  • the gates of transistors N21 and N23 are connected to node IN2.
  • the gates of the transistors N22, N24, N25, and N27 are connected to each other.
  • the gates of the transistors N26 and N28 are connected to the node IN1.
  • Both nodes of the transistors N21 and N22 are connected to each other.
  • Both nodes of the transistors N23 and N24 are connected to each other.
  • Both nodes of the transistors N25 and N26 are connected to each other.
  • Both nodes of the transistors N27 and N28 are connected to each other.
  • Transistors N21 and N23 share one node, and transistors N22 and N24 share one node.
  • Transistors N25 and N27 share one node, and transistors N26 and N28 share one node.
  • metal wirings 51, 52, 53, 54, 55 extending in the X direction are formed in the M1 wiring layer.
  • the metal wirings 51 and 55 correspond to the node IN1 of the capacitance element, and the metal wiring 53 corresponds to the node IN2 of the capacitance element.
  • Both the capacitance structures 1A and 1B have the same configuration as the capacitance element of FIG. Therefore, as in the above-described embodiment, the gates of the transistors arranged between the nodes IN1 and IN2 can be separated by a small distance between nanosheets, so that the size of the capacitive element in the Y direction can be reduced. ..
  • the number of stages of the transistor connected in series between the node IN1 and the node IN2 is not limited to four stages, and may be more than four stages. It is also possible to have a configuration in which transistors are connected in series in odd-numbered stages such as three stages. In this case, one of the nodes IN1 and IN2 is connected to the node instead of the gate of the transistor.
  • FIG. 6 and 7 are views showing an example of the layout structure of the capacitive element according to the second embodiment, FIG. 6 is a plan view, and FIG. 7 is a cross-sectional view in the vertical direction in a plan view.
  • FIG. 7 is a cross section of lines Y3-Y3'.
  • FIG. 8 is a circuit diagram showing the configuration of the capacitive element shown in FIGS. 6 and 7.
  • N conductive transistors N11, N12, N13, N14, N15, N16, N17, and N18 are arranged between the node IN1 and the node IN2.
  • the gates of the transistors N11, N13, N15, and N17 are connected to the node IN1.
  • the gates of the transistors N12, N14, N16, and N18 are connected to the node IN2.
  • Both nodes of the transistors N11 and N15 are connected to each other.
  • Both nodes of the transistors N12 and N16 are connected to each other.
  • Both nodes of the transistors N13 and N17 are connected to each other.
  • Transistors N11 and N12 share one node, transistors N12 and N13 share one node, and transistors N13 and N14 share one node.
  • Transistors N15 and N16 share one node, transistors N16 and N17 share one node, and transistors N17 and N18 share one node.
  • the capacitive element of FIG. 8 has a configuration in which four capacitive structures consisting of two transistors in which one node is connected to each other are provided between the node IN1 and the node IN2. That is, the capacitive element of FIG. 8 has a capacitive structure composed of transistors N11 and N12, a capacitive structure composed of transistors N13 and N14, a capacitive structure composed of transistors N15 and N16, and a capacitive structure composed of transistors N17 and N18. ..
  • N-type transistors N11 to N18 are arranged in four rows in the X direction and two rows in the Y direction. That is, the transistors N11, N12, N13, and N14 are arranged in the X direction, and the transistors N15, N16, N17, and N18 are arranged in the X direction. Transistors N11 and N15 are arranged in the Y direction, transistors N12 and N16 are arranged in the Y direction, transistors N13 and N17 are arranged in the Y direction, and transistors N14 and N18 are arranged in the Y direction.
  • Transistors N11 to N14 have nanosheets 121a, 121b, 121c, and 121d each having a three-sheet structure that overlaps in a plan view as a channel portion.
  • the transistors N15 to N18 have nanosheets 126a, 126b, 126c, and 126d each having a three-sheet structure overlapping in a plan view as a channel portion. That is, the transistors N11 to N14 and N15 to N18 are nanosheet FETs.
  • the three sheet structures are connected to the left side of the drawing of the nanosheet 121a, between the nanosheets 121a and 121b, between the nanosheets 121b and 121c, between the nanosheets 121c and 121d, and on the right side of the drawing of the nanosheet 121d.
  • Pads 122a, 122b, 122c, 122d, and 122e made of a semiconductor layer having an integral structure are formed, respectively.
  • the pads 122a and 122b serve as a source region and a drain region of the transistor N11.
  • the pads 122b and 122c serve as a source region and a drain region of the transistor N12.
  • the pads 122c and 122d serve as a source region and a drain region of the transistor N13.
  • the pads 122d and 122e serve as a source region and a drain region of the transistor N14.
  • the left side of the drawing of the nanosheet 126a, between the nanosheets 126a and 126b, between the nanosheets 126b and 126c, between the nanosheets 126c and 126d, and on the right side of the drawing of the nanosheet 126d consists of a semiconductor layer having an integral structure connected to three sheet structures.
  • Pads 127a, 127b, 127c, 127d, and 127e are formed, respectively.
  • the pads 127a and 127b serve as a source region and a drain region of the transistor N15.
  • the pads 127b and 127c serve as a source region and a drain region of the transistor N16.
  • the pads 127c and 127d serve as a source region and a drain region of the transistor N17.
  • the pads 127d and 127e serve as a source region and a drain region of the transistor N18.
  • Gate wirings 131a, 131b, 131c, 131d extending in parallel in the Y direction are formed in the regions of transistors N11 to N14.
  • Gate wirings 132a, 132b, 132c, 132d extending in the Y direction are formed in the regions of the transistors N15 to N18.
  • the gate wirings 131a and 132a are arranged in a row in the Y direction.
  • the gate wirings 131b and 132b are arranged in a row in the Y direction.
  • the gate wirings 131c and 132c are arranged in a row in the Y direction.
  • the gate wirings 131d and 132d are arranged in a row in the Y direction.
  • dummy gate wirings 136a and 136b are formed on both sides of the gate wirings 131a to 131d and 132a to 132d in the X direction.
  • the gate wiring 131a surrounds the outer periphery of the nanosheet 121a of the transistor N11 in the Y direction and the Z direction via a gate insulating film (not shown).
  • the gate wiring 131a serves as a gate for the transistor N11.
  • the gate wirings 131b, 131c, 131d surround the outer circumferences of the transistors N12, N13, N14 nanosheets 121b, 121c, 121d in the Y and Z directions, respectively, via a gate insulating film (not shown). There is.
  • the gate wirings 131b, 131c, and 131d serve as gates for transistors N12, N13, and N14, respectively.
  • the gate wiring 132a surrounds the outer circumference of the nanosheet 126a of the transistor N15 in the Y direction and the Z direction via a gate insulating film (not shown).
  • the gate wiring 132a serves as a gate for the transistor N15.
  • the gate wirings 132b, 132c, 132d surround the outer circumferences of the transistors N16, N17, N18 nanosheets 126b, 126c, 126d in the Y and Z directions, respectively, via a gate insulating film (not shown). There is.
  • the gate wirings 132b, 132c, and 132d serve as gates for transistors N16, N17, and N18, respectively.
  • the gate wirings 131a and 132a arranged in the Y direction are connected via a bridge portion 133a as a gate connection portion formed between the gate wiring 131a and the gate wiring 132a.
  • the gate wirings 131b and 132b arranged in the Y direction are connected via a bridge portion 133b formed between the gate wiring 131b and the gate wiring 132b.
  • the gate wirings 131c and 132c arranged in the Y direction are connected via a bridge portion 133c formed between the gate wiring 131c and the gate wiring 132c.
  • the gate wirings 131d and 132d arranged in the Y direction are connected via a bridge portion 133d formed between the gate wiring 131d and the gate wiring 132d.
  • Local wirings 141a, 141b, 141c, 141d, 141e extending in the Y direction are formed on the local wiring layer.
  • the local wiring 141a is connected to the pads 122a and 127a.
  • the local wiring 141b is connected to the pads 122b and 127b.
  • the local wiring 141c is connected to the pads 122c and 127c.
  • the local wiring 141d is connected to the pads 122d and 127d.
  • the local wiring 141e is connected to the pads 122e and 127e.
  • metal wirings 151 and 152 extending in the X direction are formed.
  • the metal wiring 152 corresponds to the node IN1 of the capacitance element, and the metal wiring 151 corresponds to the node IN2 of the capacitance element.
  • the metal wiring 151 is connected to the gate wirings 131b and 131d via vias.
  • the metal wiring 152 is connected to the gate wirings 132a and 132c via vias.
  • the nanosheet 121a and the nanosheet 126a face each other in the Y direction.
  • the surface of the nanosheet 121a on the nanosheet 126a side in the Y direction is not covered by the gate wiring 131a and is exposed from the gate wiring 131a.
  • the surface on the nanosheet 121a side in the Y direction is not covered by the gate wiring 132a and is exposed from the gate wiring 132a.
  • the nanosheet 121b and the nanosheet 126b face each other in the Y direction.
  • the nanosheet 121c and the nanosheet 126c face each other in the Y direction.
  • the nanosheet 121d and the nanosheet 126d face each other in the Y direction.
  • the surfaces of the nanosheets 121b, 121c, 121d on the side of the nanosheets 126b, 126c, 126d in the Y direction are not covered by the gate wirings 131b, 131c, 131d, respectively, and are exposed from the gate wirings 131b, 131c, 131d. ing.
  • the surfaces of the nanosheets 121b, 121c, 121d in the Y direction are not covered by the gate wirings 132b, 132c, 132d and are exposed from the gate wirings 132b, 132c, 132d.
  • the distance between the transistors N11 to N14 and the transistors N15 to N18 can be reduced (d1 ⁇ d2). Therefore, the size of the capacitive element in the Y direction can be reduced.
  • the capacitive structure constituting the capacitive element is, for example, a transistor having a nanosheet 121a extending in the X direction and a gate wiring 131a extending in the Y direction and surrounding the outer periphery of the nanosheet 121a. It includes N11, a nanosheet 121b extending in the X direction, and a transistor N12 extending in the Y direction and having a gate wiring 131b surrounding the outer periphery of the nanosheet 121b.
  • the transistors N11 and N12 are adjacent to each other in the X direction, and one node is connected to each other.
  • the surface of the nanosheet 121a on the first side (lower side of the drawing here) in the Y direction is exposed from the gate wiring 131a, and the surface of the nanosheet 121b on the same first side (lower side of the drawing here) in the Y direction. Is exposed from the gate wiring 131b.
  • the distance between the capacitance structure and the capacitance structure composed of the transistors N15 and N16 adjacent to the first side in the Y direction can be reduced. Therefore, the size of the capacitive element in the Y direction can be reduced.
  • the surface of the nanosheet 126a on the first side in the Y direction (here, the upper side in the drawing) is exposed from the gate wiring 132a, and the same first side of the nanosheet 126b in the Y direction.
  • the surface (here, the upper side of the drawing) is exposed from the gate wiring 132b.
  • each capacitance structure is composed of N conductive type transistors, but may be composed of P conductive type transistors.
  • the capacitive element may include both a capacitive structure made of an N conductive transistor and a capacitive structure made of a P conductive transistor.
  • two capacitance structures are arranged in the X direction, but three or more may be arranged. Further, in the Y direction, the capacitance structures may be further arranged.
  • FIG. 9 is a diagram showing an example of the layout structure of the capacitive element according to the third embodiment.
  • FIG. 10 is a circuit diagram showing the configuration of the capacitive element shown in FIG.
  • the semiconductor integrated circuit device according to this embodiment includes the capacitive element shown in FIG. A signal is given to the nodes IN1 and IN2, for example. Alternatively, the nodes IN1 and IN2 are connected to the power supply line. In this case, the capacitive element functions as the inter-power supply capacitance.
  • the capacitive element of FIG. 10 is connected to two nodes IN1.
  • N-conductive transistors N11, N12, N13, and N14 are arranged between one node IN1 and node IN2. Both nodes of the transistors N11 and N13 are connected to the node IN1. Both nodes of the transistors N12 and N14 are connected to the node IN2.
  • the gates of the transistors N11, N12, N13, and N14 are connected to each other.
  • N conductive transistors N15, N16, N17, and N18 are arranged between the node IN2 and the other node IN1. Both nodes of the transistors N15 and N17 are connected to the node IN2. Both nodes of the transistors N16 and N18 are connected to the node IN1. The gates of the transistors N15, N16, N17, and N18 are connected to each other.
  • the voltage applied to the transistors N11 to N18 is 1/2 of the voltage between the nodes IN1-IN2. Therefore, a voltage higher than the withstand voltage of the transistors N11 to N18 can be applied to this capacitive element.
  • the capacitive element of FIG. 10 has a configuration in which four capacitive structures consisting of two transistors whose gates are connected to each other are provided between the node IN1 and the node IN2. That is, the capacitive element of FIG. 10 has a capacitive structure composed of transistors N11 and N12, a capacitive structure composed of transistors N13 and N14, a capacitive structure composed of transistors N15 and N16, and a capacitive structure composed of transistors N17 and N18. ..
  • N-type transistors N11 to N18 are arranged in two rows in the X direction and four rows in the Y direction. That is, the transistors N11 and N13 are arranged in the X direction, the transistors N12 and N14 are arranged in the X direction, the transistors N15 and N17 are arranged in the X direction, and the transistors N16 and N18 are arranged in the X direction.
  • the transistors N11, N12, N15, and N16 are arranged in a row in the Y direction.
  • the transistors N13, N14, N17, and N18 are arranged in a row in the Y direction.
  • Transistors N11 to N14 have nanosheets 221a, 221b, 221c, and 221d each having a three-sheet structure overlapping in a plan view as a channel portion.
  • the transistors N15 to N18 have nanosheets 226a, 226b, 226c, and 226d each having a three-sheet structure overlapping in a plan view as a channel portion. That is, the transistors N11 to N14 and N15 to N18 are nanosheet FETs.
  • pads 222a, 222b and 222c composed of a semiconductor layer having an integral structure connected to three sheet structures are provided. Each is formed.
  • the pads 222a and 222b serve as a source region and a drain region of the transistor N11.
  • the pads 222b and 222c serve as a source region and a drain region of the transistor N13.
  • Pads 222d, 222e, 222f made of a semiconductor layer having an integral structure connected to three sheet structures are formed on the left side of the drawing of the nanosheet 221c, between the nanosheets 221c and 221d, and on the right side of the drawing of the nanosheet 221d, respectively.
  • the pads 222d and 222e serve as a source region and a drain region of the transistor N12.
  • the pads 222e and 222f serve as a source region and a drain region of the transistor N14.
  • Pads 227a, 227b, 227c made of an integral semiconductor layer connected to three sheet structures are formed on the left side of the drawing of the nanosheet 226a, between the nanosheets 226a and 226b, and on the right side of the drawing of the nanosheet 226b, respectively.
  • the pads 227a and 227b serve as a source region and a drain region of the transistor N15.
  • the pads 227b and 227c serve as a source region and a drain region of the transistor N17.
  • Pads 227d, 227e, and 227f made of an integral semiconductor layer connected to three sheet structures are formed on the left side of the drawing of the nanosheet 226c, between the nanosheets 226c and 226d, and on the right side of the drawing of the nanosheet 226d, respectively.
  • the pads 227d and 227e serve as a source region and a drain region of the transistor N16.
  • the pads 227e and 227f serve as a source region and a drain region of the transistor N18.
  • Gate wirings 231a and 231b extending in parallel in the Y direction are formed in the regions of transistors N11 to N14. Gate wirings 232a and 232b extending in parallel in the Y direction are formed in the regions of the transistors N15 to N18. The gate wirings 231a and 232a are arranged in a row in the Y direction. The gate wirings 231b and 232b are arranged in a row in the Y direction. Further, dummy gate wirings 236a and 236b are formed on both sides of the gate wirings 231a and 231b in the X direction. Dummy gate wirings 236c and 236d are formed on both sides of the gate wirings 232a and 232b in the X direction.
  • the gate wiring 231a surrounds the outer periphery of the nanosheet 221a of the transistor N11 and the nanosheet 221c of the transistor N12 in the Y direction and the Z direction via a gate insulating film (not shown).
  • the gate wiring 231a serves as a gate for the transistors N11 and N12.
  • the gate wiring 231b surrounds the outer periphery of the nanosheet 221b of the transistor N13 and the nanosheet 221d of the transistor N14 in the Y direction and the Z direction via a gate insulating film (not shown).
  • the gate wiring 231b serves as a gate for the transistors N13 and N14.
  • the gate wiring 232a surrounds the outer periphery of the nanosheet 226a of the transistor N15 and the nanosheet 226c of the transistor N16 in the Y and Z directions via a gate insulating film (not shown).
  • the gate wiring 232a serves as a gate for the transistors N15 and N16.
  • the gate wiring 232b surrounds the outer periphery of the nanosheet 226b of the transistor N17 and the nanosheet 226d of the transistor N18 in the Y direction and the Z direction via a gate insulating film (not shown).
  • the gate wiring 232b serves as a gate for the transistors N17 and N18.
  • Local wirings 241a, 241b, 241c extending in parallel in the Y direction, local wirings 242a, 242b, 242c extending in parallel in the Y direction, and local wirings 243a, 243b, 243c extending in parallel in the Y direction are formed in the local wiring layer.
  • the local wiring 241a is connected to the pad 222a.
  • the local wiring 241b is connected to the pad 222b.
  • the local wiring 241c is connected to the pad 222c.
  • the local wiring 242a is connected to the pads 222d and 227a.
  • the local wiring 242b is connected to the pads 222e and 227b.
  • the local wiring 242c is connected to the pads 222f and 227c.
  • the local wiring 243a is connected to the pad 227d.
  • the local wiring 243b is connected to the pad 227e.
  • the local wiring 243c is connected to the pad 227f.
  • metal wirings 251, 252, 253, 254, 255 extending in the X direction are formed.
  • the metal wirings 251,255 correspond to the node IN1 of the capacitance element
  • the metal wiring 253 corresponds to the node IN2 of the capacitance element.
  • the metal wiring 251 is connected to the local wirings 241a, 241b, and 241c via vias.
  • the metal wiring 252 is connected to the gate wirings 231a and 231b via vias.
  • the metal wiring 253 is connected to the local wirings 242a, 242b, and 242c via vias.
  • the metal wiring 254 is connected to the gate wirings 232a and 232b via vias.
  • the metal wiring 255 is connected to the local wirings 243a, 243b, and 243c via vias.
  • the nanosheet 221c and the nanosheet 226a face each other in the Y direction.
  • the surface of the nanosheet 221c on the nanosheet 226a side in the Y direction is not covered by the gate wiring 231a and is exposed from the gate wiring 231a.
  • the surface of the nanosheet 226a on the nanosheet 221c side in the Y direction is not covered by the gate wiring 232a and is exposed from the gate wiring 232a.
  • the nanosheet 221d and the nanosheet 226b face each other in the Y direction.
  • the surface of the nanosheet 221d on the nanosheet 226b side in the Y direction is not covered by the gate wiring 231b and is exposed from the gate wiring 231b.
  • the surface of the nanosheet 226b on the nanosheet 221d side in the Y direction is not covered by the gate wiring 232b and is exposed from the gate wiring 232b.
  • the upper surface of the drawing in the Y direction is not covered by the gate wiring 231a and is exposed from the gate wiring 231a.
  • the surface of the nanosheet 221b on the upper side of the drawing in the Y direction is not covered by the gate wiring 231b and is exposed from the gate wiring 231b.
  • the lower surface of the drawing in the Y direction is not covered by the gate wiring 232a and is exposed from the gate wiring 232a.
  • the lower surface of the drawing in the Y direction is not covered by the gate wiring 232b and is exposed from the gate wiring 232b.
  • the gates of transistors N11 to N14 and the gates of transistors N15 to N18 are separated. Therefore, the gate wiring 231a and the gate wiring 232a need to be separated, and the gate wiring 231b and the gate wiring 232b need to be separated.
  • the distance between the nanosheets 221c and 226a and the distance between the nanosheets 221d and 226b can be reduced (d1 ⁇ d2).
  • the gates of adjacent transistors included in the two capacitive structures connected to the node IN2 can be separated by a small distance between nanosheets, so that the size of the capacitive element in the Y direction can be reduced.
  • the capacitive structure constituting the capacitive element includes, for example, a transistor N11 having nanosheets 221a extending in the X direction and a transistor N12 having nanosheets 221c extending in the X direction. ..
  • the transistors N11 and N12 are adjacent to each other in the Y direction, and the gate wiring 231a is formed so as to surround the outer periphery of the nanosheets 221a and 221c.
  • the surface of the nanosheet 221c opposite to the nanosheet 221a in the Y direction is exposed from the gate wiring 231a.
  • the distance between the capacitance structure and the capacitance structure composed of the transistors N15 and N16 adjacent to the transistor N12 side in the Y direction can be reduced. Therefore, since the size of the capacitance element in the Y direction can be reduced, the layout structure of the capacitance element having a high withstand voltage using the fork sheet FET can be realized in a small area.
  • the surface of the nanosheet 226a opposite to the nanosheet 226c in the Y direction is exposed from the gate wiring 232a.
  • the distance between the capacitance structure and the capacitance structure composed of the transistors N11 and N12 adjacent to the transistor N15 side in the Y direction can be reduced. Therefore, the size of the capacitive element in the Y direction can be reduced.
  • both nodes that is, both the source and the drain are connected to the node IN1 or the node IN2, but only one of the nodes is connected. May be good.
  • the gates of the transistors arranged in the X direction are connected to each other, but the transistors may not be connected to each other.
  • each capacitance structure is composed of N conductive type transistors, but may be composed of P conductive type transistors.
  • the capacitive element may include both a capacitive structure made of an N conductive transistor and a capacitive structure made of a P conductive transistor.
  • the upper N conductive transistors N11 to N14 may be replaced with P conductive transistors.
  • two capacitance structures are arranged in the X direction, but three or more may be arranged. Further, the capacitance structures may be further arranged in the Y direction.
  • FIG. 11 is a diagram showing an example of a layout structure of a capacitance element according to a modified example of the third embodiment.
  • FIG. 12 is a circuit diagram showing the configuration of the capacitive element shown in FIG.
  • the capacitive elements shown in FIGS. 11 and 12 have the same layout structure and circuit configuration as the capacitive elements shown in FIGS. 9 and 10. However, in the capacitance elements shown in FIGS. 11 and 12, the connection relationship with the nodes IN1 and IN2 is different from that of the capacitance elements shown in FIGS. 9 and 10. That is, in FIG. 11, the metal wiring 251 corresponds to the node IN1 of the capacitance element, and the metal wiring 255 corresponds to the node IN2 of the capacitance element.
  • N-type transistors are connected in series between the node IN1 and the node IN2. Then, the transistors N11 and N13 are connected to the node IN1, and the transistors N16 and N18 are connected to the node IN2.
  • the gates of adjacent transistors included in the two capacitive structures connected between the node IN1 and the node IN2 can be separated by a small distance between nanosheets. Therefore, the size of the capacitive element in the Y direction can be reduced.
  • a layout structure of a capacitive element having a high withstand voltage using a fork sheet FET can be realized in a small area, which is useful for, for example, miniaturization of a semiconductor chip and improvement of the degree of integration.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

フォークシートFETを用いた容量素子のレイアウト構造を提供する。容量素子を構成する容量構造は、X方向に延びるナノシート(21a)、およびY方向に延びナノシート(21a)の外周を囲うゲート配線(31a)を有するトランジスタ(N11)と、X方向に延びるナノシート(21c)、およびY方向に延びナノシート(21c)の外周を囲うゲート配線(32a)を有するトランジスタ(N12)とを備える。ナノシート(21a)のナノシート(21c)側の面はゲート配線(31a)から露出し、ナノシート(21c)のナノシート(21a)側の面はゲート配線(32a)から露出している。

Description

半導体集積回路装置
 本開示は、ナノシート(ナノワイヤ)FET(Field Effect Transistor)を備えた半導体集積回路装置に関する。
 半導体集積回路装置では、プロセスの微細化に伴い、トランジスタの耐圧は低下傾向にある。一方、装置外部との間の信号入出力を行うインターフェース部は、その規格等によって、トランジスタの耐圧を超える高電圧を要するものがある。
 また、半導体集積回路を構成する基本的な素子の1つに、容量素子がある。半導体集積回路装置では、容量素子を、トランジスタを用いて構成する場合がある。
 また、LSIの基本構成要素であるトランジスタは、ゲート長の縮小(スケーリング)により、集積度の向上、動作電圧の低減、および動作速度の向上を実現してきた。しかし近年、過度なスケーリングによるオフ電流と、それによる消費電力の著しい増大が問題となっている。この問題を解決するため、トランジスタ構造を従来の平面型から立体型に変更した立体構造トランジスタが盛んに研究されている。その1つとして、ナノシート(ナノワイヤ)FETが注目されている。
 特許文献1では、トランジスタを直列に接続することによって構成した高耐圧の容量素子が開示されている。
 非特許文献1,2では、ゲート電極をフォーク形状としたナノシートFETを用いたSRAMメモリセルおよびスタンダードセルのレイアウトが開示されている。
特開平8-306870号公報
P. Weckx et al., "Stacked nanosheet fork architecture for SRAM design and device co-optimization toward 3nm", 2017 IEEE International Electron Devices Meeting (IEDM), December 2017, IEDM17-505~508 P. Weckx et al., "Novel forksheet device architecture as ultimate logic scaling device towards 2nm", 2019 IEEE International Electron Devices Meeting (IEDM), December 2019, IEDM19-871~874
 本明細書では、ゲート電極をフォーク形状としたナノシートFETのことを、非特許文献1の記載にならい、フォークシート(fork sheet)FETと呼ぶことにする。
 ところが、現在までにフォークシートFETを用いた高耐圧の容量のレイアウト構造の検討はなされていない。
 本開示は、フォークシートFETを用いた、高耐圧を有する容量素子のレイアウト構造を提供することを目的とする。
 本開示の第1態様では、容量素子を備えた半導体集積回路装置であって、前記容量素子は、第1ノードと第2ノードとの間に設けられた、少なくとも1つの容量構造を備え、前記容量構造は、第1方向に延びている第1ナノシートと、前記第1方向と垂直をなす第2方向に延びており、前記第1ナノシートの前記第2方向、および、前記第1および第2方向と垂直をなす第3方向における外周を囲うように形成された第1ゲート配線とを有する、第1トランジスタと、前記第1方向に延びている第2ナノシートと、前記第2方向に延びており、前記第2ナノシートの前記第2および第3方向における外周を囲うように形成された第2ゲート配線とを有する、第2トランジスタとを備え、前記第1および第2トランジスタは、前記第2方向において隣接しており、かつ、少なくともいずれか一方のノードが、互いに接続されており、前記第1ナノシートと前記第2ナノシートとは前記第2方向において対向しており、かつ、前記第1ナノシートの前記第2ナノシート側の面は前記第1ゲート配線から露出しており、前記第2ナノシートの前記第1ナノシート側の面は前記第2ゲート配線から露出している。
 この態様によると、容量素子を構成する容量構造は、第1方向に延びている第1ナノシート、および、第2方向に延びており第1ナノシートの外周を囲う第1ゲート配線を有する第1トランジスタと、第1方向に延びている第2ナノシート、および、第2方向に延びており第2ナノシートの外周を囲う第2ゲート配線を有する第2トランジスタとを備える。第1および第2トランジスタは、第2方向において隣接しており、少なくともいずれか一方のノードが互いに接続されている。そして、第1ナノシートと第2ナノシートとは第2方向において対向しており、第1ナノシートの第2ナノシート側の面は第1ゲート配線から露出しており、第2ナノシートの第1ナノシート側の面は第2ゲート配線から露出している。これにより、第1トランジスタのゲートと第2トランジスタのゲートとを分離するために必要となる、第1ナノシートと第2ナノシートとの間の距離を、小さくすることができる。したがって、容量素子の第2方向におけるサイズを縮小することができるので、フォークシートFETを用いた高耐圧を有する容量素子のレイアウト構造を、小面積で実現することができる。
 本開示の第2態様では、容量素子を備えた半導体集積回路装置であって、前記容量素子は、第1ノードと第2ノードとの間に設けられた、少なくとも1つの容量構造を備え、前記容量構造は、第1方向に延びている第1ナノシートと、前記第1方向と垂直をなす第2方向に延びており、前記第1ナノシートの前記第2方向、および、前記第1および第2方向と垂直をなす第3方向における外周を囲うように形成された第1ゲート配線とを有する、第1トランジスタと、前記第1方向に延びている第2ナノシートと、前記第2方向に延びており、前記第2ナノシートの前記第2および第3方向における外周を囲うように形成された第2ゲート配線とを有する、第2トランジスタとを備え、前記第1および第2トランジスタは、前記第1方向において隣接しており、かつ、一方のノードが、互いに接続されており、前記第1ナノシートの前記第2方向における第1側の面は前記第1ゲート配線から露出しており、前記第2ナノシートの前記第2方向における前記第1側の面は前記第2ゲート配線から露出している。
 この態様によると、容量素子を構成する容量構造は、第1方向に延びている第1ナノシート、および、第2方向に延びており第1ナノシートの外周を囲う第1ゲート配線を有する第1トランジスタと、第1方向に延びている第2ナノシート、および、第2方向に延びており第2ナノシートの外周を囲う第2ゲート配線を有する第2トランジスタとを備える。第1および第2トランジスタは、第1方向において隣接しており、一方のノードが互いに接続されている。そして、第1ナノシートの第2方向における第1側の面は第1ゲート配線から露出しており、第2ナノシートの第2方向における、同じ第1側の面は第2ゲート配線から露出している。これにより、当該容量構造と、第2方向の第1側に隣接する他の容量構造との間の距離を、小さくすることができる。したがって、容量素子の第2方向におけるサイズを縮小することができるので、フォークシートFETを用いた高耐圧を有する容量素子のレイアウト構造を、小面積で実現することができる。
 本開示の第3態様では、容量素子を備えた半導体集積回路装置であって、前記容量素子は、第1ノードと第2ノードとの間に設けられた、少なくとも1つの容量構造を備え、前記容量構造は、第1方向に延びている第1ナノシートを有する第1トランジスタと、前記第1方向に延びている第2ナノシートを有する第2トランジスタとを備え、前記第1および第2トランジスタは、前記第1方向と垂直をなす第2方向において隣接しており、前記第2方向に延びている第1ゲート配線が、前記第1および第2ナノシートの前記第2方向、および、前記第1および第2方向と垂直をなす第3方向における外周を囲うように、形成されており、前記第2ナノシートの、前記第2方向における前記第1ナノシートと反対側の面は、前記第1ゲート配線から露出している。
 この態様によると、容量素子を構成する容量構造は、第1方向に延びている第1ナノシートを有する第1トランジスタと、第1方向に延びている第2ナノシートを有する第2トランジスタとを備える。第1および第2トランジスタは、第2方向において隣接しており、第2方向に延びている第1ゲート配線が、第1および第2ナノシートの外周を囲うように形成されている。そして、第2ナノシートの第2方向における第1ナノシートと反対側の面は、第1ゲート配線から露出している。これにより、当該容量構造と、第2方向における第2トランジスタ側に隣接する他の容量構造との間の距離を、小さくすることができる。したがって、容量素子の第2方向におけるサイズを縮小することができるので、フォークシートFETを用いた高耐圧を有する容量素子のレイアウト構造を、小面積で実現することができる。
 本開示によると、フォークシートFETを用いて、高耐圧を有する容量素子を実現することができる。
第1実施形態に係る容量素子のレイアウト構造の例を示す平面図 (a),(b)は図1の平面視縦方向における断面図 図1および図2に示す容量素子の回路図 第1実施形態の変形例に係る容量素子のレイアウト構造の例を示す平面図 図4に示す容量素子の回路図 第2実施形態に係る容量素子のレイアウト構造の例を示す平面図 図6の平面視縦方向における断面図 図6および図7に示す容量素子の回路図 第3実施形態に係る容量素子のレイアウト構造の例を示す平面図 図9に示す容量素子の回路図 第3実施形態の変形例に係る容量素子のレイアウト構造の例を示す平面図 図11に示す容量素子の回路図 フォークシートFETの基本構造を示す図であり、(a)は平面図、(b)は断面図
 以下、実施の形態について、図面を参照して説明する。以下の実施の形態では、半導体集積回路装置は、ナノシートFET(Field Effect Transistor)を備えるものとする。ナノシートFETとは、電流が流れる薄いシート(ナノシート)を用いたFETである。ナノシートは例えばシリコンによって形成されている。そして、半導体集積回路装置において、ナノシートFETの一部は、ゲート電極をフォーク形状としたフォークシートFETであるものとする。
 また、本開示では、ナノシートの両端に形成されており、ナノシートFETのソースまたはドレインとなる端子を構成する半導体層部のことを「パッド」という。
 まず、フォークシートFETの基本構造について、説明する。
 図13はフォークシートFETの基本構造を示す図であり、(a)は平面図、(b)は(a)の線Y-Y’における断面図である。図13の基本構造では、2つのトランジスタTR1,TR2が、Y方向において間隔Sを空けて並べて配置されている。トランジスタTR1のゲートとなるゲート配線531と、トランジスタTR2のゲートとなるゲート配線532は、ともにY方向に延びており、かつ、X方向において同じ位置に配置されている。
 トランジスタTR1のチャネル領域となるチャネル部521と、トランジスタTR2のチャネル領域となるチャネル部526は、ナノシートで構成されている。図13では、チャネル部521,526はそれぞれ、平面視で重なる3枚のシート構造からなるナノシートによって構成されている。チャネル部521のX方向における両側に、トランジスタTR1のソース領域またはドレイン領域となるパッド522a,522bが形成されている。チャネル部526のX方向における両側に、トランジスタTR2のソース領域またはドレイン領域となるパッド527a,527bが形成されている。パッド522a,522bは、チャネル部521を構成するナノシートからのエピタキシャル成長によって、形成される。パッド527a,527bは、チャネル部526を構成するナノシートからのエピタキシャル成長によって、形成される。
 ゲート配線531は、ナノシートで構成されたチャネル部521のY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ただし、チャネル部521を構成するナノシートは、Y方向におけるトランジスタTR2の側の面が、ゲート配線531によって覆われておらず、ゲート配線531から露出している。すなわち、図13(b)の断面図では、ゲート配線531は、チャネル部521を構成するナノシートの図面右側は覆っておらず、図面上側、左側および下側を覆っている。ゲート配線531は、チャネル部521を構成するナノシートに対して、Y方向におけるトランジスタTR2の反対側に長さOLだけオーバーラップしている。
 ゲート配線532は、ナノシートで構成されたチャネル部526のY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ただし、チャネル部526を構成するナノシートは、Y方向におけるトランジスタTR1の側の面は、ゲート配線532によって覆われておらず、ゲート配線532から露出している。すなわち、図13(b)の断面図では、ゲート配線532は、チャネル部526を構成するナノシートの図面左側は覆っておらず、図面上側、右側および下側を覆っている。ゲート配線532は、チャネル部526を構成するナノシートに対して、Y方向におけるトランジスタTR1の反対側に長さOLだけオーバーラップしている。
 各ナノシートの幅(Y方向におけるサイズ)をW、高さ(Z方向のサイズ)をHとすると、ゲート実効幅Weffは、
 Weff=2×W+H
となる。トランジスタTR1,TR2のチャネル部521,526は3枚のナノシートによって構成されているので、トランジスタTR1,TR2のゲート実効幅は、
 3×(2×W+H)
となる。
 図13の構造によると、ゲート配線531は、チャネル部521を構成するナノシートに対して、Y方向におけるトランジスタTR2の側にオーバーラップしていない。また、ゲート配線532は、チャネル部526を構成するナノシートに対して、Y方向におけるトランジスタTR1の側にオーバーラップしていない。これにより、トランジスタTR1,TR2をより近づけることが可能になり、小面積化が実現できる。
 なお、トランジスタのチャネル部を構成するナノシートの枚数は、3枚に限られるものではない。すなわち、ナノシートは、1枚のシート構造からなるものであってよいし、平面視で重なる複数枚のシート構造からなるものであってもよい。また、図13(b)では、ナノシートの断面形状は長方形として図示しているが、これに限られるものではなく、ナノシートの断面形状は、例えば、正方形、円形、楕円形等であってもよい。
 また、半導体集積回路装置内には、フォークシートFETと、ゲート配線がナノシートの全周囲を囲んでいるナノシートFETとが、混在していてもかまわない。
 本明細書では、「VDD」「VSS」は、電源電圧または電源自体を示す。また、本明細書において、「同一配線幅」等のように、幅等が同じであることを意味する表現は、製造上のばらつき範囲を含んでいるものとする。
 また、本明細書では、トランジスタのソースおよびドレインのことを、適宜、トランジスタの「ノード」と称する。すなわち、トランジスタの一方のノードとは、トランジスタのソースまたはドレインのことを指し、トランジスタの両方のノードとは、トランジスタのソースおよびドレインのことを指す。
 (第1実施形態)
 図1および図2は第1実施形態に係る容量素子のレイアウト構造の例を示す図であり、図1は平面図、図2(a),(b)は平面視縦方向における断面図である。図2(a)は線Y1-Y1’の断面、図2(b)は線Y2-Y2’の断面である。
 なお、以下の説明では、図1等の平面図において、図面横方向をX方向(第1方向に相当)、図面縦方向をY方向(第2方向に相当)、基板面に垂直な方向をZ方向(第3方向に相当)としている。
 図3は図1および図2に示す容量素子の構成を示す回路図である。本実施形態に係る半導体集積回路装置は、図3に示す容量素子を備える。ノードIN1,IN2は、例えば、信号が与えられる。あるいは、ノードIN1,IN2は、電源線と接続される。この場合は、容量素子は電源間容量として機能する。以降に示す容量素子に関しても、同様である。
 図3の容量素子は2個のノードIN1に接続されている。一方のノードIN1とノードIN2との間に、N導電型のトランジスタN11,N12,N13,N14が配置されている。トランジスタN11,N13のゲートはノードIN1と接続されている。トランジスタN12,N14のゲートはノードIN2と接続されている。トランジスタN11,N12は両方のノードが互いに接続されている。トランジスタN13,N14は両方のノードが互いに接続されている。トランジスタN11,N13は一方のノードを共有しており、トランジスタN12,N14は一方のノードを共有している。
 また、他方のノードIN1とノードIN2との間に、N導電型のトランジスタN15,N16,N17,N18が配置されている。トランジスタN15~N18の接続関係は、トランジスタN11~N14の接続関係と同様であり、ここではその詳細は省略する。
 図3の構成では、トランジスタN11~N14,N15~N18に印加される電圧は、ノードIN1-IN2間の電圧の1/2となる。このため、この容量素子は、トランジスタN11~N14,N15~N18の耐圧よりも高い電圧が印加可能になる。
 図3の容量素子は、ソースおよびドレインが互いに接続された2個のトランジスタからなる容量構造が、ノードIN1とノードIN2との間に、4個設けられた構成になっている。すなわち、図3の容量素子は、トランジスタN11,N12からなる容量構造、トランジスタN13,N14からなる容量構造、トランジスタN15,N16からなる容量構造、および、トランジスタN17,N18からなる容量構造を備えている。
 図1に示すように、N型トランジスタN11~N18がX方向に2列、Y方向に4列、並んでいる。すなわち、トランジスタN11,N13がX方向に並び、トランジスタN12,N14がX方向に並び、トランジスタN15,N17がX方向に並び、トランジスタN16,N18がX方向に並んでいる。トランジスタN11,N12,N15,N16はY方向に1列に並んでいる。トランジスタN13,N14,N17,N18はY方向に1列に並んでいる。
 トランジスタN11~N14は、チャネル部として、平面視で重なる3枚のシート構造からなるナノシート21a,21c,21b,21dをそれぞれ有する。トランジスタN15~N18は、チャネル部として、平面視で重なる3枚のシート構造からなるナノシート26a,26c,26b,26dをそれぞれ有する。すなわち、トランジスタN11~N14,N15~N18はナノシートFETである。
 図1に示すように、ナノシート21aの図面左側、ナノシート21a,21bの間、ナノシート21bの図面右側に、3枚のシート構造に接続された一体構造の半導体層からなるパッド22a,22b,22cがそれぞれ形成されている。パッド22a,22bは、トランジスタN11のソース領域およびドレイン領域となる。パッド22b,22cは、トランジスタN13のソース領域およびドレイン領域となる。ナノシート21cの図面左側、ナノシート21c,21dの間、ナノシート21dの図面右側に、3枚のシート構造に接続された一体構造の半導体層からなるパッド22d,22e,22fがそれぞれ形成されている。パッド22d,22eは、トランジスタN12のソース領域およびドレイン領域となる。パッド22e,22fは、トランジスタN14のソース領域およびドレイン領域となる。
 ナノシート26aの図面左側、ナノシート26a,26bの間、ナノシート26bの図面右側に、3枚のシート構造に接続された一体構造の半導体層からなるパッド27a,27b,27cがそれぞれ形成されている。パッド27a,27bは、トランジスタN15のソース領域およびドレイン領域となる。パッド27b,27cは、トランジスタN17のソース領域およびドレイン領域となる。ナノシート26cの図面左側、ナノシート26c,26dの間、ナノシート26dの図面右側に、3枚のシート構造に接続された一体構造の半導体層からなるパッド27d,27e,27fがそれぞれ形成されている。パッド27d,27eは、トランジスタN16のソース領域およびドレイン領域となる。パッド27e,27fは、トランジスタN18のソース領域およびドレイン領域となる。
 トランジスタN11,N13の領域に、Y方向に並列に延びるゲート配線31a,31bが形成されている。トランジスタN12,N14,N15,N17の領域に、Y方向に並列に延びるゲート配線32a,32bが形成されている。トランジスタN16,N18の領域に、Y方向に並列に延びるゲート配線33a,33bが形成されている。ゲート配線31a,32a,33aはY方向に1列に並んでいる。ゲート配線31b,32b,33bはY方向に1列に並んでいる。また、ゲート配線31a,31bのX方向における両側に、ダミーゲート配線36a,36bが形成されている。ゲート配線32a,32bのX方向における両側に、ダミーゲート配線36c,36dが形成されている。ゲート配線33a,33bのX方向における両側に、ダミーゲート配線36e,36fが形成されている。
 ゲート配線31aは、トランジスタN11のナノシート21aのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線31aは、トランジスタN11のゲートとなる。ゲート配線31bは、トランジスタN13のナノシート21bのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線31bは、トランジスタN13のゲートとなる。
 ゲート配線32aは、トランジスタN12のナノシート21cおよびトランジスタN15のナノシート26aのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線32aは、トランジスタN12,N15のゲートとなる。ゲート配線32bは、トランジスタN14のナノシート21dおよびトランジスタN17のナノシート26bのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線32bは、トランジスタN14,N17のゲートとなる。
 ゲート配線33aは、トランジスタN16のナノシート26cのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線33aは、トランジスタN16のゲートとなる。ゲート配線33bは、トランジスタN18のナノシート26dのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線33bは、トランジスタN18のゲートとなる。
 ローカル配線層に、Y方向に並列に延びるローカル配線41a,41b,41c、および、Y方向に並列に延びるローカル配線46a,46b,46cが形成されている。ローカル配線41aは、パッド22a,22dと接続されている。ローカル配線41bは、パッド22b,22eと接続されている。ローカル配線41cは、パッド22c,22fと接続されている。ローカル配線46aは、パッド27a,27dと接続されている。ローカル配線46bは、パッド27b,27eと接続されている。ローカル配線46cは、パッド27c,27fと接続されている。
 M1配線層において、X方向に延びるメタル配線51,52,53が形成されてている。メタル配線51,53が、容量素子のノードIN1に対応しており、メタル配線52が、容量素子のノードIN2に対応している。メタル配線51は、ゲート配線31a,31bとビアを介して接続されている。メタル配線52は、ゲート配線32a,32bとビアを介して接続されている。メタル配線53は、ゲート配線33a,33bとビアを介して接続されている。
 ここで、ナノシート21aとナノシート21cとはY方向において対向している。ナノシート21aは、Y方向におけるナノシート21c側の面が、ゲート配線31aによって覆われておらず、ゲート配線31aから露出している。ナノシート21cは、Y方向におけるナノシート21a側の面が、ゲート配線32aによって覆われておらず、ゲート配線32aから露出している。同様に、ナノシート21bとナノシート21dとはY方向において対向している。ナノシート21bは、Y方向におけるナノシート21d側の面が、ゲート配線31bによって覆われておらず、ゲート配線31bから露出している。ナノシート21dは、Y方向におけるナノシート21b側の面が、ゲート配線32bによって覆われておらず、ゲート配線32bから露出している。
 また、ナノシート26aとナノシート26cとはY方向において対向している。ナノシート26aは、Y方向におけるナノシート26c側の面が、ゲート配線32aによって覆われておらず、ゲート配線32aから露出している。ナノシート26cは、Y方向におけるナノシート26a側の面が、ゲート配線33aによって覆われておらず、ゲート配線33aから露出している。同様に、ナノシート26bとナノシート26dとはY方向において対向している。ナノシート26bは、Y方向におけるナノシート26d側の面が、ゲート配線32bによって覆われておらず、ゲート配線32bから露出している。ナノシート26dは、Y方向におけるナノシート26b側の面が、ゲート配線33bによって覆われておらず、ゲート配線33bから露出している。
 トランジスタN11,N13のゲートとトランジスタN12,N14のゲートには、互いに異なる信号が与えられる。このため、ゲート配線31aとゲート配線32aとは分離する必要があり、ゲート配線31bとゲート配線32bとは分離する必要がある。一方、上のような構成によって、ナノシート21a,21c間の距離、および、ナノシート21b,21d間の距離を小さくすることができる(d1<d2)。同様に、トランジスタN15,N17のゲートとトランジスタN16,N18のゲートには、互いに異なる信号が与えられる。このため、ゲート配線32aとゲート配線33aとは分離する必要があり、ゲート配線32bとゲート配線33bとは分離する必要がある。一方、上のような構成によって、ナノシート26a,26c間の距離、および、ナノシート26b,26d間の距離を小さくすることができる。
 したがって、ノードIN1,IN2間に配置されるトランジスタのゲートを、小さなナノシート間距離によって分離することができるので、容量素子のY方向におけるサイズを縮小することができる。
 以上のように本実施形態によると、容量素子を構成する容量構造は、例えば、X方向に延びているナノシート21a、および、Y方向に延びておりナノシート21aの外周を囲うゲート配線31aを有するトランジスタN11と、X方向に延びているナノシート21c、および、Y方向に延びておりナノシート21cの外周を囲うゲート配線32aを有するトランジスタN12とを備える。トランジスタN11,N12は、Y方向において隣接しており、両方のノードが互いに接続されている。ナノシート21aとナノシート21cとはY方向において対向しており、ナノシート21aのナノシート21cの面はゲート配線31aから露出しており、ナノシート21cのナノシート21a側の面はゲート配線32aから露出している。これにより、トランジスタN11のゲートとトランジスタN12のゲートとを分離するために必要となる、ナノシート21aとナノシート21cとの間の距離を小さくすることができる。したがって、容量素子のY方向におけるサイズを縮小することができるので、フォークシートFETを用いた高耐圧を有する容量素子のレイアウト構造を、小面積で実現することができる。
 なお、上述の実施形態では、容量構造を構成する2個のトランジスタは、両方のノードすなわちソースおよびドレインの両方が接続されているが、いずれか一方のノードだけが接続されていてもよい。すなわち、容量構造を構成する2個のトランジスタは、少なくともいずれか一方のノードが、接続されていればよい。
 また、上述の実施形態では、X方向に並ぶトランジスタは、一方のノードを共有しているが、ノードを共有していなくてもよい。
 また、上述の実施形態では、各容量構造は、N導電型のトランジスタによって構成されているが、P導電型のトランジスタによって構成されていてもよい。また、容量素子は、N導電型のトランジスタからなる容量構造と、P導電型のトランジスタからなる容量構造との両方を備えていてもよい。例えば、図3の容量素子において、上側のN導電型トランジスタN11~N14をP導電型のトランジスタに置き換えてもよい。
 また、上述の実施形態では、容量構造がX方向において2個並んでいるが、3個以上並んでいてもよい。また、Y方向において、容量構造をさらに並べてもかまわない。
 (変形例)
 図4は第1実施形態の変形例に係る容量素子のレイアウト構造の例を示す平面図である。図4のレイアウト構造は、図1の容量素子を2個、Y方向に並べて配置したものに相当する。すなわち、容量構造1A,1Bはともに、図1の容量素子と同様の構成である。
 図5は図4に示す容量素子の構成を示す回路図である。図5の容量素子は2個のノードIN1に接続されており、一方のノードIN1とノードIN2との間にトランジスタN11~N18が配置されており、ノードIN2と他方のノードIN1との間にトランジスタN21~N28が配置されている。
 トランジスタN11,N13のゲートはノードIN1と接続されている。トランジスタN12,N14,N15,N17のゲートは互いに接続されている。トランジスタN16,N18のゲートはノードIN2と接続されている。トランジスタN11,N12は両方のノードが互いに接続されている。トランジスタN13,N14は両方のノードが互いに接続されている。トランジスタN15,N16は両方のノードが互いに接続されている。トランジスタN17,N18は両方のノードが互いに接続されている。トランジスタN11,N13は一方のノードを共有しており、トランジスタN12,N14は一方のノードを共有している。トランジスタN15,N17は一方のノードを共有しており、トランジスタN16,N18は一方のノードを共有している。
 トランジスタN21,N23のゲートはノードIN2と接続されている。トランジスタN22,N24,N25,N27のゲートは互いに接続されている。トランジスタN26,N28のゲートはノードIN1と接続されている。トランジスタN21,N22は両方のノードが互いに接続されている。トランジスタN23,N24は両方のノードが互いに接続されている。トランジスタN25,N26は両方のノードが互いに接続されている。トランジスタN27,N28は両方のノードが互いに接続されている。トランジスタN21,N23は一方のノードを共有しており、トランジスタN22,N24は一方のノードを共有している。トランジスタN25,N27は一方のノードを共有しており、トランジスタN26,N28は一方のノードを共有している。
 図5の構成では、ノードIN1とノードIN2との間に、トランジスタが4段、直列に接続されている。すなわち、トランジスタN11~N18,N21~N28に印加される電圧は、ノードIN1-IN2間の電圧の1/4となる。このため、この容量素子は、トランジスタN11~N18,N21~N28の耐圧よりも高い電圧が印加可能になる。
 図4のレイアウト構造では、M1配線層において、X方向に延びるメタル配線51,52,53,54,55が形成されている。メタル配線51,55が、容量素子のノードIN1に対応しており、メタル配線53が、容量素子のノードIN2に対応している。
 容量構造1A,1Bはともに、図1の容量素子と同様の構成である。このため、上述の実施形態と同様に、ノードIN1,IN2間に配置されるトランジスタのゲートを、小さなナノシート間距離によって分離することができるので、容量素子のY方向におけるサイズを縮小することができる。
 なお、ノードIN1とノードIN2との間に直列接続するトランジスタの段数は、4段に限られるものではなく、4段より多い段数としてもよい。また、トランジスタを3段など奇数段、直列に接続した構成とすることも可能である。この場合は、ノードIN1,IN2の一方が、トランジスタのゲートではなく、ノードに接続される。
 (第2実施形態)
 図6および図7は第2実施形態に係る容量素子のレイアウト構造の例を示す図であり、図6は平面図、図7は平面視縦方向における断面図である。図7は線Y3-Y3‘の断面である。
 図8は図6および図7に示す容量素子の構成を示す回路図である。ノードIN1とノードIN2との間に、N導電型のトランジスタN11,N12,N13,N14,N15,N16,N17,N18が配置されている。トランジスタN11,N13,N15,N17のゲートはノードIN1と接続されている。トランジスタN12,N14,N16,N18のゲートはノードIN2と接続されている。トランジスタN11,N15は両方のノードが互いに接続されている。トランジスタN12,N16は両方のノードが互いに接続されている。トランジスタN13,N17は両方のノードが互いに接続されている。トランジスタN14,N18は両方のノードが互いに接続されている。トランジスタN11,N12は一方のノードを共有しており、トランジスタN12,N13は一方のノードを共有しており、トランジスタN13,N14は一方のノードを共有している。トランジスタN15,N16は一方のノードを共有しており、トランジスタN16,N17は一方のノードを共有しており、トランジスタN17,N18は一方のノードを共有している。
 図8の容量素子は、一方のノードが互いに接続された2個のトランジスタからなる容量構造が、ノードIN1とノードIN2との間に、4個設けられた構成になっている。すなわち、図8の容量素子は、トランジスタN11,N12からなる容量構造、トランジスタN13,N14からなる容量構造、トランジスタN15,N16からなる容量構造、および、トランジスタN17,N18からなる容量構造を備えている。
 図6に示すように、N型トランジスタN11~N18がX方向に4列、Y方向に2列、並んでいる。すなわち、トランジスタN11,N12,N13,N14がX方向に並び、トランジスタN15,N16,N17,N18がX方向に並んでいる。トランジスタN11,N15がY方向に並び、トランジスタN12,N16がY方向に並び、トランジスタN13,N17がY方向に並び、トランジスタN14,N18がY方向に並んでいる。
 トランジスタN11~N14は、チャネル部として、平面視で重なる3枚のシート構造からなるナノシート121a,121b,121c,121dをそれぞれ有する。トランジスタN15~N18は、チャネル部として、平面視で重なる3枚のシート構造からなるナノシート126a,126b,126c,126dをそれぞれ有する。すなわち、トランジスタN11~N14,N15~N18はナノシートFETである。
 図6に示すように、ナノシート121aの図面左側、ナノシート121a,121bの間、ナノシート121b,121cの間、ナノシート121c,121dの間、ナノシート121dの図面右側に、3枚のシート構造に接続された一体構造の半導体層からなるパッド122a,122b,122c,122d,122eがそれぞれ形成されている。パッド122a,122bは、トランジスタN11のソース領域およびドレイン領域となる。パッド122b,122cは、トランジスタN12のソース領域およびドレイン領域となる。パッド122c,122dは、トランジスタN13のソース領域およびドレイン領域となる。パッド122d,122eは、トランジスタN14のソース領域およびドレイン領域となる。
 ナノシート126aの図面左側、ナノシート126a,126bの間、ナノシート126b,126cの間、ナノシート126c,126dの間、ナノシート126dの図面右側に、3枚のシート構造に接続された一体構造の半導体層からなるパッド127a,127b,127c,127d,127eがそれぞれ形成されている。パッド127a,127bは、トランジスタN15のソース領域およびドレイン領域となる。パッド127b,127cは、トランジスタN16のソース領域およびドレイン領域となる。パッド127c,127dは、トランジスタN17のソース領域およびドレイン領域となる。パッド127d,127eは、トランジスタN18のソース領域およびドレイン領域となる。
 トランジスタN11~N14の領域に、Y方向に並列に延びるゲート配線131a,131b,131c,131dが形成されている。トランジスタN15~N18の領域に、Y方向に延びるゲート配線132a,132b,132c,132dが形成されている。ゲート配線131a,132aはY方向に1列に並んでいる。ゲート配線131b,132bはY方向に1列に並んでいる。ゲート配線131c,132cはY方向に1列に並んでいる。ゲート配線131d,132dはY方向に1列に並んでいる。また、ゲート配線131a~131d,132a~132dのX方向における両側に、ダミーゲート配線136a,136bが形成されている。
 ゲート配線131aは、トランジスタN11のナノシート121aのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線131aは、トランジスタN11のゲートとなる。同様に、ゲート配線131b,131c,131dは、それぞれ、トランジスタN12,N13,N14のナノシート121b,121c,121dのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線131b,131c,131dは、それぞれ、トランジスタN12,N13,N14のゲートとなる。
 ゲート配線132aは、トランジスタN15のナノシート126aのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線132aは、トランジスタN15のゲートとなる。同様に、ゲート配線132b,132c,132dは、それぞれ、トランジスタN16,N17,N18のナノシート126b,126c,126dのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線132b,132c,132dは、それぞれ、トランジスタN16,N17,N18のゲートとなる。
 Y方向に並ぶゲート配線131a,132aは、ゲート配線131aとゲート配線132aとの間に形成されたゲート接続部としてのブリッジ部133aを介して、接続されている。Y方向に並ぶゲート配線131b,132bは、ゲート配線131bとゲート配線132bとの間に形成されたブリッジ部133bを介して、接続されている。Y方向に並ぶゲート配線131c,132cは、ゲート配線131cとゲート配線132cとの間に形成されたブリッジ部133cを介して、接続されている。Y方向に並ぶゲート配線131d,132dは、ゲート配線131dとゲート配線132dとの間に形成されたブリッジ部133dを介して、接続されている。
 ローカル配線層に、Y方向に延びるローカル配線141a,141b,141c,141d,141eが形成されている。ローカル配線141aは、パッド122a,127aと接続されている。ローカル配線141bは、パッド122b,127bと接続されている。ローカル配線141cは、パッド122c,127cと接続されている。ローカル配線141dは、パッド122d,127dと接続されている。ローカル配線141eは、パッド122e,127eと接続されている。
 M1配線層において、X方向に延びるメタル配線151,152が形成されている。メタル配線152が、容量素子のノードIN1に対応しており、メタル配線151が、容量素子のノードIN2に対応している。メタル配線151は、ゲート配線131b,131dとビアを介して接続されている。メタル配線152は、ゲート配線132a,132cとビアを介して接続されている。
 ここで、ナノシート121aとナノシート126aとはY方向において対向している。ナノシート121aは、Y方向におけるナノシート126a側の面が、ゲート配線131aによって覆われておらず、ゲート配線131aから露出している。ナノシート126aは、Y方向におけるナノシート121a側の面が、ゲート配線132aによって覆われておらず、ゲート配線132aから露出している。
 同様に、ナノシート121bとナノシート126bとはY方向において対向している。ナノシート121cとナノシート126cとはY方向において対向している。ナノシート121dとナノシート126dとはY方向において対向している。そして、ナノシート121b,121c,121dは、それぞれ、Y方向におけるナノシート126b,126c,126d側の面が、ゲート配線131b,131c,131dによって覆われておらず、ゲート配線131b,131c,131dから露出している。ナノシート126b,126c,126dは、Y方向におけるナノシート121b,121c,121d側の面が、ゲート配線132b,132c,132dによって覆われておらず、ゲート配線132b,132c,132dから露出している。
 上のような構成によって、トランジスタN11~N14とトランジスタN15~N18との間の距離を小さくすることができる(d1<d2)。したがって、容量素子のY方向におけるサイズを縮小することができる。
 以上のように本実施形態によると、容量素子を構成する容量構造は、例えば、X方向に延びているナノシート121a、および、Y方向に延びておりナノシート121aの外周を囲うゲート配線131aを有するトランジスタN11と、X方向に延びているナノシート121b、および、Y方向に延びておりナノシート121bの外周を囲うゲート配線131bを有するトランジスタN12とを備える。トランジスタN11,N12は、X方向において隣接しており、一方のノードが互いに接続されている。そして、ナノシート121aのY方向における第1側(ここでは図面下側)の面はゲート配線131aから露出しており、ナノシート121bのY方向における、同じ第1側(ここでは図面下側)の面はゲート配線131bから露出している。これにより、当該容量構造と、Y方向の第1側に隣接するトランジスタN15,N16からなる容量構造との間の距離を、小さくすることができる。したがって、容量素子のY方向におけるサイズを縮小することができる。
 また、トランジスタN15,N16からなる容量構造では、ナノシート126aのY方向における第1側(ここでは図面上側)の面はゲート配線132aから露出しており、ナノシート126bのY方向における、同じ第1側(ここでは図面上側)の面はゲート配線132bから露出している。これにより、当該容量構造と、Y方向の第1側に隣接するトランジスタN11,N12からなる容量構造との間の距離を、小さくすることができる。したがって、容量素子のY方向におけるサイズを縮小することができる。
 なお、上述の実施形態では、各容量構造は、N導電型のトランジスタによって構成されているが、P導電型のトランジスタによって構成されていてもよい。また、容量素子は、N導電型のトランジスタからなる容量構造と、P導電型のトランジスタからなる容量構造との両方を備えていてもよい。
 また、上述の実施形態では、容量構造がX方向において2個並んでいるが、3個以上並んでいてもよい。また、Y方向においても、容量構造をさらに並べてもかまわない。
 (第3実施形態)
 図9は第3実施形態に係る容量素子のレイアウト構造の例を示す図である。図10は図9に示す容量素子の構成を示す回路図である。本実施形態に係る半導体集積回路装置は、図10に示す容量素子を備える。ノードIN1,IN2は、例えば、信号が与えられる。あるいは、ノードIN1,IN2は、電源線と接続される。この場合は、容量素子は電源間容量として機能する。
 図10の容量素子は2個のノードIN1に接続されている。一方のノードIN1とノードIN2との間に、N導電型のトランジスタN11,N12,N13,N14が配置されている。トランジスタN11,N13の両方のノードはノードIN1と接続されている。トランジスタN12,N14の両方のノードはノードIN2と接続されている。トランジスタN11,N12,N13,N14のゲートは互いに接続されている。
 ノードIN2と他方のノードIN1との間に、N導電型のトランジスタN15,N16,N17,N18が配置されている。トランジスタN15,N17の両方のノードはノードIN2と接続されている。トランジスタN16,N18の両方のノードはノードIN1と接続されている。トランジスタN15,N16,N17,N18のゲートは互いに接続されている。
 図10の構成では、トランジスタN11~N18に印加される電圧は、ノードIN1-IN2間の電圧の1/2となる。このため、この容量素子は、トランジスタN11~N18の耐圧よりも高い電圧が印加可能になる。
 図10の容量素子は、ゲートが互いに接続された2個のトランジスタからなる容量構造が、ノードIN1とノードIN2との間に、4個設けられた構成になっている。すなわち、図10の容量素子は、トランジスタN11,N12からなる容量構造、トランジスタN13,N14からなる容量構造、トランジスタN15,N16からなる容量構造、および、トランジスタN17,N18からなる容量構造を備えている。
 図9に示すように、N型トランジスタN11~N18がX方向に2列、Y方向に4列、並んでいる。すなわち、トランジスタN11,N13がX方向に並び、トランジスタN12,N14がX方向に並び、トランジスタN15,N17がX方向に並び、トランジスタN16,N18がX方向に並んでいる。トランジスタN11,N12,N15,N16はY方向に1列に並んでいる。トランジスタN13,N14,N17,N18はY方向に1列に並んでいる。
 トランジスタN11~N14は、チャネル部として、平面視で重なる3枚のシート構造からなるナノシート221a,221b,221c,221dをそれぞれ有する。トランジスタN15~N18は、チャネル部として、平面視で重なる3枚のシート構造からなるナノシート226a,226b,226c,226dをそれぞれ有する。すなわち、トランジスタN11~N14,N15~N18はナノシートFETである。
 図9に示すように、ナノシート221aの図面左側、ナノシート221a,221bの間、ナノシート221bの図面右側に、3枚のシート構造に接続された一体構造の半導体層からなるパッド222a,222b,222cがそれぞれ形成されている。パッド222a,222bは、トランジスタN11のソース領域およびドレイン領域となる。パッド222b,222cは、トランジスタN13のソース領域およびドレイン領域となる。ナノシート221cの図面左側、ナノシート221c,221dの間、ナノシート221dの図面右側に、3枚のシート構造に接続された一体構造の半導体層からなるパッド222d,222e,222fがそれぞれ形成されている。パッド222d,222eは、トランジスタN12のソース領域およびドレイン領域となる。パッド222e,222fは、トランジスタN14のソース領域およびドレイン領域となる。
 ナノシート226aの図面左側、ナノシート226a,226bの間、ナノシート226bの図面右側に、3枚のシート構造に接続された一体構造の半導体層からなるパッド227a,227b,227cがそれぞれ形成されている。パッド227a,227bは、トランジスタN15のソース領域およびドレイン領域となる。パッド227b,227cは、トランジスタN17のソース領域およびドレイン領域となる。ナノシート226cの図面左側、ナノシート226c,226dの間、ナノシート226dの図面右側に、3枚のシート構造に接続された一体構造の半導体層からなるパッド227d,227e,227fがそれぞれ形成されている。パッド227d,227eは、トランジスタN16のソース領域およびドレイン領域となる。パッド227e,227fは、トランジスタN18のソース領域およびドレイン領域となる。
 トランジスタN11~N14の領域に、Y方向に並列に延びるゲート配線231a,231bが形成されている。トランジスタN15~N18の領域に、Y方向に並列に延びるゲート配線232a,232bが形成されている。ゲート配線231a,232aはY方向に1列に並んでいる。ゲート配線231b,232bはY方向に1列に並んでいる。また、ゲート配線231a,231bのX方向における両側に、ダミーゲート配線236a,236bが形成されている。ゲート配線232a,232bのX方向における両側に、ダミーゲート配線236c,236dが形成されている。
 ゲート配線231aは、トランジスタN11のナノシート221aおよびトランジスタN12のナノシート221cのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線231aは、トランジスタN11,N12のゲートとなる。ゲート配線231bは、トランジスタN13のナノシート221bおよびトランジスタN14のナノシート221dのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線231bは、トランジスタN13,N14のゲートとなる。
 ゲート配線232aは、トランジスタN15のナノシート226aおよびトランジスタN16のナノシート226cのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線232aは、トランジスタN15,N16のゲートとなる。ゲート配線232bは、トランジスタN17のナノシート226bおよびトランジスタN18のナノシート226dのY方向およびZ方向における外周を、ゲート絶縁膜(図示せず)を介して囲んでいる。ゲート配線232bは、トランジスタN17,N18のゲートとなる。
 ローカル配線層に、Y方向に並列に延びるローカル配線241a,241b,241c、Y方向に並列に延びるローカル配線242a,242b,242c、および、Y方向に並列に延びるローカル配線243a,243b,243cが形成されている。ローカル配線241aは、パッド222aと接続されている。ローカル配線241bは、パッド222bと接続されている。ローカル配線241cは、パッド222cと接続されている。ローカル配線242aは、パッド222d,227aと接続されている。ローカル配線242bは、パッド222e,227bと接続されている。ローカル配線242cは、パッド222f,227cと接続されている。ローカル配線243aは、パッド227dと接続されている。ローカル配線243bは、パッド227eと接続されている。ローカル配線243cは、パッド227fと接続されている。
 M1配線層において、X方向に延びるメタル配線251,252,253,254,255が形成されている。メタル配線251,255が、容量素子のノードIN1に対応しており、メタル配線253が、容量素子のノードIN2に対応している。メタル配線251は、ローカル配線241a,241b,241cとビアを介して接続されている。メタル配線252は、ゲート配線231a,231bとビアを介して接続されている。メタル配線253は、ローカル配線242a,242b,242cとビアを介して接続されている。メタル配線254は、ゲート配線232a,232bとビアを介して接続されている。メタル配線255は、ローカル配線243a,243b,243cとビアを介して接続されている。
 ここで、ナノシート221cとナノシート226aとはY方向において対向している。ナノシート221cは、Y方向におけるナノシート226a側の面が、ゲート配線231aによって覆われておらず、ゲート配線231aから露出している。ナノシート226aは、Y方向におけるナノシート221c側の面が、ゲート配線232aによって覆われておらず、ゲート配線232aから露出している。同様に、ナノシート221dとナノシート226bとはY方向において対向している。ナノシート221dは、Y方向におけるナノシート226b側の面が、ゲート配線231bによって覆われておらず、ゲート配線231bから露出している。ナノシート226bは、Y方向におけるナノシート221d側の面が、ゲート配線232bによって覆われておらず、ゲート配線232bから露出している。
 また、ナノシート221aは、Y方向における図面上側の面が、ゲート配線231aによって覆われておらず、ゲート配線231aから露出している。ナノシート221bは、Y方向における図面上側の面が、ゲート配線231bによって覆われておらず、ゲート配線231bから露出している。ナノシート226cは、Y方向における図面下側の面が、ゲート配線232aによって覆われておらず、ゲート配線232aから露出している。ナノシート226dは、Y方向における図面下側の面が、ゲート配線232bによって覆われておらず、ゲート配線232bから露出している。
 図10の回路では、トランジスタN11~N14のゲートとトランジスタN15~N18のゲートとは分離されている。このため、ゲート配線231aとゲート配線232aとは分離する必要があり、ゲート配線231bとゲート配線232bとは分離する必要がある。一方、上のような構成によって、ナノシート221c,226a間の距離、および、ナノシート221d,226b間の距離を小さくすることができる(d1<d2)。
 したがって、ノードIN2に接続される2つの容量構造に含まれる、隣り合うトランジスタのゲートを、小さなナノシート間距離によって分離することができるので、容量素子のY方向におけるサイズを縮小することができる。
 以上のように本実施形態によると、容量素子を構成する容量構造は、例えば、X方向に延びているナノシート221aを有するトランジスタN11と、X方向に延びているナノシート221cを有するトランジスタN12とを備える。トランジスタN11,N12は、Y方向において隣接しており、ゲート配線231aがナノシート221a,221cの外周を囲うように形成されている。そして、ナノシート221cのY方向におけるナノシート221aと反対側の面は、ゲート配線231aから露出している。これにより、当該容量構造と、Y方向におけるトランジスタN12側に隣接するトランジスタN15,N16からなる容量構造との間の距離を、小さくすることができる。したがって、容量素子のY方向におけるサイズを縮小することができるので、フォークシートFETを用いた高耐圧を有する容量素子のレイアウト構造を、小面積で実現することができる。
 また、トランジスタN15,N16からなる容量構造では、ナノシート226aのY方向におけるナノシート226cと反対側の面は、ゲート配線232aから露出している。これにより、当該容量構造と、Y方向におけるトランジスタN15側に隣接するトランジスタN11,N12からなる容量構造との間の距離を、小さくすることができる。したがって、容量素子のY方向におけるサイズを縮小することができる。
 なお、上述の実施形態において、容量構造を構成する各トランジスタは、両方のノードすなわちソースおよびドレインの両方がノードIN1またはノードIN2に接続されているが、いずれか一方のノードだけが接続されていてもよい。
 また、上述の実施形態では、X方向に並ぶトランジスタは、ゲート同士が接続されているが、接続されていなくてもよい。
 また、上述の実施形態では、各容量構造は、N導電型のトランジスタによって構成されているが、P導電型のトランジスタによって構成されていてもよい。また、容量素子は、N導電型のトランジスタからなる容量構造と、P導電型のトランジスタからなる容量構造との両方を備えていてもよい。例えば、図10の容量素子において、上側のN導電型トランジスタN11~N14をP導電型のトランジスタに置き換えてもよい。
 また、上述の実施形態では、容量構造がX方向において2個並んでいるが、3個以上並んでいてもよい。また、Y方向において、容量構造をさらに並べてもかまわない。
 (変形例)
 図11は第3実施形態の変形例に係る容量素子のレイアウト構造の例を示す図である。図12は図11に示す容量素子の構成を示す回路図である。図11および図12に示す容量素子は、レイアウト構造および回路構成が、ともに、図9および図10に示す容量素子と同様である。ただし、図11および図12に示す容量素子では、ノードIN1,IN2との接続関係が、図9および図10に示す容量素子と異なっている。すなわち、図11において、メタル配線251が、容量素子のノードIN1に対応しており、メタル配線255が、容量素子のノードIN2に対応している。
 本変形例では、ノードIN1とノードIN2との間に、N型トランジスタが4段、直列に接続されている。そして、トランジスタN11,N13がノードIN1に接続されており、トランジスタN16,N18がノードIN2に接続されている。
 本変形例においても、上述の実施形態と同様に、ノードIN1とノードIN2との間に接続される2つの容量構造に含まれる、隣り合うトランジスタのゲートを、小さなナノシート間距離によって分離することができるので、容量素子のY方向におけるサイズを縮小することができる。
 本開示では、フォークシートFETを用いた高耐圧を有する容量素子のレイアウト構造を、小面積で実現することができるので、例えば半導体チップの小型化や集積度向上に有用である。
21a,21b,21c,21d,26a,26b,26c,26d ナノシート
31a,31b,32a,32b,33a,33b ゲート配線
121a,121b,121c,121d,126a,126b,126c,126d ナノシート
131a,131b,131c,131d,132a,132b,132c,132d ゲート配線
133a,133b,133c,133d ブリッジ部(ゲート接続部)
221a,221b,221c,221d,226a,226b,226c,226d ナノシート
231a,231b,232a,232b ゲート配線
IN1 第1ノード
IN2 第2ノード
N11~N18,N21~N28 トランジスタ

Claims (15)

  1.  容量素子を備えた半導体集積回路装置であって、
     前記容量素子は、第1ノードと第2ノードとの間に設けられた、少なくとも1つの容量構造を備え、
     前記容量構造は、
     第1方向に延びている第1ナノシートと、前記第1方向と垂直をなす第2方向に延びており、前記第1ナノシートの前記第2方向、および、前記第1および第2方向と垂直をなす第3方向における外周を囲うように形成された第1ゲート配線とを有する、第1トランジスタと、
     前記第1方向に延びている第2ナノシートと、前記第2方向に延びており、前記第2ナノシートの前記第2および第3方向における外周を囲うように形成された第2ゲート配線とを有する、第2トランジスタとを備え、
     前記第1および第2トランジスタは、前記第2方向において隣接しており、かつ、少なくともいずれか一方のノードが、互いに接続されており、
     前記第1ナノシートと前記第2ナノシートとは前記第2方向において対向しており、かつ、前記第1ナノシートの前記第2ナノシート側の面は前記第1ゲート配線から露出しており、前記第2ナノシートの前記第1ナノシート側の面は前記第2ゲート配線から露出している
    ことを特徴とする半導体集積回路装置。
  2.  請求項1記載の半導体集積回路装置において、
     前記第1ゲート配線は前記第1ノードと接続されており、前記第2ゲート配線は前記第2ノードと接続されている
    ことを特徴とする半導体集積回路装置。
  3.  請求項1記載の半導体集積回路装置において、
     前記容量素子は、前記容量構造を複数、備え、
     前記複数の容量構造は、前記第1方向に並んで配置された第1および第2容量構造を含み、
     前記第1容量構造の前記第1トランジスタと前記第2容量構造の前記第1トランジスタとは、一方のノードを共有しており、前記第1容量構造の前記第2トランジスタと前記第2容量構造の前記第2トランジスタとは、一方のノードを共有している
    ことを特徴とする半導体集積回路装置。
  4.  請求項1記載の半導体集積回路装置において、
     前記容量素子は、前記容量構造を複数、備え、
     前記複数の容量構造は、前記第2方向に並んで配置された第1および第2容量構造を含み、
     前記第1容量構造の前記第2ゲート配線、および、前記第2容量構造の前記第1ゲート配線は、一体に形成されている
    ことを特徴とする半導体集積回路装置。
  5.  請求項4記載の半導体集積回路装置において、
     前記第1容量構造の前記第1ゲート配線は前記第1ノードと接続されており、前記第2容量構造の前記第2ゲート配線は前記第2ノードと接続されている
    ことを特徴とする半導体集積回路装置。
  6.  容量素子を備えた半導体集積回路装置であって、
     前記容量素子は、第1ノードと第2ノードとの間に設けられた、少なくとも1つの容量構造を備え、
     前記容量構造は、
     第1方向に延びている第1ナノシートと、前記第1方向と垂直をなす第2方向に延びており、前記第1ナノシートの前記第2方向、および、前記第1および第2方向と垂直をなす第3方向における外周を囲うように形成された第1ゲート配線とを有する、第1トランジスタと、
     前記第1方向に延びている第2ナノシートと、前記第2方向に延びており、前記第2ナノシートの前記第2および第3方向における外周を囲うように形成された第2ゲート配線とを有する、第2トランジスタとを備え、
     前記第1および第2トランジスタは、前記第1方向において隣接しており、かつ、一方のノードが、互いに接続されており、
     前記第1ナノシートの前記第2方向における第1側の面は前記第1ゲート配線から露出しており、前記第2ナノシートの前記第2方向における前記第1側の面は前記第2ゲート配線から露出している
    ことを特徴とする半導体集積回路装置。
  7.  請求項6記載の半導体集積回路装置において、
     前記第1ゲート配線は前記第1ノードと接続されており、前記第2ゲート配線は前記第2ノードと接続されている
    ことを特徴とする半導体集積回路装置。
  8.  請求項6記載の半導体集積回路装置において、
     前記容量素子は、前記容量構造を複数、備え、
     前記複数の容量構造は、前記第2方向に並んで配置された第1および第2容量構造を含み、
     前記第1容量構造の前記第1ナノシートと前記第2容量構造の前記第1ナノシートとは前記第2方向において対向しており、前記第1容量構造の前記第2ナノシートと前記第2容量構造の前記第2ナノシートとは前記第2方向において対向しており、
     前記第1容量構造において、前記第1側は、前記第2容量構造の側であり、前記第2容量構造において、前記第1側は、前記第1容量構造の側である
    ことを特徴とする半導体集積回路装置。
  9.  請求項8記載の半導体集積回路装置において、
     前記第1容量構造の前記第1ゲート配線と前記第2容量構造の前記第1ゲート配線とは、当該第1ゲート配線間に設けられた第1ゲート接続部によって、互いに接続されており、
     前記第1容量構造の前記第2ゲート配線と前記第2容量構造の前記第2ゲート配線とは、当該第2ゲート配線間に設けられた第2ゲート接続部によって、互いに接続されている
    ことを特徴とする半導体集積回路装置。
  10.  請求項6記載の半導体集積回路装置において、
     前記容量素子は、前記容量構造を複数、備え、
     前記複数の容量構造は、前記第1方向に並んで配置された第1および第2容量構造を含み、
     前記第1容量構造の前記第2トランジスタと前記第2容量構造の前記第1トランジスタとは、一方のノードを共有しており、
     前記第1容量構造の前記第1ゲート配線と前記第2容量構造の前記第1ゲート配線とは電気的に接続されており、前記第1容量構造の前記第2ゲート配線と前記第2容量構造の前記第2ゲート配線とは電気的に接続されている
    ことを特徴とする半導体集積回路装置。
  11.  容量素子を備えた半導体集積回路装置であって、
     前記容量素子は、第1ノードと第2ノードとの間に設けられた、少なくとも1つの容量構造を備え、
     前記容量構造は、
     第1方向に延びている第1ナノシートを有する第1トランジスタと、
     前記第1方向に延びている第2ナノシートを有する第2トランジスタとを備え、
     前記第1および第2トランジスタは、前記第1方向と垂直をなす第2方向において隣接しており、
     前記第2方向に延びている第1ゲート配線が、前記第1および第2ナノシートの前記第2方向、および、前記第1および第2方向と垂直をなす第3方向における外周を囲うように、形成されており、
     前記第2ナノシートの、前記第2方向における前記第1ナノシートと反対側の面は、前記第1ゲート配線から露出している
    ことを特徴とする半導体集積回路装置。
  12.  請求項11記載の半導体集積回路装置において、
     前記第1ナノシートの、前記第2方向における前記第2ナノシートと反対側の面は、前記第1ゲート配線から露出している
    ことを特徴とする半導体集積回路装置。
  13.  請求項11記載の半導体集積回路装置において、
     前記第1トランジスタの少なくともいずれか一方のノードは、前記第1ノードと接続されており、前記第2トランジスタの少なくともいずれか一方のノードは、前記第2ノードと接続されている
    ことを特徴とする半導体集積回路装置。
  14.  請求項11記載の半導体集積回路装置において、
     前記容量素子は、前記容量構造を複数、備え、
     前記複数の容量構造は、前記第2方向に並んで配置された第1および第2容量構造を含み、
     前記第1容量構造の前記第2ナノシートと前記第2容量構造の前記第2ナノシートとは、前記第2方向において対向している
    ことを特徴とする半導体集積回路装置。
  15.  請求項14記載の半導体集積回路装置において、
     前記第1容量構造の前記第2トランジスタと、前記第2容量構造の前記第2トランジスタとは、少なくともいずれか一方のノードが、互いに接続されており、
     前記第1容量構造の前記第1トランジスタの少なくともいずれか一方のノードは、前記第1ノードと接続されており、前記第2容量構造の前記第1トランジスタの少なくともいずれか一方のノードは、前記第2ノードと接続されている
    ことを特徴とする半導体集積回路装置。
PCT/JP2021/008218 2020-03-11 2021-03-03 半導体集積回路装置 WO2021182247A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2022505977A JPWO2021182247A1 (ja) 2020-03-11 2021-03-03
US17/902,537 US20220415885A1 (en) 2020-03-11 2022-09-02 Semiconductor integrated circuit device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020041830 2020-03-11
JP2020-041830 2020-03-11

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/902,537 Continuation US20220415885A1 (en) 2020-03-11 2022-09-02 Semiconductor integrated circuit device

Publications (1)

Publication Number Publication Date
WO2021182247A1 true WO2021182247A1 (ja) 2021-09-16

Family

ID=77671600

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2021/008218 WO2021182247A1 (ja) 2020-03-11 2021-03-03 半導体集積回路装置

Country Status (3)

Country Link
US (1) US20220415885A1 (ja)
JP (1) JPWO2021182247A1 (ja)
WO (1) WO2021182247A1 (ja)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0567634A (ja) * 1991-09-06 1993-03-19 Oki Electric Ind Co Ltd Mis型半導体装置の製造方法
JP2009015525A (ja) * 2007-07-03 2009-01-22 Toshiba Tec Corp データ処理装置及びその制御方法
US20160111337A1 (en) * 2014-10-21 2016-04-21 Samsung Electronics Co., Ltd. Strained stacked nanosheet fets and/or quantum well stacked nanosheet
US20170069481A1 (en) * 2015-09-04 2017-03-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
WO2018003634A1 (ja) * 2016-07-01 2018-01-04 株式会社ソシオネクスト 半導体集積回路装置
WO2018025580A1 (ja) * 2016-08-01 2018-02-08 株式会社ソシオネクスト 半導体集積回路装置
WO2018042986A1 (ja) * 2016-08-29 2018-03-08 株式会社ソシオネクスト 半導体集積回路装置
US20180090624A1 (en) * 2016-09-26 2018-03-29 International Business Machines Corporation Width Adjustment of Stacked Nanowires
WO2019138546A1 (ja) * 2018-01-12 2019-07-18 株式会社ソシオネクスト 半導体集積回路装置

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0567634A (ja) * 1991-09-06 1993-03-19 Oki Electric Ind Co Ltd Mis型半導体装置の製造方法
JP2009015525A (ja) * 2007-07-03 2009-01-22 Toshiba Tec Corp データ処理装置及びその制御方法
US20160111337A1 (en) * 2014-10-21 2016-04-21 Samsung Electronics Co., Ltd. Strained stacked nanosheet fets and/or quantum well stacked nanosheet
US20170069481A1 (en) * 2015-09-04 2017-03-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
WO2018003634A1 (ja) * 2016-07-01 2018-01-04 株式会社ソシオネクスト 半導体集積回路装置
WO2018025580A1 (ja) * 2016-08-01 2018-02-08 株式会社ソシオネクスト 半導体集積回路装置
WO2018042986A1 (ja) * 2016-08-29 2018-03-08 株式会社ソシオネクスト 半導体集積回路装置
US20180090624A1 (en) * 2016-09-26 2018-03-29 International Business Machines Corporation Width Adjustment of Stacked Nanowires
WO2019138546A1 (ja) * 2018-01-12 2019-07-18 株式会社ソシオネクスト 半導体集積回路装置

Also Published As

Publication number Publication date
US20220415885A1 (en) 2022-12-29
JPWO2021182247A1 (ja) 2021-09-16

Similar Documents

Publication Publication Date Title
US11271011B2 (en) Method for high performance standard cell design techniques in FinFET based library using local layout effects (LLE)
CN109786369B (zh) 包括标准单元的半导体器件
US20210297068A1 (en) Flip-flop with delineated layout for reduced footprint
US9034704B2 (en) 6T SRAM architecture for gate-all-around nanowire devices
TWI634644B (zh) 半導體裝置
US7112858B2 (en) Semiconductor device and manufacturing method of the same
KR100928694B1 (ko) 반도체 집적회로장치
US8039874B2 (en) Semiconductor integrated circuit
US6271548B1 (en) Master slice LSI and layout method for the same
WO2018042986A1 (ja) 半導体集積回路装置
WO2020110733A1 (ja) 半導体集積回路装置
JP5357476B2 (ja) 半導体集積回路装置の製造方法
US8803202B2 (en) Layout methods of integrated circuits having unit MOS devices
WO2021075434A1 (ja) 半導体集積回路装置
KR100473457B1 (ko) 반도체 기억장치
CN109216455A (zh) 半导体器件及其形成方法
EP0249988A2 (en) A master-slice integrated circuit having an improved arrangement of transistor elements for simplified wirings
US20210366902A1 (en) Semiconductor integrated circuit device
WO2021171969A1 (ja) 半導体集積回路装置
WO2021075540A1 (ja) 半導体集積回路装置
WO2021182247A1 (ja) 半導体集積回路装置
JPWO2019171937A1 (ja) 半導体集積回路装置
JP7323847B2 (ja) 半導体集積回路装置
JP6383073B2 (ja) 半導体装置
JPH02201964A (ja) Mos型トランジスタ

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21767436

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2022505977

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 21767436

Country of ref document: EP

Kind code of ref document: A1