WO2021039310A1 - レーザアニール装置およびレーザアニール方法 - Google Patents

レーザアニール装置およびレーザアニール方法 Download PDF

Info

Publication number
WO2021039310A1
WO2021039310A1 PCT/JP2020/029833 JP2020029833W WO2021039310A1 WO 2021039310 A1 WO2021039310 A1 WO 2021039310A1 JP 2020029833 W JP2020029833 W JP 2020029833W WO 2021039310 A1 WO2021039310 A1 WO 2021039310A1
Authority
WO
WIPO (PCT)
Prior art keywords
laser
optical head
laser annealing
laser beam
amorphous silicon
Prior art date
Application number
PCT/JP2020/029833
Other languages
English (en)
French (fr)
Inventor
純一 小杉
映保 楊
Original Assignee
株式会社ブイ・テクノロジー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社ブイ・テクノロジー filed Critical 株式会社ブイ・テクノロジー
Publication of WO2021039310A1 publication Critical patent/WO2021039310A1/ja

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • B23K26/082Scanning systems, i.e. devices involving movement of the laser beam relative to the laser head
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1285Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using control of the annealing or irradiation parameters, e.g. using different scanning direction or intensity for different transistors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0643Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising mirrors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/352Working by laser beam, e.g. welding, cutting or boring for surface treatment
    • B23K26/354Working by laser beam, e.g. welding, cutting or boring for surface treatment by melting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/50Working by transmitting the laser beam through or within the workpiece
    • B23K26/53Working by transmitting the laser beam through or within the workpiece for modifying or reforming the material inside the workpiece, e.g. for producing break initiation cracks
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1343Electrodes
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • G02F1/1362Active matrix addressed cells
    • G02F1/1368Active matrix addressed cells in which the switching element is a three-electrode device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02678Beam shaping, e.g. using a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film

Definitions

  • the present invention relates to a laser annealing device and a laser annealing method.
  • Thin displays such as liquid crystal displays (LCD: Liquid Crystal Display) and organic EL displays (OLED: Organic Electroluminescence Display) are becoming larger and higher in definition.
  • LCD Liquid Crystal Display
  • OLED Organic Electroluminescence Display
  • the FPD includes a TFT substrate on which a thin film transistor (TFT) is formed.
  • TFT substrate is a substrate on which fine TFTs for active driving are formed in each of the pixels arranged in a matrix. For example, in the case of a display driven at 120 Hz at a resolution of full HD (1920 ⁇ 1080 dots), 1000 More than 10,000 pixels are formed.
  • Amorphous silicon (a-Si: amorphous Silicon), polycrystalline silicon (p-Si: polysilicon Silicon), etc. are used as the material of the semiconductor layer constituting the TFT.
  • Amorphous silicon has low mobility, which is an index of electron mobility, and cannot meet the high mobility required for FPDs, which are becoming more dense and high-definition. Therefore, as the TFT in the FPD, it is preferable to form a semiconductor layer made of polycrystalline silicon having a higher mobility than amorphous silicon.
  • a line beam-shaped laser having a wavelength of about 532 nm and a green continuous oscillation (CW) laser beam is used as a method for forming polycrystalline silicon or pseudo-single crystal silicon in which lateral (lateral) crystals have been grown.
  • CW green continuous oscillation
  • There is a method of scanning with a beam so as to straddle a plurality of rows of amorphous silicon films processed into a ribbon shape or an island shape see, for example, Patent Document 1).
  • the area of the amorphous silicon film heated by laser annealing is reduced by limiting the forming region of the amorphous silicon film to the forming region of the TFT. This has been attempted to prevent heat from being applied from the amorphous silicon film to the glass substrate, causing the temperature of the glass substrate to rise and causing cracks, and preventing impurities from diffusing into the material film. There is.
  • the conventional laser annealing method using the above-mentioned CW laser has the following problems.
  • this laser annealing method even if the amorphous silicon film is left in the minimum region, a metal wiring pattern such as a gate line or a glass substrate is formed below the amorphous silicon film constituting the TFT (lower layer). Exists. Further, since the laser beam is continuously oscillated, there is a problem that heat is accumulated on the glass substrate and the metal wiring pattern such as a gate line or the glass substrate is overheated and damaged.
  • the present invention has been made in view of the above problems, and is a region in which a TFT is formed without thermally damaging a substrate, a wiring layer, or the like, which is arranged below the amorphous silicon film.
  • An object of the present invention is to provide a laser annealing apparatus and a laser annealing method for efficiently crystallizing only an amorphous silicon film.
  • a plurality of gate lines parallel to each other are formed on the substrate, and the plurality of gates are formed on the upper layer of the plurality of gate lines.
  • a laser annealing device that irradiates a continuously oscillating laser beam on an amorphous silicon film formed so as to cover the entire line to modify the planned modification region of the amorphous silicon film into a crystallized film.
  • a plurality of light sources that emit continuously oscillating laser light and each of the laser light emitted from the plurality of light sources are processed so as to form a convergent laser beam, and each of the lasers is processed.
  • the optical head includes an optical head that allows the beam to be sequentially and correspondingly projected within the planned modification region located above the gate line, and the optical head has a spot portion that is most convergent in each of the laser beams.
  • the laser beam is relatively scanned in the planned modification region while being located inside the amorphous silicon film in the planned modification region.
  • the region to be modified is a channel semiconductor layer of a thin film transistor.
  • the laser beam emitted from the optical head is projected onto the surface of the amorphous silicon film so as to be aligned at a constant pitch along a predetermined straight line.
  • the optical head can rotate and move so that the pitch of the plurality of laser beams is equal to the pitch of the gate line.
  • the light amount sensor for detecting the light amount of each of the plurality of laser beams is provided, and the output of the light source that emits the laser beam is output based on the light amount of the laser beam detected by the light amount sensor. It is preferably adjustable.
  • the light amount sensor is arranged behind the optical head.
  • the optical head includes a beam splitter that reflects the laser beam laterally, and the light amount sensor is arranged on the side of the optical head.
  • the optical head includes a scan mirror that reflects the laser beam sideways, and the light amount sensor is arranged on the side of the optical head.
  • each of the laser beams emitted from the plurality of light sources is guided to each optical fiber of the fiber array provided in the optical head.
  • the optical head includes the fiber array and the imaging optical system, the fiber array can be moved along the optical axis direction by an actuator, and the imaging optical system is telecentric. It is preferably composed of an optical system.
  • a plurality of gate lines parallel to each other are formed on the substrate, and the plurality of gate lines are formed on the upper layer of the plurality of gate lines so as to cover the entire gate lines.
  • This is a laser annealing method in which a crystalline silicon film is irradiated with continuously oscillating laser light to modify the area to be modified of the amorphous silicon film into a crystallized film, which is continuous from each of a plurality of light sources.
  • the oscillated laser light is emitted, and each of the laser light emitted from the plurality of light sources is processed by an optical head so as to be a convergent laser beam, and each laser beam is formed on the gate line.
  • the spots that are sequentially and correspondingly projected into the planned modification region located above and that converge most in each of the laser beams are located inside the amorphous silicon film of the planned modification region.
  • the optical head is moved so that the laser beam is relatively scanned in the planned modification region.
  • the amorphous silicon in the region to be modified is not thermally damaged, such as the substrate and the gate line, which are arranged below the amorphous silicon film. It has the effect of efficiently crystallizing only the film.
  • FIG. 1 is a cross-sectional explanatory view showing a method of manufacturing a TFT array using the laser annealing apparatus according to the first embodiment of the present invention.
  • FIG. 2 is a configuration diagram showing an outline of a laser annealing apparatus according to the first embodiment of the present invention.
  • FIG. 3 is a plan explanatory view showing a method of manufacturing a TFT array using the laser annealing apparatus according to the first embodiment of the present invention.
  • FIG. 4-1 is a plan explanatory view showing a laser annealing method using the laser annealing apparatus according to the first embodiment of the present invention.
  • FIG. 1 is a cross-sectional explanatory view showing a method of manufacturing a TFT array using the laser annealing apparatus according to the first embodiment of the present invention.
  • FIG. 2 is a configuration diagram showing an outline of a laser annealing apparatus according to the first embodiment of the present invention.
  • FIG. 3 is a plan explanatory view showing a method of manufacturing
  • FIG. 4-2 is a plan explanatory view showing a method of manufacturing a TFT array showing a state in which the optical head is rotated to change the beam pitch in the laser annealing apparatus according to the first embodiment of the present invention.
  • FIG. 5 is a configuration diagram showing an outline of a laser annealing apparatus according to a second embodiment of the present invention.
  • FIG. 6 is a configuration diagram showing an outline of a laser annealing apparatus according to a third embodiment of the present invention.
  • FIG. 7 is a configuration diagram showing an outline of a laser annealing apparatus according to a fourth embodiment of the present invention.
  • FIG. 8 is a side view showing a main part of the laser annealing apparatus according to the fourth embodiment of the present invention.
  • FIG. 9 is a configuration diagram showing an outline of a laser annealing apparatus according to a fifth embodiment of the present invention.
  • FIG. 10 is a configuration diagram showing an outline of a laser annealing apparatus according to a sixth embodiment of the present invention.
  • FIG. 11 is a block diagram of an imaging optical system in the laser annealing apparatus according to the sixth embodiment of the present invention.
  • the laser annealing device 1 includes a light source unit 2, an optical head 3, a substrate transfer means (not shown) for transporting the substrate 10, and a displacement meter (not shown). Is equipped with.
  • the light source unit 2 includes a plurality of semiconductor laser LDs (LD1 to LDn) as a light source for oscillating continuously oscillating laser light (CW laser light).
  • the continuously oscillating laser light (CW laser light) is a concept including so-called pseudo continuous oscillation that continuously irradiates the target region with the laser light.
  • the laser beam is a pulse laser
  • the pulse interval is shorter than the cooling time of the silicon thin film (amorphous silicon film) after heating (irradiate with the next pulse before solidifying).
  • the laser light source various lasers such as a semiconductor laser, a solid-state laser, a liquid laser, and a gas laser can be used.
  • the semiconductor lasers LD100 to LDn are provided as the spare R of the semiconductor laser LD.
  • the light source unit 2 includes the above-mentioned plurality of semiconductor laser LDs, a drive circuit 20, and a plurality of coupling lenses 21.
  • the drive circuit 20 is connected to each of the plurality of semiconductor laser LDs and drives each of the semiconductor laser LDs.
  • the coupling lens 21 is connected to the emission side of each semiconductor laser LD.
  • One end of an optical fiber 22 as a waveguide is connected to each coupling lens 21.
  • a multimode fiber is applied as the optical fiber 22.
  • the optical head 3 includes a fiber array 31 and an imaging optical system 32.
  • the other end of the optical fiber 22 is connected to the fiber array 31.
  • the exit ends of the optical fibers 22 connected to the fiber array 31 are arranged in a line along one straight line on the exit side end surface of the fiber array 31.
  • the imaging optical system 32 includes at least a first lens 33 on the incident side and a second lens 34 on the exit side. As shown in FIG. 2, the imaging optical system 32 receives the laser light emitted from the fiber array 31. As shown in FIG. 1, the optical head 3 is processed so as to form a laser beam LBcw in which the laser beam is directed to the downstream side (rear side) and converges at the spot portion F. In the present embodiment, as shown in FIG. 4-1 on the exit side of the optical head 3, the laser beam LBcw is emitted from a position arranged at a pitch P1 along a straight line. This pitch P1 is set to be the same as the pitch of the gate line 12 described later. In this embodiment, the direction in which the laser beams LBcw are lined up is set to be perpendicular to the extending direction of the gate line 12, which will be described later.
  • a displacement meter (not shown) for correcting the positional deviation between the optical head 3 and the substrate 10 is provided on the side of the optical head 3. It has an autofocus function that can automatically adjust the focus of the laser beam LBcw emitted from the optical head 3 based on the data of the amount of misalignment between the optical head 3 and the substrate 10 detected by the displacement meter.
  • a displacement meter is used as a means of autofocus, but the present invention is not limited to this, and various known techniques can be used.
  • the laser beam LBcw has the characteristics of a top hat shape, and the cross-sectional shape in the direction orthogonal to the optical axis is square.
  • the cross-sectional shape of the laser beam LBcw may be rectangular, hexagonal, or the like.
  • the cross-sectional shape of the core of the optical fiber 22 may be set to a square, a rectangle, a hexagon, or the like.
  • the substrate transporting means (not shown) includes a mechanism for transporting the substrate 10 to be subjected to the laser annealing treatment in the scanning direction at an arbitrary speed. Therefore, the laser beam LBcw is scanned relative to the substrate 10 by transporting the substrate 10 side with the position of the optical head 3 fixed.
  • the substrate 10 as the laser-annealed substrate has the glass substrate 11 as the main body.
  • a plurality of gate lines 12 and other metal wiring patterns formed of copper (Cu), a silicon nitride film (Si3N4) 13, a silicon oxide film (SiO2) 14, and a laser annealing treatment are performed.
  • Amorphous silicon film 15a or the like as a film is sequentially laminated.
  • the plurality of gate lines 12 are arranged so as to be parallel to each other. As described above, the pitch between the gate lines 12 is set to the pitch P1.
  • the gate line 12 includes a portion serving as a gate electrode of the TFT formed for each pixel region (not shown).
  • the thickness dimension of the gate line 12 is 200 to 700 nm
  • the thickness dimension of the silicon nitride film 13 is about 300 nm
  • the thickness dimension of the silicon oxide film 14 is 50 to 100 nm
  • the thickness of the amorphous silicon film 15a can be about 50 nm.
  • the beam diameter dimension of the laser beam LBcw irradiated on the surface of the amorphous silicon film 15a is set to, for example, an arbitrary dimension of 5 ⁇ m or more and 300 ⁇ m or less.
  • the range of the beam diameter dimension is such that the irradiation surface of the laser beam LBcw can be accommodated in the semiconductor active region (planned modification region) of the TFT.
  • the diameter of the irradiation surface of the laser beam LBcw is preferably 10 ⁇ m or more and 100 ⁇ m or less.
  • the scanning speed at which the laser beam LBcw is scanned relative to the amorphous silicon film 15a is preferably 200 mm to 500 mm / sec, but is not limited thereto. Absent.
  • the amorphous silicon film 15a is partially formed by irradiating the laser beam LBcw in the planned modification region of the amorphous silicon film 15a along the extending direction of the gate line 12 under the above-mentioned conditions. It can be modified into a pseudo-single crystal silicon film 15La. The region where the pseudo single crystal silicon film 15La is formed coincides with the region to be modified.
  • the laser annealing apparatus 1 since the spot portion F having a high power density in the laser beam LBcw is located inside the amorphous silicon film 15a, the focus is on the amorphous silicon film 15a. A large amount of heat is supplied. Then, most of the heat is transferred from the spot portion F toward the side (direction of arrow h in FIG. 1) in the amorphous silicon film 15a. Since the beam is diffused on the rear side (lower side) of the spot portion F, the power density of the light reaching the underlying silicon oxide film 14 or the like becomes low, and the lower layer side of the amorphous silicon film 15a is overheated. Can be suppressed. Therefore, according to the laser annealing device 1 according to the present embodiment, it is possible to prevent the gate line 12, other wiring patterns, the glass substrate 11, and the like from being damaged by overheating.
  • the laser annealing apparatus 1 According to the laser annealing apparatus 1 according to the present embodiment, even in a state where the amorphous silicon film 15a is formed so as to cover the entire gate line 12, the gate line 12 and other wiring and the glass substrate 11 are covered. No damage occurs.
  • the energy efficiency can be improved.
  • FIG. 4-2 shows an optical head 3 of a modified example of the laser annealing device 1 according to the first embodiment of the present invention.
  • the optical head 3 is set to be rotatably driven by a rotary drive unit (not shown).
  • the basic configuration of the optical head 3 in this modification is the same as that of the first embodiment.
  • the pitch P2 between the gate lines 12 is shorter than the pitch P1 of the gate line 12 shown in FIG. 4-1.
  • the region to be modified of the amorphous silicon film 15a above the gate line 12 is planned to be modified by rotating and adjusting the optical head 3 so that the laser beam LBcw corresponds to the plurality of gate lines 12. It is possible to accurately irradiate the laser beam LBcw.
  • the optical head 3 rotated and moved diagonally as shown in FIG. 4-2 is scanned relative to the substrate 10, the timing at which the laser beam LBcw is irradiated to the appropriate modification planned region is the gate. Since the lines 12 are sequentially shifted, the drive circuit 20 may be set to sequentially delay the output timing to the semiconductor laser LD.
  • the pitch between the rows irradiated with the laser beam LBcw can be changed by the rotation of the optical head 3. Therefore, it is possible to realize a laser annealing device that can be applied even when the pitch of the gate line 12 on the substrate is changed.
  • the laser annealing method is a laser annealing treatment method for forming a pseudo single crystal silicon film 15La in a region to be modified on the substrate 10 by using the laser annealing apparatus 1.
  • a plurality of gate lines 12 parallel to each other are formed on the glass substrate 11, and the entire gate lines 12 are covered on the upper layers of the plurality of gate lines 12.
  • the substrate 10 on which the amorphous silicon film 15a is formed is prepared.
  • the substrate 10 is set in a substrate transport means (not shown), continuously oscillating laser light is emitted from each of the semiconductor laser LDs, and the laser beam is converged by the optical head 3 to become a laser beam LBcw.
  • Each laser beam LBcw is sequentially projected into a region to be modified (not shown) located above the gate line 12 so as to correspond to the processing.
  • the spot portion F that converges most in the laser beam LBcw is arranged so as to be located inside the amorphous silicon film 15a in the planned modification region.
  • the substrate 10 is moved by a substrate transport means (not shown) so that the laser beam LBcw relatively scans the inside of the planned modification region along the direction in which the gate line 12 extends.
  • the region to be the channel semiconductor layer of the TFT can be modified into a pseudo single crystal silicon film 15La.
  • the pseudo single crystal silicon film 15La can be formed only in the region where the channel semiconductor layer of the TFT should be formed, energy-efficient annealing can be performed. Therefore, this laser annealing method can realize a significant cost reduction.
  • the area of the entire amorphous silicon film is irradiated with the laser so as to fill the area with the line beam to crystallize the area. There was a seam. Therefore, the mobility of the channel semiconductor layer in the seam region and the channel semiconductor layer in the other regions are different, and the mobility of the channel semiconductor layer of the entire TFT substrate varies.
  • the laser annealing method of the present embodiment since the seam of the irradiation region does not occur, the mobility of the channel semiconductor layer can be made uniform.
  • the gate line 12 and the glass substrate 11 are not thermally damaged, it is possible to realize the production of a TFT substrate having a high yield.
  • FIG. 5 is a schematic configuration diagram showing a laser annealing device 1A according to a second embodiment of the present invention.
  • the present embodiment is characterized by including a light amount sensor D1 that detects the light amount of each of the plurality of laser beams LBcw. Since the other configurations in the present embodiment are the same as those in the laser annealing apparatus 1 according to the first embodiment, the description thereof will be omitted.
  • the light amount sensor D1 is arranged behind the optical head 3 and can be sequentially moved to the spot portion F of the laser beam LBcw. Further, the light amount sensor D1 is set so that the adjacent laser beam LBcw does not enter when detecting the light amount of one laser beam LBcw.
  • the data detected by the light amount sensor D1 is fed back to the drive circuit 20, and the output of the semiconductor laser LD as the light source of the laser beam LBcw is adjusted.
  • the light amount of each laser beam LBcw can be adjusted before the laser annealing process is performed to make the output (light amount) of these laser beam LBcw uniform. Therefore, according to the laser annealing device 1A according to the present embodiment, it is possible to make the electrical characteristics of the channel semiconductor layers of the TFTs uniform.
  • FIG. 6 is a schematic configuration diagram of the laser annealing device 1B according to the third embodiment of the present invention.
  • the laser annealing apparatus 1B according to the present embodiment includes a beam splitter 35 in the optical path in the imaging optical system 32B, and a side lens 36 and a light amount sensor D2 are arranged on the side of the beam splitter 35.
  • the laser beam LBcw reflected by the beam splitter 35 is set to be incident on the light amount sensor D2 through the side lens 36.
  • the other configuration of the laser annealing device 1B according to the present embodiment is the same as that of the first embodiment.
  • the data detected by the light amount sensor D2 is fed back to the drive circuit 20, and the output of the semiconductor laser LD as the light source of the laser beam LBcw is adjusted.
  • the output of each semiconductor laser LD can be adjusted while operating the laser annealing device 1B.
  • FIG. 7 is a schematic configuration diagram showing the laser annealing device 1C according to the fourth embodiment of the present invention
  • FIG. 8 is a side view of a main part of the laser annealing device 1C.
  • the laser annealing device 1C according to the present embodiment reflects the laser light emitted from the fiber array 31 downward (sideways) through the first lens 33 by, for example, a scan mirror SM such as a galvano mirror.
  • the laser beam LBcw reflected by the scan mirror SM is irradiated to the substrate side through the second lens 34 arranged below.
  • the scan mirror SM is set to be rotatable in the direction of arrow A in order to make the degree of inclination changeable.
  • the height dimension of the device can be shortened to make the device compact. Further, by adjusting the rotation of the scan mirror SM, it is possible to adjust the irradiation position of the laser beam LBcw and the depth position of the spot portion F in the film thickness direction from the surface of the amorphous silicon film 15a.
  • FIG. 9 is a schematic configuration diagram of the laser annealing device 1D according to the fifth embodiment of the present invention.
  • This embodiment includes an imaging optical system 32D configured by arranging a mask 37 having an opening 37A at the pupil position in the imaging optical system 32 of the laser annealing apparatus 1A according to the second embodiment.
  • Other configurations of the laser annealing device 1D according to the present embodiment are the same as those of the laser annealing device 1A according to the second embodiment.
  • the pattern of the laser beam LBcw passing through the imaging optical system 32D can be changed by the mask 37. Also in the present embodiment, since the light amount sensor D1 is provided, each light amount of the laser beam LBcw whose pattern is changed can be detected by the light amount sensor D1.
  • FIG. 10 is a schematic configuration diagram of the laser annealing device 1E according to the sixth embodiment of the present invention.
  • FIG. 11 is a schematic configuration diagram of the imaging optical system 38 in the laser annealing apparatus 1E.
  • the laser annealing device 1E includes a fiber array 31 and an imaging optical system 38 as the optical head 3 as in the first embodiment.
  • the other end of the optical fiber 22 is connected to the fiber array 31.
  • the exit ends of the optical fiber 22 are arranged in a row along one straight line on the exit side end surface of the fiber array 31.
  • the imaging optical system 38 is composed of a telecentric optical system. Further, the fiber array 31 is displaced by the actuator 39 along the optical axis direction. In the present embodiment, when the laser annealing device 1E is autofocused, only the fiber array 31 is moved along the optical axis by the actuator 39. At this time, the light source unit 2 and the imaging optical system 38 do not move.
  • the imaging optical system 38 is composed of optical members L1 to L14 such as a plurality of lenses sequentially arranged along the optical axis direction to form a telecentric optical system.
  • the imaging optical system 38 made of such a telecentric optical system, when focusing on the substrate 10, only the lightweight fiber array 31 needs to be moved by the actuator 39, so that quick response is achieved. It is possible to obtain the autofocus performance having the above.
  • the imaging optical system 38 is a telecentric optical system, there is an advantage that the image does not shift with respect to the substrate 10 and the pitch of the irradiation positions of the plurality of laser beams LBcw on the surface of the substrate 10 does not change.
  • a piezo actuator which is a positioning element to which the piezo piezoelectric effect is applied, can be applied.
  • Piezo actuators can accurately position from extremely small ranges such as nanometers to hundreds of microns. Further, since the piezo actuator is made of ceramic, it is very hard and can generate a large force. In addition, the piezo actuator can be driven compactly and energy-saving. In the present embodiment, the piezo actuator is applied as the actuator 39, but it is of course possible to apply another driving means such as a linear motor.
  • the top hat type is applied as the laser beam LBcw, but a donut-shaped laser beam LBcw may be used.
  • a donut-shaped laser beam LBcw By using such a donut-shaped laser beam LBcw, there is an advantage that the contour portion of the crystallization film formed in the planned modification region can be reliably crystallized.
  • the other ends of the optical fibers 22 are arranged so as to be aligned on the emission end surface of the fiber array 31, but if the laser beam LBcw can be irradiated corresponding to the gate lines 12 at equal intervals. , The other end of the optical fiber 22 does not have to be aligned in a straight line.
  • the pitch of the plurality of laser beams LBcw is set to be the same as the pitch of the gate line, and the laser beam LBcw is scanned in the direction along the gate line 12, but the laser beam LBcw If the pitch of the laser beam is set to an integral multiple of the pitch of the region to be modified along the gate line 12, the laser beam LBcw can be scanned in the direction orthogonal to the gate line 12.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Mathematical Physics (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

連続発振されるレーザ光をそれぞれ出射する複数の光源と、複数の前記光源から出射されたそれぞれの前記レーザ光を、収束するレーザビームとなるように加工して、それぞれの前記レーザビームが前記ゲートラインの上方に位置する前記改質予定領域内に順次、対応して投影可能にする光学ヘッドと、を備え、前記光学ヘッドは、それぞれの前記レーザビームにおいて最も収束するスポット部が、前記改質予定領域の前記非晶質シリコン膜の膜内部に位置する状態で、前記レーザビームが前記改質予定領域内を前記ゲートラインが延びる方向に沿って相対的にスキャンされる。

Description

レーザアニール装置およびレーザアニール方法
 本発明は、レーザアニール装置およびレーザアニール方法に関する。
 液晶ディスプレイ(LCD:Liquid Crystal Display)、有機ELディスプレイ(OLED:Organic Electroluminescence Display)などの薄型ディスプレイ(FPD:Flat Panel Display)において、大型化および高精細化が進んでいる。
 FPDは、薄膜トランジスタ(TFT:Thin Film Transistor)が形成されたTFT基板を備える。TFT基板は、マトリクス状に配置された画素のそれぞれにアクティブ駆動するための微細なTFTを形成した基板であり、例えば、フルHD(1920×1080ドット)の解像度で120Hz駆動のディスプレイの場合、1000万個以上の画素が形成されている。
 TFTを構成する半導体層の材料としては、非晶質シリコン(a-Si:amorphous Silicon)や、多結晶シリコン(p-Si:polycrystalline Silicon)などが用いられている。非晶質シリコンは、電子の動き易さの指標である移動度が低く、さらに高密度・高精細化が進むFPDで要求される高移動度には対応しきれない。そこで、FPDにおけるTFTとしては、非晶質シリコンよりも移動度が高い多結晶シリコンでなる半導体層を形成することが好ましい。
 近年、多結晶シリコンや、横方向(ラテラル)結晶成長させた疑似単結晶シリコンを形成する方法として、例えば、波長が532nm程度の緑色系の連続発振(CW)レーザ光でなるラインビーム状のレーザビームで、複数列のリボン状もしくはアイランド状に加工した非晶質シリコン膜を跨ぐように、スキャンするという方法がある(例えば、特許文献1参照)。この方法では、非晶質シリコン膜の形成領域をTFTの形成領域に限定することにより、レーザアニールによって加熱される非晶質シリコン膜の面積を小さくしている。これによって、非晶質シリコン膜からガラス基板へ熱が及びガラス基板の温度を上昇されてクラックが発生することや、不純物が材料膜中に拡散したりすることなどを防止することが試みられている。
特開2003-86505号公報
 上述のCWレーザを用いた従来のレーザアニール方法においては、以下のような課題がある。このレーザアニール方法では、非晶質シリコン膜を最小限の領域に残したとしても、TFTを構成する非晶質シリコン膜の下方(下層)には、ゲートラインなどの金属配線パターンやガラス基板が存在する。また、レーザビームが連続発振であるため、ガラス基板上に熱が蓄積してこもることによりゲートラインなどの金属配線パターンやガラス基板を過熱して損傷させるという問題がある。加えて、このレーザアニール方法では、400~550nm程度の青色もしくは緑色系のレーザ光を用いた場合、ビームが非晶質シリコン膜よりも下層のゲートラインなどの金属配線パターンやガラス基板まで達してしまうため、こもった熱の作用と相まってゲートラインなどの金属配線パターンやガラス基板を過熱して損傷させるという問題がある。特に、上述のCWレーザを用いたレーザアニール方法では、基板として可撓性を有する、例えば、ポリイミドなどの樹脂でなる基板を適用することが困難であった。さらに、上述のCWレーザを用いたレーザアニール方法では、ラインビーム状のレーザビームを用いるため、TFTの活性半導体層とすべき領域以外の領域(非晶質シリコン膜を除去した領域)もアニールするため、エネルギー利用効率が悪いという課題がある。
 本発明は、上記の課題に鑑みてなされたものであって、非晶質シリコン膜よりも下層に配置された、基板ならびに配線層などを熱的損傷させることなく、TFTが形成される領域の非晶質シリコン膜のみを効率的に結晶化させるレーザアニール装置およびレーザアニール方法を提供することを目的とする。
 上述した課題を解決し、目的を達成するために、本発明の態様は、基板の上に互いに平行をなす複数のゲートラインが形成され、前記複数の前記ゲートラインの上層に前記複数の前記ゲートラインの全体を覆うように成膜された非晶質シリコン膜に対して、連続発振レーザ光を照射して前記非晶質シリコン膜の改質予定領域を結晶化膜に改質させるレーザアニール装置であって、連続発振されるレーザ光をそれぞれ出射する複数の光源と、複数の前記光源から出射されたそれぞれの前記レーザ光を、収束するレーザビームとなるように加工して、それぞれの前記レーザビームが前記ゲートラインの上方に位置する前記改質予定領域内に順次、対応して投影可能にする光学ヘッドと、を備え、前記光学ヘッドは、それぞれの前記レーザビームにおいて最も収束するスポット部が、前記改質予定領域の前記非晶質シリコン膜の膜内部に位置する状態で、前記レーザビームが前記改質予定領域内を相対的にスキャンされることを特徴とする。
 上記態様としては、前記改質予定領域は、薄膜トランジスタのチャネル半導体層であることを特徴とする。
 上記態様としては、前記光学ヘッドから出射された前記レーザビームは、前記非晶質シリコン膜の表面に対して所定の直線に沿って一定のピッチで並ぶように投影されることが好ましい。
 上記態様としては、前記光学ヘッドは、前記複数の前記レーザビームのピッチが、ゲートラインのピッチに等しくなるように回転移動可能であることが好ましい。
 上記態様としては、前記複数の前記レーザビームのそれぞれの光量を検出する光量センサを備え、前記光量センサで検出された前記レーザビームの光量に基づいて、当該レーザビームを出射する前記光源の出力を調整可能であることが好ましい。
 上記態様としては、前記光量センサは、前記光学ヘッドの後方に配置されることが好ましい。
 上記態様としては、前記光学ヘッドは、前記レーザビームを側方へ反射するビームスプリッタを備え、前記光量センサは、前記光学ヘッドの側方に配置されることが好ましい。
 上記態様としては、前記光学ヘッドは、前記レーザビームを側方へ反射するスキャンミラーを備え、前記光量センサは、前記光学ヘッドの側方に配置されることが好ましい。
 上記態様としては、前記複数の前記光源から出射されたそれぞれの前記レーザ光は、前記光学ヘッドに設けられたファイバアレイのそれぞれの光ファイバに導かれることが好ましい。
 上記態様としては、前記光学ヘッドは、前記ファイバアレイと、結像光学系と、を備え、前記ファイバアレイは、アクチュエータにより光軸方向に沿って移動可能であり、前記結像光学系は、テレセントリック光学系で構成されていることが好ましい。
 本発明の他の態様は、基板の上に互いに平行をなす複数のゲートラインが形成され、前記複数の前記ゲートラインの上層に前記複数の前記ゲートラインの全体を覆うように成膜された非晶質シリコン膜に対して、連続発振レーザ光を照射して前記非晶質シリコン膜の改質予定領域を結晶化膜に改質させるレーザアニール方法であって、複数の光源のそれぞれから、連続発振されるレーザ光を出射させ、複数の前記光源から出射されたそれぞれの前記レーザ光を、光学ヘッドで、収束するレーザビームとなるように加工して、それぞれの前記レーザビームが前記ゲートラインの上方に位置する前記改質予定領域内に順次、対応して投影させ、それぞれの前記レーザビームにおいて最も収束するスポット部が、前記改質予定領域の前記非晶質シリコン膜の膜内部に位置するように配置させ、前記光学ヘッドを、前記レーザビームが前記改質予定領域内を相対的にスキャンされるように移動させることを特徴とする。
 本発明に係るレーザアニール装置およびレーザアニール方法によれば、非晶質シリコン膜よりも下層に配置された、基板ならびにゲートラインなどを熱的損傷させることなく、改質予定領域の非晶質シリコン膜のみを効率的に結晶化させるという効果がある。
図1は、本発明の第1の実施の形態に係るレーザアニール装置を用いたTFTアレイの製造方法を示す断面説明図である。 図2は、本発明の第1の実施の形態に係るレーザアニール装置の概略を示す構成図である。 図3は、本発明の第1の実施の形態に係るレーザアニール装置を用いたTFTアレイの製造方法を示す平面説明図である。 図4-1は、本発明の第1の実施の形態に係るレーザアニール装置を用いたレーザアニール方法を示す平面説明図である。 図4-2は、本発明の第1の実施の形態に係るレーザアニール装置において光学ヘッドを回転させてビームピッチを変更した状態を示すTFTアレイの製造方法を示す平面説明図である。 図5は、本発明の第2の実施の形態に係るレーザアニール装置の概略を示す構成図である。 図6は、本発明の第3の実施の形態に係るレーザアニール装置の概略を示す構成図である。 図7は、本発明の第4の実施の形態に係るレーザアニール装置の概略を示す構成図である。 図8は、本発明の第4の実施の形態に係るレーザアニール装置の要部を示す側面図である。 図9は、本発明の第5の実施の形態に係るレーザアニール装置の概略を示す構成図である。 図10は、本発明の第6の実施の形態に係るレーザアニール装置の概略を示す構成図である。 図11は、本発明の第6の実施の形態に係るレーザアニール装置における結像光学系の構成図である。
 以下に、本発明の実施の形態に係るレーザアニール装置およびレーザアニール方法の詳細を図面に基づいて説明する。但し、図面は模式的なものであり、各部材の数、各部材の寸法、寸法の比率、形状などは現実のものと異なることに留意すべきである。また、図面相互間においても互いの寸法の関係や比率や形状が異なる部分が含まれている。
[第1の実施の形態]
(レーザアニール装置の構成)
 図1および図2に示すように、本実施の形態に係るレーザアニール装置1は、光源ユニット2と、光学ヘッド3と、基板10を搬送する図示しない基板搬送手段と、図示しない変位計と、を備えている。
 光源ユニット2は、連続発振レーザ光(CWレーザ光)を発振する光源としての複数の半導体レーザLD(LD1~LDn)を備えている。ここで、連続発振レーザ光(CWレーザ光)とは、目的領域に対して連続してレーザ光を照射する所謂疑似連続発振も含む概念である。つまり、レーザ光がパルスレーザであっても、パルス間隔が加熱後のシリコン薄膜(非晶質シリコン膜)の冷却時間よりも短い(固まる前に次のパルスで照射する)疑似連続発振レーザであってもよい。レーザ光源としては、半導体レーザ、固体レーザ、液体レーザ、気体レーザなどの各種のレーザを用いることが可能である。
 なお、本実施の形態では、半導体レーザLDの予備Rとして、例えば、半導体レーザLD100~LDnを備えている。
 光源ユニット2は、上記の複数の半導体レーザLDと、ドライブ回路20と、複数のカップリングレンズ21と、を備えている。ドライブ回路20は、複数の半導体レーザLDのそれぞれに接続されており、それぞれの半導体レーザLDを駆動する。
 カップリングレンズ21は、それぞれの半導体レーザLDの出射側に接続されている。
それぞれのカップリングレンズ21には、導波路としての光ファイバ22の一端部が接続されている。本実施の形態では、光ファイバ22としてマルチモードファイバを適用している。
 光学ヘッド3は、ファイバアレイ31と、結像光学系32と、を備える。ファイバアレイ31は、光ファイバ22の他端部が接続されている。本実施の形態では、ファイバアレイ31に接続された光ファイバ22の出射端は、ファイバアレイ31の出射側端面において、一つの直線上に沿って一列に並ぶように配置されている。
 結像光学系32は、少なくとも入射側の第1レンズ33と、出射側の第2レンズ34と、を備えている。図2に示すように、結像光学系32は、ファイバアレイ31から出射されたレーザ光が入射される。図1に示すように、光学ヘッド3では、レーザ光を下流側(後側)へ向けてスポット部Fで収束するレーザビームLBcwとなるように加工する。本実施の形態では、図4-1に示すように、光学ヘッド3の出射側において、レーザビームLBcwは、一直線の上に沿ってピッチP1で配置された位置から出射される。このピッチP1は、後述するゲートライン12のピッチと同一に設定されている。なお、この実施の形態では、レーザビームLBcwの並ぶ方向が後述するゲートライン12の延びる方向と直角をなすように設定されている。
 なお、光学ヘッド3の側方には図示しない、光学ヘッド3と基板10との位置ずれを補正する変位計が設けられている。この変位計で検出した光学ヘッド3と基板10との位置ずれ量のデータに基づいて、光学ヘッド3から出射されるレーザビームLBcwのピント調整を自動で行えるオートフォーカスの機能を備える。なお、本実施の形態では、オートフォーカスの手段として変位計を用いたが、これには限定されず、様々な公知の技術を用いることができる。
 なお、本実施の形態において、レーザビームLBcwは、トップハット型形状の特性を持ち、光軸に直交する方向の断面形状が正方形である。なお、レーザビームLBcwの断面形状は、長方形、六角形などであってもよい。レーザビームLBcwの断面形状をこのような形状にするには、光ファイバ22のコアの断面形状を、正方形、長方形、六角形などに設定すればよい。
 図示しない基板搬送手段は、レーザアニール処理を施す基板10をスキャン方向へ任意の速度で搬送する機構を備える。したがって、光学ヘッド3の位置を固定した状態で基板10側を搬送することによって、基板10に対してレーザビームLBcwを相対的にスキャンするようになっている。
 図1に示すように、被レーザアニール処理基板としての基板10は、ガラス基板11を本体とする。このガラス基板11の上には、銅(Cu)でパターン形成された複数のゲートライン12およびその他の金属配線パターン、シリコン窒化膜(Si3N4)13、シリコン酸化膜(SiO2)14、被レーザアニール処理膜としての非晶質シリコン膜15aなどが順次積層されている。複数のゲートライン12は、互いに平行をなすように配置されている。上述したように、ゲートライン12同士のピッチは、ピッチP1に設定されている。
 ゲートライン12は、図示しない画素領域毎に形成されるTFTのゲート電極となる部分を含む。因みに、一例として、ゲートライン12の厚さ寸法は200~700nm、シリコン窒化膜13の厚さ寸法は300nm程度、シリコン酸化膜14の厚さ寸法は50~100nm、非晶質シリコン膜15aの厚さ寸法は50nm程度を挙げることができる。
 本実施の形態では、非晶質シリコン膜15aの表面に照射されるレーザビームLBcwのビーム径寸法は、例えば、5μm以上300μm以内の任意の寸法に設定されている。
なお、このビーム径寸法の範囲は、レーザビームLBcwの照射面がTFTの半導体活性領域(改質予定領域)に収容され得る大きさである。なお、このレーザビームLBcwの照射面の径寸法は、10μm以上100μm以内であることが好ましい。
 本実施の形態においては、レーザビームLBcwが非晶質シリコン膜15aに対して、相対的にスキャンされるスキャン速度は、200mm~500mm/秒であることが好ましいが、これに限定されるものではない。
 図3に示すように、上述した条件でレーザビームLBcwを非晶質シリコン膜15aにおける改質予定領域内をゲートライン12の延びる方向に沿って照射することにより、非晶質シリコン膜15aを部分的に疑似単結晶シリコン膜15Laに改質することができる。なお、疑似単結晶シリコン膜15Laが形成された領域は、改質予定領域と一致する。
 本実施の形態に係るレーザアニール装置1によれば、レーザビームLBcwにおけるパワー密度の高いスポット部Fが非晶質シリコン膜15aの膜内部に位置するため、非晶質シリコン膜15aに重点的に大きな熱量が供給される。そして、スポット部Fから大部分の熱が側方(図1における矢印h方向)に向けて非晶質シリコン膜15a内を伝達される。スポット部Fの後側(下側)では、ビームが拡散するため、下地のシリコン酸化膜14等に到達する光のパワー密度が低くなり、非晶質シリコン膜15aの下層側を過熱することを抑制できる。このため、本実施の形態に係るレーザアニール装置1によれば、ゲートライン12やその他の配線パターンやガラス基板11などが過熱により損傷されることを回避できる。
 本実施の形態に係るレーザアニール装置1によれば、非晶質シリコン膜15aを全てのゲートライン12の全体を覆うように成膜した状態でも、ゲートライン12やその他の配線やガラス基板11にダメージが発生することがない。
 また、本実施の形態に係るレーザアニール装置1によれば、TFTのチャネル半導体層とすべき改質予定領域のみにレーザビームLBcwを照射すればよいため、エネルギー効率を高めることができる。
[第1の実施の形態の変形例]
 図4-2は、本発明の第1の実施の形態に係るレーザアニール装置1の変形例の光学ヘッド3を示す。この変形例では、光学ヘッド3が図示しない回転駆動部により回転可能に駆動されるように設定されている。なお、この変形例における光学ヘッド3の基本的な構成は、上記第1の実施の形態と同様である。
 この変形例では、ゲートライン12同士のピッチP2が図4-1に示すゲートライン12のピッチP1より短い場合に適用できる。図4-2に示すように、複数のゲートライン12にレーザビームLBcwが対応するように光学ヘッド3を回転調整することにより、ゲートライン12の上方の非晶質シリコン膜15aの改質予定領域に的確にレーザビームLBcwを照射することが可能となる。なお、図4-2に示すように斜めに回転移動させた光学ヘッド3を基板10に対して相対的にスキャンした場合、適正な改質予定領域にレーザビームLBcwが照射されるタイミングは、ゲートライン12毎に順次ずれるため、ドライブ回路20で半導体レーザLDへの出力タイミングを順次遅延させるように設定すればよい。
 この変形例によれば、レーザビームLBcwが照射される列同士のピッチを光学ヘッド3の回転により変えることができる。したがって、基板におけるゲートライン12のピッチが変更された場合にも適用できるレーザアニール装置を実現できる。
[レーザアニール方法]
 次に、本実施の形態に係るレーザアニール方法について説明する。レーザアニール方法は、レーザアニール装置1を用いて基板10における改質予定領域に疑似単結晶シリコン膜15Laを形成するためのレーザアニール処理方法である。
 まず、このレーザアニール方法では、図1に示すように、ガラス基板11の上に互いに平行をなす複数のゲートライン12が形成され、複数のゲートライン12の上層にこれらゲートライン12の全体を覆うように非晶質シリコン膜15aが成膜された基板10を用意する。
 次に、基板10を図示しない基板搬送手段に基板10をセットし、半導体レーザLDのそれぞれから、連続発振されるレーザ光を出射させ、レーザ光を光学ヘッド3で、収束するレーザビームLBcwとなるように加工して、それぞれのレーザビームLBcwをゲートライン12の上方に位置する図示しない改質予定領域内に順次、対応するように投影する。
 このとき、レーザビームLBcwにおいて最も収束するスポット部Fを、改質予定領域の非晶質シリコン膜15aの膜内部に位置するように配置する。
 そして、図示しない基板搬送手段で基板10を移動させて、レーザビームLBcwが改質予定領域内をゲートライン12が延びる方向に沿って、相対的にスキャンさせる。この結果、TFTのチャネル半導体層となるべき領域を疑似単結晶シリコン膜15Laに改質できる。
 本実施の形態のレーザアニール方法では、TFTのチャネル半導体層を形成すべき領域だけに疑似単結晶シリコン膜15Laを形成できるため、エネルギー効率のよいアニールを行うことができる。このため、このレーザアニール方法では、大幅な低コスト化を実現できる。因みに、エキシマレーザによるラインビームを用いた従来のアニール方法では、非晶質シリコン膜全体の領域をラインビームで塗りつぶすようにレーザ照射して結晶化させるため、非晶質シリコン膜への照射領域に継ぎ目が発生していた。このため、この継ぎ目領域でのチャネル半導体層と、それ以外の領域でのチャネル半導体層とでは、移動度が異なりTFT基板全体のチャネル半導体層で移動度にばらつきがであった。これに対して、本実施の形態のレーザアニール方法では、照射領域の継ぎ目が発生しないため、チャネル半導体層の移動度を均一にすることができる。
 また、本実施の形態のレーザアニール方法では、ゲートライン12やガラス基板11などを熱的に損傷させることがないため、歩留まりの高いTFT基板の製造を実現することができる。
[第2の実施の形態]
 図5は、本発明の第2の実施の形態に係るレーザアニール装置1Aを示す概略構成図である。
 本実施の形態では、複数のレーザビームLBcwのそれぞれの光量を検出する光量センサD1を備えることを特徴とする。本実施の形態における他の構成は、上記第1の実施の形態に係るレーザアニール装置1と同様であるため、説明を省略する。
 光量センサD1は、光学ヘッド3の後方に配置され、レーザビームLBcwのスポット部Fに順次移動できるようになっている。また、この光量センサD1は、1つレーザビームLBcwの光量を検出するときに、隣接するレーザビームLBcwが入射しないように設定されている。
 本実施の形態では、光量センサD1で検出したデータは、ドライブ回路20へフィードバックされ、当該レーザビームLBcwの光源としての半導体レーザLDの出力調整を行うようになっている。
 本実施の形態では、レーザアニール処理を行う前に、それぞれのレーザビームLBcwの光量調整を行って、これらレーザビームLBcwの出力(光量)の均一化を図ることができる。このため、本実施の形態に係るレーザアニール装置1Aによれば、TFT同士のチャネル半導体層の電気的特性の均一化を図ることができる。
[第3の実施の形態]
 図6は、本発明の第3の実施の形態に係るレーザアニール装置1Bの概略構成図である。本実施の形態に係るレーザアニール装置1Bは、結像光学系32B内の光路にビームスプリッタ35を備え、ビームスプリッタ35の側方に側方レンズ36および光量センサD2が配置されている。本実施の形態では、ビームスプリッタ35で反射されたレーザビームLBcwが側方レンズ36を通して光量センサD2に入射されるように設定されている。本実施の形態に係るレーザアニール装置1Bの他の構成は、上記第1の実施の形態と同様である。
 本実施の形態では、光量センサD2で検出されたデータは、ドライブ回路20へフィードバックされ、当該レーザビームLBcwの光源としての半導体レーザLDの出力調整を行うようになっている。本実施の形態では、レーザアニール装置1Bを運転しながら、各半導体レーザLDの出力調整を行うことができる。
[第4の実施の形態]
 図7は、本発明の第4の実施の形態に係るレーザアニール装置1Cを示す概略構成図、図8はレーザアニール装置1Cの要部側面図である。本実施の形態に係るレーザアニール装置1Cは、ファイバアレイ31から出射されたレーザ光を、第1レンズ33を通して例えば、ガルバノミラーなどのスキャンミラーSMで下方(側方)へ向けて反射させる。スキャンミラーSMで反射されたレーザビームLBcwは、下方に配置された第2レンズ34を通して基板側へ照射される。図8に示すように、スキャンミラーSMは、傾斜度合いを変更可能にするために、矢印A方向に回転調整可能に設定されている。
 本実施の形態によれば、装置の高さ寸法を短くして、装置をコンパクトにすることができる。また、スキャンミラーSMを回転調整することにより、レーザビームLBcwの照射位置や、非晶質シリコン膜15a表面からの膜厚方向におけるスポット部Fの深さ位置を調整することが可能となる。
[第5の実施の形態]
 図9は、本発明の第5の実施の形態に係るレーザアニール装置1Dの概略構成図である。この実施の形態は、上記第2の実施の形態に係るレーザアニール装置1Aの結像光学系32における瞳位置に開口37Aを有するマスク37を配置して構成した結像光学系32Dを備える。本実施の形態に係るレーザアニール装置1Dの他の構成は、上記第2の実施の形態に係るレーザアニール装置1Aと同様である。
 本実施の形態によれば、マスク37によって、結像光学系32Dを通過するレーザビームLBcwのパターンを変更することができる。本実施の形態においても、光量センサD1を備えるため、パターンを変更したレーザビームLBcwのそれぞれの光量を光量センサD1で検出することできる。
[第6の実施の形態]
 図10は、本発明の第6の実施の形態に係るレーザアニール装置1Eの概略構成図である。図11は、レーザアニール装置1Eにおける結像光学系38の概略構成図である。
 図10に示すように、本実施の形態に係るレーザアニール装置1Eは、第1の実施の形態と同様に、光学ヘッド3として、ファイバアレイ31と、結像光学系38と、を備える。ファイバアレイ31は、光ファイバ22の他端部が接続されている。光ファイバ22の出射端は、ファイバアレイ31の出射側端面において、一つの直線上に沿って一列に並ぶように配置されている。
 本実施の形態では、結像光学系38は、テレセントリック光学系で構成されている。また、ファイバアレイ31は、アクチュエータ39によって光軸方向に沿って変位されるようになっている。本実施の形態では、レーザアニール装置1Eのオートフォーカス時に、アクチュエータ39でファイバアレイ31のみを光軸に沿って移動させるようになっている。このとき、光源ユニット2および結像光学系38は、移動しないようになっている。
 図11に示すように、本実施の形態において、結像光学系38は、光軸方向に沿って順次配置された複数のレンズなどの光学部材L1~L14でテレセントリック光学系を構成している。このようなテレセントリック光学系でなる結像光学系38によれば、基板10に対してピント合わせを行う際に、アクチュエータ39が軽量なファイバアレイ31のみを移動させればよいため、迅速な応答性を有するオートフォーカス性能を得ることができる。
 また、結像光学系38は、テレセントリック光学系でなるため、基板10に対して像のずれがなく、基板10表面における複数のレーザビームLBcwの照射位置のピッチが変わらないという利点がある。
 なお、アクチュエータ39としては、ピエゾ圧電効果を応用した位置決め素子であるピエゾアクチュエータを適用することができる。ピエゾアクチュエータは、ナノメータ程度の極めて微小な範囲から数百ミクロンメータまでの位置決めを正確に行うことができる。
また、ピエゾアクチュエータは、セラミックで形成されているため非常に硬く、大きな力を生み出すことができる。また、ピエゾアクチュエータは、コンパクトで省エネルギーな駆動を行うことができる。なお、本実施の形態では、アクチュエータ39として、ピエゾアクチュエータを適用したが、リニアモータなどの他の駆動手段を適用することも勿論可能である。
 このレーザアニール装置1Eでは、軽量なファイバアレイ31のみを移動させるだけでよいため、アクチュエータ39の負荷が小さく、迅速なオートフォーカス機能を備えることができる。
(その他の実施の形態)
 以上、本発明の実施の形態について説明したが、実施の形態の開示の一部をなす論述および図面はこの発明を限定するものであると理解すべきではない。この開示から当業者には様々な代替実施の形態、実施例および運用技術が明らかとなろう。
 上記の実施の形態では、レーザビームLBcwとして、トップハット型を適用したが、ドーナツ型形状のレーザビームLBcwとしてもよい。このようなドーナツ型形状のレーザビームLBcwを用いることにより、改質予定領域に形成した結晶化膜の輪郭部も確実に結晶化できるという利点がある。
 上記の各実施の形態では、ファイバアレイ31の出射端面において、光ファイバ22の他端部が一直線上に並ぶように配置したが、等間隔なゲートライン12に対応してレーザビームLBcwを照射できれば、光ファイバ22の他端部が一直線上に並ばなくともよい。
 上記の各実施の形態では、複数のレーザビームLBcwのピッチが、ゲートラインのピッチと同じになるように設定して、レーザビームLBcwをゲートライン12に沿った方向にスキャンしたが、レーザビームLBcwのピッチを、ゲートライン12に沿ってTFTを形成する改質予定領域のピッチの整数倍に設定すれば、レーザビームLBcwをゲートライン12と直交する方向にスキャンすることも可能である。
 D1,D2 光量センサ
 LD 半導体レーザ
 1,1A,1B,1C,1D,1E レーザアニール装置
 2 光源ユニット
 3 光学ヘッド
 10 基板(被レーザアニール処理基板)
 11 ガラス基板(基板)
 12 ゲートライン
 13 シリコン窒化膜
 14 シリコン酸化膜
 15a 非晶質シリコン膜
 21 カップリングレンズ
 22 光ファイバ
 31 ファイバアレイ
 32,32B 結像光学系
 33 第1レンズ
 34 第2レンズ
 35 ビームスプリッタ
 36 側方レンズ
 37 マスク
 37A 開口
 38 結像光学系
 39 アクチュエータ
 

Claims (11)

  1.  基板の上に互いに平行をなす複数のゲートラインが形成され、前記複数の前記ゲートラインの上層に前記複数の前記ゲートラインの全体を覆うように成膜された非晶質シリコン膜に対して、
     連続発振レーザ光を照射して前記非晶質シリコン膜の改質予定領域を結晶化膜に改質させるレーザアニール装置であって、
     連続発振されるレーザ光をそれぞれ出射する複数の光源と、
     複数の前記光源から出射されたそれぞれの前記レーザ光を、収束するレーザビームとなるように加工して、それぞれの前記レーザビームが前記ゲートラインの上方に位置する前記改質予定領域内に順次、対応して投影可能にする光学ヘッドと、
     を備え、
     前記光学ヘッドは、それぞれの前記レーザビームにおいて最も収束するスポット部が、前記改質予定領域の前記非晶質シリコン膜の膜内部に位置する状態で、前記レーザビームが前記改質予定領域内を相対的にスキャンされる
     レーザアニール装置。
  2.  前記改質予定領域は、薄膜トランジスタのチャネル半導体層である、
     請求項1に記載のレーザアニール装置。
  3.  前記光学ヘッドから出射された前記レーザビームは、前記非晶質シリコン膜の表面に対して所定の直線に沿って一定のピッチで並ぶように投影される、
     請求項1または請求項2に記載のレーザアニール装置。
  4.  前記光学ヘッドは、前記複数の前記レーザビームのピッチが、ゲートラインのピッチに等しくなるように回転移動可能である、
     請求項3に記載のレーザアニール装置。
  5.  前記複数の前記レーザビームのそれぞれの光量を検出する光量センサを備え、
     前記光量センサで検出された前記レーザビームの光量に基づいて、当該レーザビームを出射する前記光源の出力を調整可能である、
     請求項1から請求項4のいずれか一項に記載のレーザアニール装置。
  6.  前記光量センサは、前記光学ヘッドの後方に配置される、
     請求項5に記載のレーザアニール装置。
  7.  前記光学ヘッドは、前記レーザビームを側方へ反射するビームスプリッタを備え、前記光量センサは、前記光学ヘッドの側方に配置される、
     請求項5に記載のレーザアニール装置。
  8.  前記光学ヘッドは、前記レーザビームを側方へ反射するスキャンミラーを備え、前記光量センサは、前記光学ヘッドの側方に配置される、
     請求項5に記載のレーザアニール装置。
  9.  前記複数の前記光源から出射されたそれぞれの前記レーザ光は、前記光学ヘッドに設けられたファイバアレイのそれぞれの光ファイバに導かれる、
     請求項1から請求項8のいずれか一項に記載のレーザアニール装置。
  10.  前記光学ヘッドは、前記ファイバアレイと、結像光学系と、を備え、
     前記ファイバアレイは、アクチュエータにより光軸方向に沿って移動可能であり、
     前記結像光学系は、テレセントリック光学系で構成されている
     請求項9に記載のレーザアニール装置。
  11.  基板の上に互いに平行をなす複数のゲートラインが形成され、前記複数のゲートラインの上層に前記複数のゲートラインの全体を覆うように成膜された非晶質シリコン膜に対して、
     連続発振レーザ光を照射して前記非晶質シリコン膜の改質予定領域を結晶化膜に改質させるレーザアニール方法であって、
     複数の光源のそれぞれから、連続発振されるレーザ光を出射させ、
     複数の前記光源から出射されたそれぞれの前記レーザ光を、光学ヘッドで、収束するレーザビームとなるように加工して、それぞれの前記レーザビームが前記ゲートラインの上方に位置する前記改質予定領域内に順次、対応して投影させ、それぞれの前記レーザビームにおいて最も収束するスポット部が、前記改質予定領域の前記非晶質シリコン膜の膜内部に位置するように配置させ、
     前記光学ヘッドを、前記レーザビームが前記改質予定領域内を相対的にスキャンされるように移動させる
     レーザアニール方法。
     
PCT/JP2020/029833 2019-08-29 2020-08-04 レーザアニール装置およびレーザアニール方法 WO2021039310A1 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2019157087 2019-08-29
JP2019-157087 2019-08-29
JP2020-010744 2020-01-27
JP2020010744 2020-01-27

Publications (1)

Publication Number Publication Date
WO2021039310A1 true WO2021039310A1 (ja) 2021-03-04

Family

ID=74683998

Family Applications (2)

Application Number Title Priority Date Filing Date
PCT/JP2020/029833 WO2021039310A1 (ja) 2019-08-29 2020-08-04 レーザアニール装置およびレーザアニール方法
PCT/JP2020/032422 WO2021039920A1 (ja) 2019-08-29 2020-08-27 レーザアニール装置およびレーザアニール方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
PCT/JP2020/032422 WO2021039920A1 (ja) 2019-08-29 2020-08-27 レーザアニール装置およびレーザアニール方法

Country Status (5)

Country Link
JP (1) JPWO2021039920A1 (ja)
KR (1) KR20220052901A (ja)
CN (2) CN112447506A (ja)
TW (1) TW202122195A (ja)
WO (2) WO2021039310A1 (ja)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006041092A (ja) * 2004-07-26 2006-02-09 Nikon Corp 熱処理方法及び熱処理装置、並びにマスク
JP2006100427A (ja) * 2004-09-28 2006-04-13 Advanced Lcd Technologies Development Center Co Ltd 位相シフタの製造方法およびレーザアニール装置
JP2010118409A (ja) * 2008-11-11 2010-05-27 Ulvac Japan Ltd レーザアニール装置及びレーザアニール方法
JP2011165717A (ja) * 2010-02-04 2011-08-25 Hitachi Displays Ltd 表示装置及び表示装置の製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08288520A (ja) * 1995-04-20 1996-11-01 A G Technol Kk アクティブマトリックス基板の製造方法
TW535194B (en) 2000-08-25 2003-06-01 Fujitsu Ltd Semiconductor device, manufacturing method therefor, and semiconductor manufacturing apparatus
US20080030877A1 (en) * 2006-08-07 2008-02-07 Tcz Gmbh Systems and methods for optimizing the crystallization of amorphous silicon
JP5517832B2 (ja) * 2010-08-20 2014-06-11 住友重機械工業株式会社 レーザアニール装置及びレーザアニール方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006041092A (ja) * 2004-07-26 2006-02-09 Nikon Corp 熱処理方法及び熱処理装置、並びにマスク
JP2006100427A (ja) * 2004-09-28 2006-04-13 Advanced Lcd Technologies Development Center Co Ltd 位相シフタの製造方法およびレーザアニール装置
JP2010118409A (ja) * 2008-11-11 2010-05-27 Ulvac Japan Ltd レーザアニール装置及びレーザアニール方法
JP2011165717A (ja) * 2010-02-04 2011-08-25 Hitachi Displays Ltd 表示装置及び表示装置の製造方法

Also Published As

Publication number Publication date
TW202122195A (zh) 2021-06-16
KR20220052901A (ko) 2022-04-28
JPWO2021039920A1 (ja) 2021-03-04
WO2021039920A1 (ja) 2021-03-04
CN213366530U (zh) 2021-06-04
CN112447506A (zh) 2021-03-05

Similar Documents

Publication Publication Date Title
US20040241922A1 (en) Laser annealing method and apparatus
JP2009518864A (ja) 膜を加工するためのシステム及び方法並びに薄膜
TW201739057A (zh) 薄膜電晶體之製造方法及該製造方法所使用之遮罩
TWI521563B (zh) 雷射處理裝置
JP2010118409A (ja) レーザアニール装置及びレーザアニール方法
US7476475B2 (en) Mask for sequential lateral solidification and method of performing sequential lateral solidification using the same
WO2020158464A1 (ja) レーザアニール方法およびレーザアニール装置
WO2021039310A1 (ja) レーザアニール装置およびレーザアニール方法
WO2006075568A1 (ja) 多結晶半導体薄膜の製造方法および製造装置
JP2010034366A (ja) 半導体処理装置および半導体処理方法
WO2022181029A1 (ja) レーザアニール装置およびレーザアニール方法
KR100667899B1 (ko) 저온 다결정 폴리 실리콘 박막트랜지스터 액정표시장치의레이저 어닐링 장치 및 방법
JP2006210789A (ja) 半導体結晶薄膜の製造方法およびその製造装置ならびにフォトマスクならびに半導体素子
WO2023171170A1 (ja) レーザアニール装置およびレーザアニール方法
WO2021039365A1 (ja) レーザアニール装置および結晶化膜の形成方法
WO2021181700A1 (ja) レーザアニール装置およびレーザアニール方法
WO2020184153A1 (ja) レーザアニール装置
WO2023276182A1 (ja) 熱処理方法、熱処理装置、及び半導体装置の製造方法
JP2004281771A (ja) 半導体薄膜の結晶成長方法および結晶成長装置ならびに薄膜トランジスタの製造方法
JP2007287866A (ja) 半導体結晶薄膜の製造方法およびそれに用いられる製造装置、フォトマスク、ならびに半導体素子
JP2008071788A (ja) レーザアニール装置、半導体膜基板、素子基板、及び電気光学装置
JP2006054223A (ja) 半導体薄膜の結晶化方法、結晶化された半導体薄膜を有する基板、そして半導体薄膜の結晶化装置
JP2008218493A (ja) 半導体膜の製造方法および半導体膜製造装置
KR20110008339A (ko) 결정질막의 제조 방법 및 제조 장치
KR20050121548A (ko) 실리콘 결정화 방법과 이를 이용한 박막트랜지스터 기판의제조방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20857932

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20857932

Country of ref document: EP

Kind code of ref document: A1