WO2018043305A1 - 基材表面の選択的修飾方法及び組成物 - Google Patents

基材表面の選択的修飾方法及び組成物 Download PDF

Info

Publication number
WO2018043305A1
WO2018043305A1 PCT/JP2017/030428 JP2017030428W WO2018043305A1 WO 2018043305 A1 WO2018043305 A1 WO 2018043305A1 JP 2017030428 W JP2017030428 W JP 2017030428W WO 2018043305 A1 WO2018043305 A1 WO 2018043305A1
Authority
WO
WIPO (PCT)
Prior art keywords
polymer
region
substrate
silicon
selectively modifying
Prior art date
Application number
PCT/JP2017/030428
Other languages
English (en)
French (fr)
Inventor
裕之 小松
智博 小田
仁視 大▲崎▼
雅史 堀
岳彦 成岡
Original Assignee
Jsr株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jsr株式会社 filed Critical Jsr株式会社
Priority to KR1020197004869A priority Critical patent/KR102376126B1/ko
Priority to JP2018537212A priority patent/JP6889381B2/ja
Publication of WO2018043305A1 publication Critical patent/WO2018043305A1/ja
Priority to US16/288,385 priority patent/US11211246B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • B05D1/322Removable films used as masks
    • B05D1/327Masking layer made of washable film
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/10Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by other chemical means
    • B05D3/107Post-treatment of applied coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • B05D3/145After-treatment
    • B05D3/148After-treatment affecting the surface properties of the coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/14Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to metal, e.g. car bodies
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F112/00Homopolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F112/02Monomers containing only one unsaturated aliphatic radical
    • C08F112/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F112/06Hydrocarbons
    • C08F112/08Styrene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F8/00Chemical modification by after-treatment
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D125/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring; Coating compositions based on derivatives of such polymers
    • C09D125/02Homopolymers or copolymers of hydrocarbons
    • C09D125/04Homopolymers or copolymers of styrene
    • C09D125/06Polystyrene
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D125/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring; Coating compositions based on derivatives of such polymers
    • C09D125/02Homopolymers or copolymers of hydrocarbons
    • C09D125/16Homopolymers or copolymers of alkyl-substituted styrenes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, the oxygen atom being present only as part of the carboxyl radical
    • C09D133/10Homopolymers or copolymers of methacrylic acid esters
    • C09D133/12Homopolymers or copolymers of methyl methacrylate
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/008Temporary coatings
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/20Diluents or solvents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/61Additives non-macromolecular inorganic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/002Processes for applying liquids or other fluent materials the substrate being rotated
    • B05D1/005Spin coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2518/00Other type of polymers
    • B05D2518/10Silicon-containing polymers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F120/00Homopolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride, ester, amide, imide or nitrile thereof
    • C08F120/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F120/10Esters
    • C08F120/12Esters of monohydric alcohols or phenols
    • C08F120/14Methyl esters, e.g. methyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2810/00Chemical modification of a polymer
    • C08F2810/40Chemical modification of a polymer taking place solely at one end or both ends of the polymer backbone, i.e. not in the side or lateral chains

Definitions

  • the present invention relates to a method for selectively modifying a substrate surface and a composition.
  • the conventional material is a low molecular material, it cannot be applied by the spin coating method in the existing process, and it is necessary to use the inefficient Langmuir-Blodgett method, which is inferior in heat resistance. Therefore, it is desirable to use a polymer material having a large viscosity and heat resistance. On the other hand, since the steric hindrance of the polymer material is large, the surface of the base material cannot be modified efficiently, and a method that can easily find sufficient selectivity is not yet known.
  • the present invention has been made on the basis of the circumstances as described above, and its object is to selectively modify the surface of a substrate that can easily and highly selectively modify a surface region containing silicon. It is to provide methods and compositions.
  • the invention made in order to solve the above-described problems includes a step of preparing a base material having a first region containing silicon in a surface layer, and a group containing a first functional group bonded to the silicon on the surface of the base material.
  • Selective of substrate surface comprising a step of coating a composition containing a first polymer and a solvent at the end of the main chain or side chain, and a step of heating a coating film formed by the coating step It is a modification method.
  • compositions used for a method of selectively modifying a substrate surface wherein a group containing a first functional group that forms a bond with silicon is a main chain or a side chain. It contains a polymer having a terminal and a solvent.
  • a surface region containing silicon can be modified easily and with high selectivity and high density. Therefore, the method for selectively modifying the surface of the substrate and the composition can be suitably used for a semiconductor device processing process and the like that are expected to be further miniaturized in the future.
  • selective modification method for the substrate surface
  • the selective modification method includes a step of preparing a base material having a first region containing silicon (hereinafter also referred to as “region (I)”) on a surface layer (hereinafter also referred to as “preparation step”), and the above base material.
  • a group containing a first functional group hereinafter also referred to as “functional group (A)” bonded to silicon on the surface of the main chain or side chain at the end of the main chain or side chain.
  • Coating step and a step of heating the coating film formed by the coating step (hereinafter also referred to as “heating step”).
  • the base material is a region other than the region (I) and further includes a second region containing a metal (hereinafter, also referred to as “region (II)”), and after the heating step. It is preferable to further include a step of removing a portion of the coating film formed on the region (II) with a rinsing liquid (hereinafter, also referred to as “removing step”).
  • the selective modification method includes, for example, a step of bringing alcohol, dilute acid, ozone, or plasma into contact with the surface of the substrate after the removal step (hereinafter, also referred to as “contacting step”), A step of depositing a pattern on the surface by a CVD method or an ALD method (hereinafter also referred to as “deposition step”), and a step of removing the [A] polymer on the surface of the substrate after the removal step by etching (hereinafter referred to as “deposition step”). , Also referred to as an “etching step”) or the like.
  • contacting step a step of bringing alcohol, dilute acid, ozone, or plasma into contact with the surface of the substrate after the removal step
  • deposition step A step of depositing a pattern on the surface by a CVD method or an ALD method
  • deposition step a step of removing the [A] polymer on the surface of the substrate after the removal step by etching
  • etching step also referred to as an “
  • a substrate having a silicon-containing region (I) on the surface layer is prepared.
  • the region (I) is not particularly limited as long as it contains silicon element.
  • Examples of the form of silicon contained in region (I) include silicon alone, silicon oxide, silicon nitride, and silicon oxide nitride.
  • Examples of the silicon oxide include SiO 2 .
  • Examples of silicon nitride include SiNx, Si 3 N 4 and the like.
  • Examples of the silicon oxide nitride include SiON.
  • the surface layer of the substrate usually has a region (II) that is a region other than the region (I) and contains a metal.
  • the metal is not particularly limited as long as it is a metal element.
  • the metal include copper, iron, zinc, cobalt, aluminum, titanium, tin, tungsten, zirconium, titanium, tantalum, germanium, molybdenum, ruthenium, gold, silver, platinum, palladium, nickel and the like. Of these, copper, cobalt, tungsten and tantalum are preferred.
  • Examples of the metal content in the region (II) include simple metals, alloys, conductive nitrides, metal oxides, and silicides.
  • Examples of simple metals include simple metals such as copper, iron, cobalt, tungsten, and tantalum.
  • the alloy include a nickel-copper alloy, a cobalt-nickel alloy, and a gold-silver alloy.
  • Examples of the conductive nitride include tantalum nitride, titanium nitride, iron nitride, and aluminum nitride.
  • Examples of the metal oxide include tantalum oxide, aluminum oxide, iron oxide, copper oxide, and the like.
  • Examples of the silicide include iron silicide and molybdenum silicide.
  • simple metals, alloys, conductive nitrides and silicides are preferable, simple metals and conductive nitrides are more preferable, and simple copper, cobalt, tungsten, tantalum and tantalum nitride are more preferable.
  • the existence shape of the region (I) and / or the region (II) on the surface layer of the substrate is not particularly limited, and examples thereof include a planar shape, a dot shape, and a stripe shape in a plan view.
  • the sizes of the region (I) and the region (II) are not particularly limited, and can be appropriately set as desired.
  • the shape of the base material is not particularly limited, and can be a desired shape such as a plate shape (substrate) or a spherical shape.
  • composition (I) is applied to the surface of the substrate.
  • Examples of the coating method of the composition (I) include a spin coating method.
  • Composition (I) contains a [A] polymer and a [B] solvent.
  • the composition (I) may contain other components in addition to the [A] polymer and the [B] solvent.
  • the polymer is a polymer having the group (I) at the end of the main chain or side chain.
  • Main chain refers to the longest of the atomic chains of a polymer.
  • ide chain refers to a polymer chain other than the main chain. From the viewpoint of further increasing the density of the surface-modified [A] polymer, the [A] polymer preferably has the group (I) at the end of the main chain, and has at one end of the main chain. More preferably.
  • Group (I) is a group containing a functional group (A) that bonds to silicon.
  • the functional group (A) is a functional group that bonds to silicon.
  • This bond is, for example, a chemical bond and includes a covalent bond, an ionic bond, a coordination bond, and the like. Among these, a covalent bond is preferable from the viewpoint of a larger bonding force between the silicon and the functional group.
  • Examples of the functional group (A) include a functional group that is covalently bonded to silicon, such as —SiR x (OR ′) 3-x , —SiR y (OR ′) 2-y —, and the like.
  • R and R ′ are each independently a hydrogen atom or a monovalent hydrocarbon group having 1 to 20 carbon atoms.
  • x is an integer of 0 to 3.
  • y is an integer of 0-2.
  • the plurality of R may be the same or different, and when a plurality of R ′ are present, the plurality of R ′ may be the same or different.
  • Examples of the monovalent hydrocarbon group having 1 to 20 carbon atoms represented by R and R ′ include, for example, a monovalent chain hydrocarbon group having 1 to 20 carbon atoms and a monovalent alicyclic ring having 3 to 20 carbon atoms. And a monovalent aromatic hydrocarbon group having 6 to 20 carbon atoms.
  • R is preferably a hydrogen atom, a chain hydrocarbon group or an aromatic hydrocarbon group, more preferably a hydrogen atom, an alkyl group or an aryl group, and even more preferably a hydrogen atom or a methyl group.
  • R ′ is preferably a hydrogen atom or an alkyl group, more preferably a hydrogen atom or a methyl group.
  • x 0, 1, and 3 are preferable.
  • y 0 and 2 are preferable.
  • the functional group (A) is preferably a group containing a silicon atom to which OH is bonded, trialkoxysilyl and a group containing a silicon atom to which H is bonded, and more preferably a hydroxydimethylsilyl group, a trimethoxysilylmethyl group and a dimethylsilyl group. preferable.
  • polystyrene polymer examples include styrene polymer, (meth) acrylic polymer, ethylene polymer, siloxane polymer, and a combination of these (for example, random copolymer, gradient (composition gradient) copolymer). Polymer, graft copolymer, block copolymer) and the like.
  • the styrene polymer has a structural unit derived from substituted or unsubstituted styrene.
  • substituted styrene examples include ⁇ -methylstyrene, o-, m-, p-methylstyrene, pt-butylstyrene, 2,4,6-trimethylstyrene, p-methoxystyrene, pt-butoxystyrene, o-, m-, p-vinylstyrene, o-, m-, p-hydroxystyrene, m-, p-chloromethylstyrene, p-chlorostyrene, p-bromostyrene, p-iodostyrene, p-nitrostyrene , P-cyanostyrene and the like.
  • (Meth) acrylic polymer has structural units derived from (meth) acrylic acid or (meth) acrylic acid ester.
  • (meth) acrylic acid esters examples include (meth) acrylic acid alkyl esters such as methyl (meth) acrylate, ethyl (meth) acrylate, t-butyl (meth) acrylate, and 2-ethylhexyl (meth) acrylate. ; Cyclopentyl (meth) acrylate, cyclohexyl (meth) acrylate, 1-methylcyclopentyl (meth) acrylate, 2-ethyladamantyl (meth) acrylate, 2- (adamantan-1-yl) propyl (meth) acrylate, etc.
  • (Meth) acrylic acid cycloalkyl ester of (Meth) acrylic acid aryl esters such as phenyl (meth) acrylate and naphthyl (meth) acrylate; 2-hydroxyethyl (meth) acrylate, 3-hydroxyadamantyl (meth) acrylate, 3-glycidylpropyl (meth) acrylate, 3-trimethylsilylpropyl (meth) acrylate, N, N-dimethyl (meth) acrylate
  • Examples include (meth) acrylic acid-substituted alkyl esters such as aminoethyl and (meth) acrylic acid N, N-diethylaminoethyl.
  • the ethylene polymer has a structural unit derived from substituted or unsubstituted ethylene.
  • substituted ethylene examples include alkenes such as propene, butene, and pentene; Vinylcycloalkanes such as vinylcyclopentane and vinylcyclohexane; Cycloalkenes such as cyclopentene and cyclohexene; Examples include 4-hydroxy-1-butene, vinyl glycidyl ether, vinyl trimethylsilyl ether, and the like.
  • the siloxane polymer has a structural unit containing a —Si—O— bond.
  • an alkylhydrogensiloxane unit such as a methylhydrogensiloxane unit
  • Dialkylsiloxane units such as dimethylsiloxane units
  • Alkylalkoxysiloxane units such as methylmethoxysiloxane units
  • dialkoxysiloxane units such as dimethoxysiloxane units.
  • a styrene polymer As the polymer, a styrene polymer, a (meth) acrylic polymer, and a siloxane polymer are preferable, a styrene polymer is more preferable, and polystyrene and poly-t-butylstyrene are more preferable.
  • the lower limit of the number average molecular weight (Mn) of the polymer is preferably 500, more preferably 2,000, still more preferably 4,000, and particularly preferably 5,000.
  • the upper limit of Mn is preferably 50,000, more preferably 30,000, still more preferably 15,000, and particularly preferably 8,000.
  • the upper limit of the ratio of the weight average molecular weight (Mw) of the polymer to Mn (Mw / Mn, dispersity) is preferably 5, more preferably 2, more preferably 1.5, and particularly 1.3 preferable.
  • the lower limit of the ratio is usually 1 and preferably 1.05.
  • the lower limit of the content of the polymer is preferably 80% by mass, more preferably 90% by mass, and still more preferably 95% by mass with respect to the total solid content in the composition (I). As an upper limit of the said content, it is 100 mass%, for example. “Total solid content” refers to the sum of components other than [B] solvent.
  • the solvent is not particularly limited as long as it is a solvent capable of dissolving or dispersing at least the [A] polymer and other components.
  • Examples of the solvent include alcohol solvents, ether solvents, ketone solvents, amide solvents, ester solvents, hydrocarbon solvents, and the like.
  • alcohol solvents examples include aliphatic monoalcohol solvents having 1 to 18 carbon atoms such as 4-methyl-2-pentanol and n-hexanol; An alicyclic monoalcohol solvent having 3 to 18 carbon atoms such as cyclohexanol; A polyhydric alcohol solvent having 2 to 18 carbon atoms such as 1,2-propylene glycol; Examples thereof include polyhydric alcohol partial ether solvents having 3 to 19 carbon atoms such as propylene glycol monomethyl ether.
  • ether solvents include dialkyl ether solvents such as diethyl ether, dipropyl ether, dibutyl ether, dipentyl ether, diisoamyl ether, dihexyl ether, and diheptyl ether; Cyclic ether solvents such as tetrahydrofuran and tetrahydropyran; Aromatic ring-containing ether solvents such as diphenyl ether and anisole (methylphenyl ether) are exemplified.
  • dialkyl ether solvents such as diethyl ether, dipropyl ether, dibutyl ether, dipentyl ether, diisoamyl ether, dihexyl ether, and diheptyl ether
  • Cyclic ether solvents such as tetrahydrofuran and tetrahydropyran
  • Aromatic ring-containing ether solvents such as diphenyl ether and anisole (methylphenyl ether)
  • ketone solvent examples include acetone, methyl ethyl ketone, methyl-n-propyl ketone, methyl-n-butyl ketone, diethyl ketone, methyl-iso-butyl ketone, 2-heptanone (methyl-n-pentyl ketone), ethyl-n-butyl ketone.
  • Chain ketone solvents such as methyl-n-hexyl ketone, di-iso-butyl ketone, and trimethylnonanone; Cyclic ketone solvents such as cyclopentanone, cyclohexanone, cycloheptanone, cyclooctanone, methylcyclohexanone; Examples include 2,4-pentanedione, acetonylacetone, acetophenone, and the like.
  • amide solvent examples include cyclic amide solvents such as N, N′-dimethylimidazolidinone and N-methylpyrrolidone; Examples thereof include chain amide solvents such as N-methylformamide, N, N-dimethylformamide, N, N-diethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, and N-methylpropionamide.
  • cyclic amide solvents such as N, N′-dimethylimidazolidinone and N-methylpyrrolidone
  • chain amide solvents such as N-methylformamide, N, N-dimethylformamide, N, N-diethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, and N-methylpropionamide.
  • ester solvents include monocarboxylic acid ester solvents such as n-butyl acetate and ethyl lactate; Polyhydric alcohol carboxylate solvents such as propylene glycol acetate; Polyhydric alcohol partial ether carboxylate solvents such as propylene glycol monomethyl ether acetate; Lactone solvents such as ⁇ -butyrolactone and ⁇ -valerolactone; Polycarboxylic acid diester solvents such as diethyl oxalate; Examples thereof include carbonate solvents such as dimethyl carbonate, diethyl carbonate, ethylene carbonate, and propylene carbonate.
  • monocarboxylic acid ester solvents such as n-butyl acetate and ethyl lactate
  • Polyhydric alcohol carboxylate solvents such as propylene glycol acetate
  • Polyhydric alcohol partial ether carboxylate solvents such as propylene glycol monomethyl ether acetate
  • Lactone solvents such as ⁇ -buty
  • hydrocarbon solvent examples include aliphatic hydrocarbon solvents having 5 to 12 carbon atoms such as n-pentane and n-hexane; Examples thereof include aromatic hydrocarbon solvents having 6 to 16 carbon atoms such as toluene and xylene.
  • Composition (I) may contain one or more [B] solvents.
  • composition (I) may contain other components in addition to the [A] polymer and the [B] solvent.
  • examples of other components include a surfactant.
  • Composition (I) can improve the coating property to the base-material surface by containing surfactant.
  • composition (I) is, for example, a [A] polymer, a [B] solvent, and other components as necessary mixed in a predetermined ratio, and preferably a high density polyethylene filter having pores of about 0.45 ⁇ m, etc. It can prepare by filtering with.
  • a minimum of solid content concentration of composition (I) 0.1 mass% is preferred, 0.5 mass% is more preferred, and 0.7 mass% is still more preferred.
  • the upper limit of the solid content concentration is preferably 30% by mass, more preferably 10% by mass, and still more preferably 3% by mass.
  • the heating means include an oven and a hot plate.
  • 80 ° C is preferred, 100 ° C is more preferred, and 130 ° C is still more preferred.
  • 400 degreeC is preferable, 300 degreeC is more preferable, and 200 degreeC is further more preferable.
  • the lower limit of the heating time is preferably 10 seconds, more preferably 1 minute, and even more preferably 2 minutes.
  • the upper limit of the heating time is preferably 120 minutes, more preferably 10 minutes, and even more preferably 5 minutes.
  • the average thickness of the coating film (I) to be formed can be obtained by appropriately selecting conditions such as the type and concentration of the [A] polymer in the composition (I) and the heating temperature and heating time in the heating step. Can be a value. As a minimum of average thickness of coating film (I), 0.1 nm is preferred, 1 nm is more preferred, and 3 nm is still more preferred. The upper limit of the average thickness is, for example, 20 nm.
  • Removal in the removing step is usually performed by rinsing the substrate after the heating step with a rinsing liquid.
  • a rinsing liquid an organic solvent is usually used.
  • a polyhydric alcohol partial ether carboxylate solvent such as propylene glycol monomethyl ether acetate, a monoalcohol solvent such as isopropanol, or the like is used.
  • the surface region containing the metal can be easily modified with high selectivity and high density.
  • the obtained base material can be variously processed, for example, by performing the following steps.
  • the dilute acid is not particularly limited.
  • dilute hydrochloric acid dilute sulfuric acid, dilute nitric acid, dilute citric acid, dilute oxalic acid, dilute maleic acid, dilute acetic acid, dilute isobutyric acid, dilute 2-ethylhexanoic acid, etc. Is mentioned.
  • a pattern is deposited on the surface of the substrate after the removing step by a CVD (chemical vapor deposition) method or an ALD (atomic layer deposition) method. Thereby, a pattern can be selectively formed in the region (II) not covered with the [A] polymer.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • etching method for example, CF 4 , O 2 gas or the like is used, chemical dry etching using a difference in etching rate of each layer or the like, chemical wet etching using a liquid etching solution such as an organic solvent or hydrofluoric acid (wet type).
  • a liquid etching solution such as an organic solvent or hydrofluoric acid (wet type).
  • RIE reactive ion etching
  • physical etching such as sputter etching and ion beam etching.
  • reactive ion etching is preferable, and chemical dry etching and chemical wet etching are more preferable.
  • UV irradiation when the portion to be removed by etching is a polymer containing a polymethyl methacrylate block, UV irradiation or the like can be used.
  • An oxygen plasma treatment can also be used. Since the polymethyl methacrylate block is decomposed by the UV irradiation or the oxygen plasma treatment, it is more easily etched.
  • organic solvents used for chemical wet etching include alkanes such as n-pentane, n-hexane, and n-heptane; Cycloalkanes such as cyclohexane, cycloheptane, cyclooctane; Saturated carboxylic acid esters such as ethyl acetate, n-butyl acetate, i-butyl acetate and methyl propionate; Ketones such as acetone, methyl ethyl ketone, methyl isobutyl ketone, methyl n-pentyl ketone; Examples thereof include alcohols such as methanol, ethanol, 1-propanol, 2-propanol and 4-methyl-2-pentanol. These solvents may be used alone or in combination of two or more.
  • Mw and Mn of the polymer are measured by gel permeation chromatography (GPC) using Tosoh's GPC columns ("G2000HXL", “G3000HXL” and “G4000HXL”) under the following conditions. did.
  • Eluent Tetrahydrofuran (Wako Pure Chemical Industries)
  • Flow rate 1.0 mL / min
  • Sample concentration 1.0% by mass
  • Sample injection volume 100 ⁇ L
  • Detector Differential refractometer Standard material: Monodisperse polystyrene
  • 13 C-NMR analysis 13 C-NMR analysis was performed using a nuclear magnetic resonance apparatus (“JNM-EX400” manufactured by JEOL Ltd.) and CDCl 3 as a measurement solvent. The content ratio of each structural unit in the polymer was calculated from the area ratio of the peak corresponding to each structural unit in the spectrum obtained by 13 C-NMR.
  • This operation was repeated 3 times, and after removing oxalic acid, the solution was concentrated and dropped into 500 g of methanol to precipitate a polymer, and a solid was recovered with a Buchner funnel. This solid was dried at 60 ° C. under reduced pressure to obtain 11.6 g of a white polymer (A-3).
  • This polymer (A-3) had Mw of 5,300, Mn of 4,900, and Mw / Mn of 1.08.
  • reaction solution was warmed to room temperature, the obtained reaction solution was concentrated, and the solvent was replaced with MIBK. Thereafter, 1,000 g of ultrapure water was injected and stirred, and the lower aqueous layer was removed. After this operation was repeated 5 times, the solution was concentrated and dropped into 500 g of methanol to precipitate a polymer, and a solid was recovered with a Buchner funnel. This solid was dried at 60 ° C. under reduced pressure to obtain 26.9 g of a white polymer (A-5).
  • This polymer (A-5) had Mw of 7,600, Mn of 6,600, and Mw / Mn of 1.15.
  • This operation was repeated 5 times, and after removing the Li salt, the solution was concentrated and dropped into 500 g of methanol to precipitate a polymer, and the solid was recovered with a Buchner funnel. This solid was dried under reduced pressure at 60 ° C. to obtain 11.8 g of a white polymer (A-6).
  • This polymer (A-6) had Mw of 6,000, Mn of 5,800, and Mw / Mn of 1.04.
  • the reaction solution was warmed to room temperature, the obtained reaction solution was concentrated, and the solvent was replaced with MIBK. Thereafter, 1,000 g of a 2% by mass aqueous solution of oxalic acid was injected and stirred, and after standing, the lower aqueous layer was removed. This operation was repeated three times to remove the Li salt. Thereafter, 1,000 g of ultrapure water was injected and stirred, and the lower aqueous layer was removed. This operation was repeated 3 times, and after removing oxalic acid, the solution was concentrated and dropped into 500 g of methanol to precipitate a polymer, and a solid was recovered with a Buchner funnel. This solid was dried at 60 ° C. under reduced pressure to obtain 11.2 g of a white polymer (A-9). This polymer (A-9) had Mw of 5,200, Mn of 5,000, and Mw / Mn of 1.04.
  • the reaction solution was warmed to room temperature, the obtained reaction solution was concentrated, and the solvent was replaced with MIBK. Thereafter, 1,000 g of a 2% by mass aqueous solution of oxalic acid was injected and stirred, and after standing, the lower aqueous layer was removed. This operation was repeated three times to remove the Li salt. Thereafter, 1,000 g of ultrapure water was injected and stirred, and the lower aqueous layer was removed. This operation was repeated 3 times, and after removing oxalic acid, the solution was concentrated and dropped into 500 g of methanol to precipitate a polymer, and a solid was recovered with a Buchner funnel. This polymer was dried under reduced pressure at 60 ° C. to obtain 11.2 g of a white polymer (A-10). This polymer (A-10) had Mw of 6,200, Mn of 6,000, and Mw / Mn of 1.04.
  • compositions (S-2) to (S-16) were prepared in the same manner as in Preparation Example 1, except that the components having the types and contents shown in Table 1 were used.
  • the prepared composition was evaluated according to the following method. [Examples 1 to 17, 21 to 25, Comparative Examples 1 and 2 and Reference Examples 1 to 6]
  • the silicon oxide substrate was surface-treated with isopropanol.
  • An 8-inch substrate (a copper substrate, a cobalt substrate, a tungsten substrate, a tantalum substrate, or a tantalum nitride film substrate) was immersed in a 5% by mass oxalic acid aqueous solution and then dried by a nitrogen flow to remove the oxide film on the surface.
  • the composition prepared above was spin-coated at 1,500 rpm using a truck (“TELDSA ACT8” manufactured by Tokyo Electron Ltd.) and baked at 150 ° C. for 180 seconds.
  • This substrate was peeled off with PGMEA to remove unreacted polymer.
  • the selective surface modifier formed on the substrate was about 0 nm to 5 nm from the result of measuring the thickness of the ellipsometer.
  • the contact angle value of the surface was measured using a contact angle meter (Drop master DM-501, Kyowa Interface Science Co., Ltd.).
  • the abundance density ⁇ (chains / nm 2 ) of the [A] polymer (brush) was calculated based on the film thickness by the following formula (1).
  • d density of [A] polymer (g / cm 3 )
  • L average thickness of film (nm)
  • NA Avogadro number
  • Mn number average molecular weight of [A] polymer
  • Table 2 shows the average thickness (nm), contact angle value (°), polymer (brush) density (chains / nm 2 ) of the polymer film formed on the substrate surface, and the coating property of the composition. “-” In Table 2 indicates that the selectivity of the substrate surface is not shown, and the density of the polymer was not calculated. The applicability of the composition was visually observed and evaluated according to the following criteria. A: Applicability is good B: Some repelling is observed C: Application is difficult
  • the above prepared composition was spin-coated at 1,500 rpm using a track (“TELDSA ACT8” manufactured by Tokyo Electron Co., Ltd.) on this substrate, and baked at 150 ° C. for 180 seconds.
  • This substrate was peeled off with PGMEA to remove unreacted polymer.
  • the surface was observed with a scanning probe microscope (Hitachi High-Tech Science Co., Ltd., S-image (microscope unit) and NanoNaviReal (control station)), and the film thickness of the coating was calculated from the unevenness.
  • Table 3 shows the average thickness (nm) of the polymer coating film formed on each of the silicon oxide and copper regions on the copper-silicon oxide stripe substrate. “ND” in Table 3 indicates that the thickness was small and could not be detected.
  • a surface region containing silicon can be modified easily and with high selectivity and high density. Therefore, the method for selectively modifying the surface of the substrate and the composition can be suitably used for a semiconductor device processing process and the like that are expected to be further miniaturized in the future.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Paints Or Removers (AREA)
  • Formation Of Insulating Films (AREA)
  • Materials For Photolithography (AREA)

Abstract

本発明は、ケイ素を含む表面領域を簡便に、高選択的かつ高密度に修飾することができる基材表面の選択的修飾方法及び組成物の提供を目的とする。本発明は、ケイ素を含む第1領域を表層に有する基材を準備する工程と、上記基材の表面に、上記ケイ素と結合する第1官能基を含む基を主鎖又は側鎖の末端に有する第1重合体及び溶媒を含有する組成物を塗工する工程と、上記塗工工程により形成される塗膜を加熱する工程とを備える基材表面の選択的修飾方法である。上記第1領域は、ケイ素酸化物、ケイ素窒化物又はケイ素酸化物窒化物を含むことが好ましい。上記基材は、第1領域以外の領域であって、金属を含む第2領域をさらに有し、上記加熱工程の後に、上記塗膜のうち上記第2領域上に形成された部分をリンス液により除去する工程をさらに備えることが好ましい。

Description

基材表面の選択的修飾方法及び組成物
 本発明は、基材表面の選択的修飾方法及び組成物に関する。
 半導体デバイスのさらなる微細化に伴い、30nmを切る微細パターンを形成する技術が要求されている。しかし、従来のリソグラフィーによる方法では、光学的要因等により技術的に困難になってきている。
 そこで、いわゆるボトムアップ技術を用いて微細パターンを形成することが検討されている。このボトムアップ技術としては、重合体の自己組織化を利用する方法の他、微細な領域を表層に有する基材を選択的に修飾する方法が検討されるようになってきている。この選択的修飾方法には、簡便かつ高選択的に表面領域を修飾することができる材料が必要であり、種々のものが検討されている。(特開2016-25355号公報、特開2003-76036号公報、ACS Nano,9,9,8710,2015、ACS Nano,9,9,8651,2015、Science,318,426,2007及びLangmuir,21,8234,2005参照)。
特開2016-25355号公報 特開2003-76036号公報
ACS Nano,9,9,8710,2015 ACS Nano,9,9,8651,2015 Science,318,426,2007 Langmuir,21,8234,2005
 しかし、上記従来の材料では、低分子材料ゆえ、既存プロセスでのスピンコート法では塗布できず、効率の悪いLangmuir-Blodgett法を用いる必要があり、耐熱性に劣るという不都合がある。そのため、粘度が大きく、耐熱性を有する高分子材料を用いることが望ましい。一方で、高分子材料は立体障害が大きいため、効率よく基材表面を修飾することはできておらず、また、十分な選択性を簡単に見い出すことができる手法は未だ知られていない。
 本発明は、上述のような事情に基づいてなされたものであり、その目的は、ケイ素を含む表面領域を簡便に、高選択的かつ高密度に修飾することができる基材表面の選択的修飾方法及び組成物を提供することにある。
 上記課題を解決するためになされた発明は、ケイ素を含む第1領域を表層に有する基材を準備する工程と、上記基材の表面に、上記ケイ素と結合する第1官能基を含む基を主鎖又は側鎖の末端に有する第1重合体及び溶媒を含有する組成物を塗工する工程と、上記塗工工程により形成される塗膜を加熱する工程とを備える基材表面の選択的修飾方法である。
 上記課題を解決するためになされた別の発明は、基材表面の選択的修飾方法に用いられる組成物であって、ケイ素と結合を形成する第1官能基を含む基を主鎖又は側鎖の末端に有する重合体と溶媒とを含有することを特徴とする。
 本発明の基材表面の選択的修飾方法及び組成物によれば、ケイ素を含む表面領域を簡便に、高選択的かつ高密度に修飾することができる。従って、当該基材表面の選択的修飾方法及び組成物は、今後ますます微細化が進行すると予想される半導体デバイスの加工プロセス等に好適に用いることができる。
ストライプ状基板を作製するための基板の断面図である。 選択的表面修飾の評価に用いたストライプ状基板の断面図である。
 以下、当該基材表面の選択的修飾方法(以下、単に、「選択的修飾方法」という)の実施の形態について詳説する。
<選択的修飾方法>
 当該選択的修飾方法は、ケイ素を含む第1領域(以下、「領域(I)」ともいう)を表層に有する基材を準備する工程(以下、「準備工程」ともいう)と、上記基材の表面に、上記ケイ素と結合する第1官能基(以下、「官能基(A)」ともいう)を含む基(以下、「基(I)」ともいう)を主鎖又は側鎖の末端に有する第1重合体(以下、「[A]重合体」ともいう)及び溶媒(以下、「[B]溶媒」ともいう)を含有する組成物(以下、「組成物(I)」ともいう)を塗工する工程(以下、「塗工工程」ともいう)と、上記塗工工程により形成される塗膜を加熱する工程(以下、「加熱工程」ともいう)とを備える。当該選択的修飾方法は、上記基材が、領域(I)以外の領域であって、金属を含む第2領域(以下、「領域(II)」ともいう)をさらに有し、加熱工程の後に、上記塗膜のうち上記領域(II)上に形成された部分をリンス液により除去する工程(以下、「除去工程」ともいう)をさらに備えることが好ましい。
 当該選択的修飾方法は、例えば
 上記除去工程後の基材の表面に、アルコール、希酸、オゾン又はプラズマを接触させる工程(以下、「接触工程」ともいう)、上記除去工程後の基材の表面に、CVD法又はALD法によりパターンを堆積させる工程(以下、「堆積工程」ともいう)、上記除去工程後の基材の表面上の上記[A]重合体をエッチングにより除去する工程(以下、「エッチング工程」ともいう)等をさらに備えてもよい。以下、各工程について説明する。
[準備工程]
 本工程では、ケイ素を含む領域(I)を表層に有する基材を準備する。領域(I)としては、ケイ素元素を含む限り、特に限定されない。
 領域(I)中におけるケイ素の含有形態としては、例えばケイ素単体、ケイ素酸化物、ケイ素窒化物、ケイ素酸化物窒化物等が挙げられる。
 ケイ素酸化物としては、例えばSiO等が挙げられる。
 ケイ素窒化物としては、例えばSiNx、Si等が挙げられる。
 ケイ素酸化物窒化物としては、例えばSiON等が挙げられる。
 基材の表層には、通常、領域(I)以外の領域であって、金属を含む領域(II)を有する。
 金属としては、金属元素であれば特に限定されない。金属としては、例えば銅、鉄、亜鉛、コバルト、アルミニウム、チタン、スズ、タングステン、ジルコニウム、チタン、タンタル、ゲルマニウム、モリブデン、ルテニウム、金、銀、白金、パラジウム、ニッケル等が挙げられる。これらの中で、銅、コバルト、タングステン及びタンタルが好ましい。
 領域(II)中における金属の含有形態としては、例えば金属単体、合金、導電性窒化物、金属酸化物、シリサイド等が挙げられる。
 金属単体としては、例えば銅、鉄、コバルト、タングステン、タンタル等の金属の単体等が挙げられる。
 合金としては、例えばニッケル-銅合金、コバルト-ニッケル合金、金-銀合金等が挙げられる。
 導電性窒化物としては、例えば窒化タンタル、窒化チタン、窒化鉄、窒化アルミニウム等が挙げられる。
 金属酸化物としては、例えば酸化タンタル、酸化アルミニウム、酸化鉄、酸化銅等が挙げられる。
 シリサイドとしては、例えば鉄シリサイド、モリブデンシリサイド等が挙げられる。これらの中で、金属単体、合金、導電性窒化物及びシリサイドが好ましく、金属単体及び導電性窒化物がより好ましく、銅単体、コバルト単体、タングステン単体、タンタル単体及び窒化タンタルがさらに好ましい。
 基材の表層における領域(I)及び/又は領域(II)の存在形状としては特に限定されず、例えば平面視で面状、点状、ストライプ状等が挙げられる。領域(I)及び領域(II)の大きさは特に限定されず、適宜所望の大きさの領域とすることができる。
 基材の形状としては、特に限定されず、板状(基板)、球状等、適宜所望の形状とすることができる。
[塗工工程]
 本工程では、上記基材の表面に、組成物(I)を塗工する。
 組成物(I)の塗工方法としては、例えばスピンコート法等が挙げられる。
[組成物(I)]
 組成物(I)は、[A]重合体及び[B]溶媒を含有する。組成物(I)は、[A]重合体及び[B]溶媒以外に他の成分を含有していてもよい。
([A]重合体)
 [A]重合体は、基(I)を主鎖又は側鎖の末端に有する重合体である。「主鎖」とは、重合体の原子鎖のうち最も長いものをいう。「側鎖」とは、重合体の原子鎖のうち主鎖以外のものをいう。表面修飾する[A]重合体の密度をより高める観点から、[A]重合体は、基(I)を主鎖の末端に有していることが好ましく、主鎖の一方の末端に有していることがより好ましい。
 基(I)は、ケイ素と結合する官能基(A)を含む基である。官能基(A)は、ケイ素と結合する官能基である。この結合としては、例えば化学結合であり、共有結合、イオン結合、配位結合等が挙げられる。これらの中で、ケイ素-官能基間の結合力がより大きい観点から、共有結合が好ましい。
 官能基(A)としては、ケイ素と共有結合等する官能基などが挙げられ、例えば-SiR(OR’)3-x、-SiR(OR’)2-y-等が挙げられる。R及びR’は、それぞれ独立して、水素原子又は炭素数1~20の1価の炭化水素基である。xは、0~3の整数である。yは、0~2の整数である。ただし、Rが複数存在する場合、複数のRは同一でも異なっていてもよく、R’が複数存在する場合、複数のR’は同一でも異なっていてもよい。
 R及びR’で表される炭素数1~20の1価の炭化水素基としては、例えば炭素数1~20の1価の鎖状炭化水素基、炭素数3~20の1価の脂環式炭化水素基、炭素数6~20の1価の芳香族炭化水素基等が挙げられる。
 Rとしては、水素原子、鎖状炭化水素基及び芳香族炭化水素基が好ましく、水素原子、アルキル基及びアリール基がより好ましく、水素原子及びメチル基がさらに好ましい。
 R’としては、水素原子及びアルキル基が好ましく、水素原子及びメチル基がより好ましい。
 xとしては、0、1及び3が好ましい。yとしては、0及び2が好ましい。
 官能基(A)としては、OHが結合するケイ素原子を含む基、トリアルコキシシリル及びHが結合するケイ素原子を含む基が好ましく、ヒドロキシジメチルシリル基、トリメトキシシリルメチル基及びジメチルシリル基がより好ましい。
 [A]重合体としては、例えばスチレン重合体、(メタ)アクリル重合体、エチレン重合体、シロキサン系重合体、これらを組み合わせた共重合体(例えば、ランダム共重合体、グラジエント(組成傾斜)共重合体、グラフト共重合体、ブロック共重合体)等が挙げられる。
 スチレン重合体は、置換又は非置換のスチレンに由来する構造単位を有する。
 置換スチレンとしては、例えばα-メチルスチレン、o-、m-、p-メチルスチレン、p-t-ブチルスチレン、2,4,6-トリメチルスチレン、p-メトキシスチレン、p-t-ブトキシスチレン、o-、m-、p-ビニルスチレン、o-、m-、p-ヒドロキシスチレン、m-、p-クロロメチルスチレン、p-クロロスチレン、p-ブロモスチレン、p-ヨードスチレン、p-ニトロスチレン、p-シアノスチレン等が挙げられる。
 (メタ)アクリル重合体は、(メタ)アクリル酸又は(メタ)アクリル酸エステルに由来する構造単位を有する。
 (メタ)アクリル酸エステルとしては、例えば
 (メタ)アクリル酸メチル、(メタ)アクリル酸エチル、(メタ)アクリル酸t-ブチル、(メタ)アクリル酸2-エチルヘキシル等の(メタ)アクリル酸アルキルエステル;
 (メタ)アクリル酸シクロペンチル、(メタ)アクリル酸シクロヘキシル、(メタ)アクリル酸1-メチルシクロペンチル、(メタ)アクリル酸2-エチルアダマンチル、(メタ)アクリル酸2-(アダマンタン-1-イル)プロピル等の(メタ)アクリル酸シクロアルキルエステル;
 (メタ)アクリル酸フェニル、(メタ)アクリル酸ナフチル等の(メタ)アクリル酸アリールエステル;
 (メタ)アクリル酸2-ヒドロキシエチル、(メタ)アクリル酸3-ヒドロキシアダマンチル、(メタ)アクリル酸3-グリシジルプロピル、(メタ)アクリル酸3-トリメチルシリルプロピル、(メタ)アクリル酸N,N-ジメチルアミノエチル、(メタ)アクリル酸N,N-ジエチルアミノエチル等の(メタ)アクリル酸置換アルキルエステルなどが挙げられる。
 エチレン系重合体は、置換又は非置換のエチレンに由来する構造単位を有する。
 置換エチレンとしては、例えば
 プロペン、ブテン、ペンテン等のアルケン;
 ビニルシクロペンタン、ビニルシクロヘキサン等のビニルシクロアルカン;
 シクロペンテン、シクロヘキセン等のシクロアルケン;
 4-ヒドロキシ-1-ブテン、ビニルグリシジルエーテル、ビニルトリメチルシリルエーテル等が挙げられる。
 シロキサン系重合体は、-Si-O-結合を含む構造単位を有する。
 -Si-O-結合を含む構造単位としては、例えば
 メチルハイドロジェンシロキサン単位等のアルキルハイドロジェンシロキサン単位;
 ジメチルシロキサン単位等のジアルキルシロキサン単位;
 メチルメトキシシロキサン単位等のアルキルアルコキシシロキサン単位;
 ジメトキシシロキサン単位等のジアルコキシシロキサン単位等が挙げられる。
 [A]重合体としてはスチレン重合体及び(メタ)アクリル重合体、シロキサン系重合体が好ましく、スチレン重合体がより好ましく、ポリスチレン及びポリt-ブチルスチレンがさらに好ましい。
 [A]重合体の数平均分子量(Mn)の下限としては、500が好ましく、2,000がより好ましく、4,000がさらに好ましく、5,000が特に好ましい。上記Mnの上限としては、50,000が好ましく、30,000がより好ましく、15,000がさらに好ましく、8,000が特に好ましい。
 [A]重合体の重量平均分子量(Mw)のMnに対する比(Mw/Mn、分散度)の上限としては、5が好ましく、2がより好ましく、1.5がより好ましく、1.3が特に好ましい。上記比の下限としては、通常1であり、1.05が好ましい。
 [A]重合体の含有量の下限としては、組成物(I)における全固形分に対して、80質量%が好ましく、90質量%がより好ましく、95質量%がさらに好ましい。上記含有量の上限としては、例えば100質量%である。「全固形分」とは、[B]溶媒以外の成分の総和をいう。
([B]溶媒)
 [B]溶媒としては、少なくとも[A]重合体及び他の成分を溶解又は分散可能な溶媒であれば特に限定されない。
 [B]溶媒としては、例えばアルコール系溶媒、エーテル系溶媒、ケトン系溶媒、アミド系溶媒、エステル系溶媒、炭化水素系溶媒等が挙げられる。
 アルコール系溶媒としては、例えば
 4-メチル-2-ペンタノール、n-ヘキサノール等の炭素数1~18の脂肪族モノアルコール系溶媒;
 シクロヘキサノール等の炭素数3~18の脂環式モノアルコール系溶媒;
 1,2-プロピレングリコール等の炭素数2~18の多価アルコール系溶媒;
 プロピレングリコールモノメチルエーテル等の炭素数3~19の多価アルコール部分エーテル系溶媒などが挙げられる。
 エーテル系溶媒としては、例えば
 ジエチルエーテル、ジプロピルエーテル、ジブチルエーテル、ジペンチルエーテル、ジイソアミルエーテル、ジヘキシルエーテル、ジヘプチルエーテル等のジアルキルエーテル系溶媒;
 テトラヒドロフラン、テトラヒドロピラン等の環状エーテル系溶媒;
 ジフェニルエーテル、アニソール(メチルフェニルエーテル)等の芳香環含有エーテル系溶媒などが挙げられる。
 ケトン系溶媒としては、例えば
 アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-iso-ブチルケトン、2-ヘプタノン(メチル-n-ペンチルケトン)、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-iso-ブチルケトン、トリメチルノナノン等の鎖状ケトン系溶媒;
 シクロペンタノン、シクロヘキサノン、シクロヘプタノン、シクロオクタノン、メチルシクロヘキサノン等の環状ケトン系溶媒;
 2,4-ペンタンジオン、アセトニルアセトン、アセトフェノン等が挙げられる。
 アミド系溶媒としては、例えば
 N,N’-ジメチルイミダゾリジノン、N-メチルピロリドン等の環状アミド系溶媒;
 N-メチルホルムアミド、N,N-ジメチルホルムアミド、N,N-ジエチルホルムアミド、アセトアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルプロピオンアミド等の鎖状アミド系溶媒などが挙げられる。
 エステル系溶媒としては、例えば
 酢酸n-ブチル、乳酸エチル等のモノカルボン酸エステル系溶媒;
 プロピレングリコールアセテート等の多価アルコールカルボキシレート系溶媒;
 プロピレングリコールモノメチルエーテルアセテート等の多価アルコール部分エーテルカルボキシレート系溶媒;
 γ-ブチロラクトン、δ-バレロラクトン等のラクトン系溶媒;
 シュウ酸ジエチル等の多価カルボン酸ジエステル系溶媒;
 ジメチルカーボネート、ジエチルカーボネート、エチレンカーボネート、プロピレンカーボネート等のカーボネート系溶媒などが挙げられる。
 炭化水素系溶媒としては、例えば
 n-ペンタン、n-ヘキサン等の炭素数5~12の脂肪族炭化水素系溶媒;
 トルエン、キシレン等の炭素数6~16の芳香族炭化水素系溶媒等が挙げられる。
 これらの中で、エステル系溶媒が好ましく、多価アルコール部分エーテルカルボキシレート系溶媒がより好ましく、プロピレングリコールモノメチルエーテルアセテートがさらに好ましい。組成物(I)は、[B]溶媒を1種又は2種以上含有していてもよい。
(他の成分)
 組成物(I)は、[A]重合体及び[B]溶媒以外に他の成分を含有していてもよい。他の成分としては、例えば界面活性剤等が挙げられる。組成物(I)は、界面活性剤を含有することで、基材表面への塗工性を向上させることができる。
[組成物(I)の調製方法]
 組成物(I)は、例えば[A]重合体、[B]溶媒及び必要に応じて他の成分を所定の割合で混合し、好ましくは0.45μm程度の細孔を有する高密度ポリエチレンフィルター等で濾過することにより調製することができる。組成物(I)の固形分濃度の下限としては、0.1質量%が好ましく、0.5質量%がより好ましく、0.7質量%がさらに好ましい。上記固形分濃度の上限としては、30質量%が好ましく、10質量%がより好ましく、3質量%がさらに好ましい。
[加熱工程]
 本工程では、上記塗工工程により形成される塗膜を加熱する。これにより、基材表層の金属(A)と、組成物(I)の[A]重合体の官能基(A)との結合形成が促進され、基材表面の領域(I)に、[A]重合体を含む塗膜(以下、「塗膜(I)」ともいう)が積層される。
 加熱の手段としては、例えばオーブン、ホットプレート等が挙げられる。加熱の温度の下限としては、80℃が好ましく、100℃がより好ましく、130℃がさらに好ましい。加熱の温度の上限としては、400℃が好ましく、300℃がより好ましく、200℃がさらに好ましい。加熱の時間の下限としては、10秒が好ましく、1分がより好ましく、2分がさらに好ましい。加熱の時間の上限としては、120分が好ましく、10分がより好ましく、5分がさらに好ましい。
 形成される塗膜(I)の平均厚みは、組成物(I)における[A]重合体の種類及び濃度、並びに加熱工程における加熱温度、加熱時間等の条件を適宜選択することで、所望の値にすることができる。塗膜(I)の平均厚みの下限としては、0.1nmが好ましく、1nmがより好ましく、3nmがさらに好ましい。上記平均厚みの上限としては、例えば20nmである。
[除去工程]
 本工程では、塗膜(I)のうち領域(II)上に形成された部分を除去する。これにより、加熱工程後のケイ素と結合していない[A]重合体を含む部分が除去され、領域(I)の部分が選択的に修飾された基材が得られる。
 除去工程における除去は、通常、加熱工程後の基材を、リンス液でリンスすることにより行う。リンス液としては、通常、有機溶媒が用いられ、例えばプロピレングリコールモノメチルエーテルアセテート等の多価アルコール部分エーテルカルボキシレート系溶媒、イソプロパノール等のモノアルコール系溶媒などが用いられる。
 以上により、金属を含む表面領域を簡便に、高選択的かつ高密度に修飾することができる。得られた基材は、例えば以下の工程を行うことにより、種々処理することができる。
[接触工程]
 本工程では、上記除去工程後の基材の表面に、アルコール、希酸、過酸化水素水、オゾン又はプラズマを接触させる。これにより、領域(II)に形成された空気酸化膜層を除去することができる。この希酸としては、特に限定されるわけではないが、例えば希塩酸、希硫酸、希硝酸、希クエン酸、希シュウ酸、希マレイン酸、希酢酸、希イソ酪酸、希2-エチルヘキサン酸等が挙げられる。
[堆積工程]
 本工程では、上記除去工程後の基材の表面に、CVD(化学的気相蒸着)法又はALD(原子層堆積)法によりパターンを堆積させる。これにより、[A]重合体で被覆されていない領域(II)に、選択的にパターンを形成することができる。
[エッチング工程]
 本工程では、上記除去工程後の基材の表面上の上記[A]重合体をエッチングにより除去する。
 エッチングの方法としては、例えばCF、Oガス等を用い、各層のエッチングレートの差等を利用するケミカルドライエッチング、有機溶媒、フッ酸等の液体のエッチング液を用いたケミカルウェットエッチング(湿式現像)等の反応性イオンエッチング(RIE);スパッタエッチング、イオンビームエッチング等の物理的エッチングなどの公知の方法が挙げられる。これらの中で、反応性イオンエッチングが好ましく、ケミカルドライエッチング及びケミカルウェットエッチングがより好ましい。
 ケミカルドライエッチングの前に、必要に応じて放射線を照射してもよい。放射線としては、エッチングにより除去する部分がポリメタクリル酸メチルブロックを含む重合体である場合には、UV照射等を用いることができる。また、酸素プラズマ処理を用いることもできる。上記UV照射又は酸素プラズマ処理により、ポリメタクリル酸メチルブロックが分解されるため、よりエッチングされ易くなる。
 ケミカルウェットエッチングに用いられる有機溶媒としては、例えば
 n-ペンタン、n-ヘキサン、n-ヘプタン等のアルカン;
 シクロヘキサン、シクロヘプタン、シクロオクタン等のシクロアルカン;
 酢酸エチル、酢酸n-ブチル、酢酸i-ブチル、プロピオン酸メチル等の飽和カルボン酸エステル;
 アセトン、メチルエチルケトン、メチルイソブチルケトン、メチルn-ペンチルケトン等のケトン;
 メタノール、エタノール、1-プロパノール、2-プロパノール、4-メチル-2-ペンタノール等のアルコールなどが挙げられる。これらの溶媒は、単独で使用してもよく2種以上を併用してもよい。
 以下、本発明を実施例に基づいて具体的に説明するが、本発明はこれらの実施例に限定されるものではない。各物性値の測定方法を下記に示す。
[Mw及びMn]
 重合体のMw及びMnは、ゲルパーミエーションクロマトグラフィー(GPC)により東ソー社のGPCカラム(「G2000HXL」2本、「G3000HXL」1本及び「G4000HXL」1本)を使用し、以下の条件により測定した。
 溶離液:テトラヒドロフラン(和光純薬工業社)
 流量:1.0mL/分
 試料濃度:1.0質量%
 試料注入量:100μL
 カラム温度:40℃
 検出器:示差屈折計
 標準物質:単分散ポリスチレン
13C-NMR分析]
 13C-NMR分析は、核磁気共鳴装置(日本電子社の「JNM-EX400」)を使用し、測定溶媒としてCDClを用いて行った。重合体における各構造単位の含有割合は、13C-NMRで得られたスペクトルにおける各構造単位に対応するピークの面積比から算出した。
<[A]重合体の合成>
[合成例1]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFにsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.38mL(2.31mmol)注入し、さらに、重合禁止剤除去のためシリカゲルによる吸着濾別と蒸留脱水処理とを行ったスチレン13.3mL(0.115mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に30分間熟成した。次に、末端停止剤としてメタノール1mLを注入し重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をメチルイソブチルケトン(MIBK)で置換した。その後、シュウ酸2質量%水溶液1,000gを注入撹拌し、静置後、下層の水層を取り除いた。この操作を3回繰り返し、Li塩を除去した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を3回繰り返しシュウ酸を除去した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-1)11.7gを得た。この重合体(A-1)は、Mwが5,600、Mnが5,300、Mw/Mnが1.06であった。
[合成例2]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFにsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.38mL(2.30mmol)注入し、さらに、重合禁止剤除去のためシリカゲルによる吸着濾別と蒸留脱水処理とを行ったスチレン13.3mL(0.115mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に30分間熟成した。次に、末端停止剤としての4-クロロメチル-2,2-ジメチル-1,3-ジオキソラン0.32mL(2.30mmol)を注入し重合末端の停止反応を行った。つぎに、1Nの塩酸水溶液を10g加え、60℃で2時間加熱撹拌を行い、加水分解反応を行い、末端基としてジオール構造を有する重合体を得た。この反応溶液を室温まで冷却し、得られた反応溶液を濃縮して溶媒をMIBKで置換した。その後、シュウ酸2質量%水溶液1,000gを注入撹拌し、静置後、下層の水層を取り除いた。この操作を3回繰り返し、Li塩を除去した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を3回繰り返し、シュウ酸を除去した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-2)11.3gを得た。この重合体(A-2)は、Mwが5,300、Mnが4,900、Mw/Mnが1.08であった。
[合成例3]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFにsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.38mL(2.30mmol)注入し、さらに、重合禁止剤除去のためシリカゲルによる吸着濾別と蒸留脱水処理とを行ったスチレン13.3mL(0.115mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に30分間熟成したのち、二酸化炭素を吹き込み、さらにメタノール1.0gを加え重合末端の停止反応を行った。この反応溶液を室温まで冷却し、得られた反応溶液を濃縮して溶媒をMIBKで置換した。その後、シュウ酸2質量%水溶液1,000gを注入撹拌し、静置後、下層の水層を取り除いた。この操作を3回繰り返し、Li塩を除去した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を3回繰り返し、シュウ酸を除去した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-3)11.6gを得た。この重合体(A-3)は、Mwが5,300、Mnが4,900、Mw/Mnが1.08であった。
[合成例4]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFにsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.47mL(2.40mmol)注入し、さらに、重合禁止剤除去のためのシリカゲルによる吸着濾別と蒸留脱水処理とを行ったスチレン13.3mL(0.115mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に120分間熟成した。さらにジメチルシロキサン1.0g(4.50mmol)を加え、さらにメタノール1mLを注入し重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をMIBKで置換した。その後、その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を5回繰り返した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-4)11.2gを得た。この重合体(A-4)は、Mwが6,200、Mnが6,000、Mw/Mnが1.04であった。
[合成例5]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、0℃まで冷却した。このTHFにsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.47mL(2.40mmol)注入し、1,3,5,7-テトラメチルシクロテトラシロキサン27.6g(0.115mol)を加えて、氷冷下、120分間熟成した。次に、メタノール1mLを注入し重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をMIBKで置換した。その後、その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を5回繰り返した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-5)26.9gを得た。この重合体(A-5)は、Mwが7,600、Mnが6,600、Mw/Mnが1.15であった。
[合成例6]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったテトラヒドロフラン120gを注入し、-78℃まで冷却した。その後、このテトラヒドロフランにsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.37mL(2.30mmol)注入し、その後、重合禁止剤除去のためシリカゲルによる吸着濾別と蒸留脱水処理とを行ったスチレン13.3mL(0.115mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に30分間熟成した。その後、末端停止剤としてクロロメチルトリメトキシシラン0.35mL(2.30mmol)を注入し重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をメチルイソブチルケトン(MIBK)で置換した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を5回繰り返し、Li塩を除去した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-6)11.8gを得た。この重合体(A-6)は、Mwが6,000、Mnが5,800、Mw/Mnが1.04であった。
[合成例7]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFにsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.37mL(2.30mmol)注入し、さらに、重合禁止剤除去のためのシリカゲルによる吸着濾別と蒸留脱水処理とを行ったスチレン13.3mL(0.115mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に120分間熟成した。次に、クロロジメチルシラン0.25mL(2.30mmol)を加え、重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をMIBKで置換した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を5回繰り返した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-7)11.2gを得た。この重合体(A-7)は、Mwが5,200、Mnが5,000、Mw/Mnが1.04であった。
[合成例8]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったテトラヒドロフラン120gを注入し、-78℃まで冷却した。その後、このテトラヒドロフランにsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.57mL(2.50mmol)注入し、その後、重合禁止剤除去のためシリカゲルによる吸着濾別と蒸留脱水処理とを行ったtert-ブチルスチレン13.7mL(0.0748mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に30分間熟成した。この後、末端停止剤としてクロロメチルトリメトキシシラン0.38mL(2.50mmol)を注入し重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をメチルイソブチルケトン(MIBK)で置換した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を5回繰り返し、Li塩を除去した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この重合体を60℃で減圧乾燥させることで白色の重合体(A-8)11.8gを得た。この重合体(A-8)は、Mwが4,800、Mnが4,500、Mw/Mnが1.07であった。
[合成例9]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFに1,1-ジフェニルエチレン1.02mL(7.19mmol)、塩化リチウムの1Mテトラヒドロフラン溶液9.59mL(4.79mmol)及びsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.47mL(2.40mmol)注入し、さらに、重合禁止剤除去のためのシリカゲルによる吸着濾別と蒸留脱水処理とを行ったメタクリル酸メチル12.7mL(0.120mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に120分間熟成した。次に1Nエチレンオキサイドトルエン溶液2.40mL(2.40mmol)を加え、さらに、メタノール1mLを注入し重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をMIBKで置換した。その後、シュウ酸2質量%水溶液1,000gを注入撹拌し、静置後、下層の水層を取り除いた。この操作を3回繰り返し、Li塩を除去した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を3回繰り返し、シュウ酸を除去した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-9)11.2gを得た。この重合体(A-9)は、Mwが5,200、Mnが5,000、Mw/Mnが1.04であった。
[合成例10]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFに1,1-ジフェニルエチレン1.02mL(7.19mmol)、塩化リチウムの1Mテトラヒドロフラン溶液9.59mL(4.79mmol)及びsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.47mL(2.40mmol)注入し、さらに、重合禁止剤除去のためのシリカゲルによる吸着濾別と蒸留脱水処理とを行ったメタクリル酸メチル12.7mL(0.120mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に120分間熟成した。さらに、ジメチルシロキサン1.0g(4.50mmol)を加え、さらにメタノール1mLを注入し重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をMIBKで置換した。その後、シュウ酸2質量%水溶液1,000gを注入撹拌し、静置後、下層の水層を取り除いた。この操作を3回繰り返し、Li塩を除去した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を3回繰り返し、シュウ酸を除去した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この重合体を60℃で減圧乾燥させることで白色の重合体(A-10)11.2gを得た。この重合体(A-10)は、Mwが6,200、Mnが6,000、Mw/Mnが1.04であった。
[合成例11]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFに1,1-ジフェニルエチレン1.02mL(7.19mmol)、塩化リチウムの1Mテトラヒドロフラン溶液9.59mL(4.79mmol)、sec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.47mL(2.40mmol)注入し、さらに、重合禁止剤除去のためのシリカゲルによる吸着濾別と蒸留脱水処理とを行ったメタクリル酸メチル12.7mL(0.120mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に120分間熟成した。つぎにクロロメチルトリメトキシシラン0.36mL(2.40mmol)を加え、重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をMIBKで置換した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を5回繰り返した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-11)11.2gを得た。この重合体(A-11)は、Mwが5,200、Mnが5,000、Mw/Mnが1.04であった。
[合成例12]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFに1,1-ジフェニルエチレン1.02mL(7.19mmol)、塩化リチウムの1Mテトラヒドロフラン溶液9.59mL(4.79mmol)及びsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.47mL(2.40mmol)注入し、さらに、重合禁止剤除去のためのシリカゲルによる吸着濾別と蒸留脱水処理とを行ったメタクリル酸メチル12.7mL(0.120mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に120分間熟成した。つぎにクロロジメチルシラン0.27mL(2.40mmol)を加え、重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をMIBKで置換した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を5回繰り返した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-12)11.2gを得た。この重合体(A-12)は、Mwが5,200、Mnが5,000、Mw/Mnが1.04であった。
[合成例13]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFにsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.38mL(2.31mmol)注入し、さらに、重合禁止剤除去のためシリカゲルによる吸着濾別と蒸留脱水処理とを行ったスチレン13.3mL(0.115mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に30分間熟成した。次に、末端停止剤としてペンタメチルクロロジシラン0.39mL(2.01mmol)を注入し重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をMIBKで置換した。その後、シュウ酸2質量%水溶液1,000gを注入撹拌し、静置後、下層の水層を取り除いた。この操作を3回繰り返し、Li塩を除去した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を3回繰り返しシュウ酸を除去した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-13)11.7gを得た。この重合体(A-13)は、Mwが5,600、Mnが5,300、Mw/Mnが1.06であった。
[合成例14]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFにsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.38mL(2.31mmol)注入し、さらに、重合禁止剤除去のためシリカゲルによる吸着濾別と蒸留脱水処理とを行ったスチレン13.3mL(0.115mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に30分間熟成した。次に、4-トリメチルシリルスチレン1mL(5.6mmol)を加え、ブロック共重合を行い、末端停止剤としてメタノール1mLを注入し重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をMIBKで置換した。その後、シュウ酸2質量%水溶液1,000gを注入撹拌し、静置後、下層の水層を取り除いた。この操作を3回繰り返し、Li塩を除去した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を3回繰り返しシュウ酸を除去した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-14)11.8gを得た。この重合体(A-14)は、Mwが5,500、Mnが5,300、Mw/Mnが1.04であった。
[合成例15]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFにsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.38mL(2.31mmol)注入し、さらに、重合禁止剤除去のためシリカゲルによる吸着濾別と蒸留脱水処理とを行ったスチレン13.3mL(0.115mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に30分間熟成した。次に、1,1-ジフェニルエチレン0.98mL(6.91mmol)を加え暗褐色を呈することを確認した。つぎにN,N-ジメチルアミノエチルメタクリレ-ト1.0mL(6.04mmol)を加え、ブロック共重合を1時間行い、末端停止剤としてメタノール1mLを注入し重合末端の停止反応を行った。この反応溶液を室温まで昇温し、得られた反応溶液を濃縮して溶媒をMIBKで置換した。その後、シュウ酸2質量%水溶液1,000gを注入撹拌し、静置後、下層の水層を取り除いた。この操作を3回繰り返し、Li塩を除去した。その後、超純水1,000gを注入撹拌し、下層の水層を取り除いた。この操作を3回繰り返しシュウ酸を除去した後、溶液を濃縮してメタノール500g中に滴下することで重合体を析出させ、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-15)11.9gを得た。この重合体(A-15)は、Mwが5,600、Mnが5,300、Mw/Mnが1.06であった。
[合成例16]
 500mLの3口フラスコ反応容器を減圧乾燥した後、窒素雰囲気下、蒸留脱水処理を行ったTHF120gを注入し、-78℃まで冷却した。このTHFにsec-ブチルリチウム(sec-BuLi)の1Nシクロヘキサン溶液を2.38mL(2.31mmol)注入し、さらに、重合禁止剤除去のためシリカゲルによる吸着濾別と蒸留脱水処理とを行ったスチレン13.3mL(0.115mol)を30分かけて滴下注入し、重合系が橙色であることを確認した。この滴下注入のとき、反応溶液の内温が-60℃以上にならないように注意した。滴下終了後に30分間熟成した。次に、末端停止剤としてN,N-ジメチルアミノシリルクロライド0.35mL(2.31mmol)を注入し重合末端の停止反応を行った。この反応溶液を室温まで昇温し、イソプロパノール500g中に滴下することで重合体を析出させる操作を3回行い、ブフナーロートにて固体を回収した。この固体を60℃で減圧乾燥させることで白色の重合体(A-16)11.7gを得た。この重合体(A-16)は、Mwが5,600、Mnが5,100、Mw/Mnが1.10であった。
<組成物の調製>
[調製例1]
 [A]重合体としての(A-1)1.2gに、[B]溶媒としてのプロピレングリコールモノメチルエーテルアセテート(PGMEA)98.8gを加え、撹拌したのち、0.45μmの細孔を有する高密度ポリエチレンフィルターにて濾過することにより、組成物(S-1)を調製した。
[調製例2~16]
 下記表1に示す種類及び含有量の各成分を用いた以外は、調製例1と同様にして、組成物(S-2)~(S-16)を調製した。
Figure JPOXMLDOC01-appb-T000001
<評価>
 上記調製した組成物を、下記方法に従い、評価した。
[実施例1~17、21~25、比較例1及び2並びに参考例1~6]
 酸化ケイ素基板は、イソプロパノールにて表面処理を行った。8インチの基板(銅基板、コバルト基板、タングステン基板、タンタル基板、タンタル窒化膜基板)を5質量%シュウ酸水溶液に浸漬させたのち、窒素フローにて乾燥させ、表面の酸化被膜を除去した。
 次に、トラック(東京エレクトロン社の「TELDSA ACT8」)を用いて、上記調製した組成物を1,500rpmにてスピンコートし、150℃で180秒間焼成した。この基板をPGMEAにて剥離し、未反応の重合体を除去した。基板上に形成された選択的表面修飾材は、エリプソメータの膜厚測定結果より、0nm~5nm程度であった。次に、表面の接触角値を、接触角計(協和界面科学社のDrop master DM-501)を用いて測定した。さらに、膜厚を元に[A]重合体(ブラシ)の存在密度σ(chains/nm)を下記式(1)により算出した。
  σ=d×L×NA×10-21/Mn・・・(1)
  d:[A]重合体の密度(g/cm)、L:膜の平均厚み(nm)、NA:アボガドロ数、Mn:[A]重合体の数平均分子量
 金属基板及び酸化ケイ素基板のそれぞれについて、基板表面に形成された重合体膜の平均厚み(nm)、接触角値(°)、重合体(ブラシ)密度(chains/nm)及び組成物の塗布性について表2にそれぞれ示す。表2中の「-」は基材表面の選択性が示されておらず、重合体の存在密度を算出しなかったことを示す。
 組成物の塗布性については、目視で観察し、以下の基準で評価した。
 A:塗布性は良好である
 B:多少はじきが認められる
 C:塗布が困難である
Figure JPOXMLDOC01-appb-T000002
<銅-シリコンオキサイドからなるストライプ基板上での選択的表面修飾の評価>
[実施例18~20、26~28、比較例3及び参考例7]
 図1に示す8インチ基板(Cu-EPC:10,000Å/Cu-Seed:1,000Å/TaN Barrier Layer:250Å/シリコンオキサイド(酸化ケイ素):5,000Å/シリコンウエハ、0.18μmトレンチ)をCMPスラリーにて研磨し、図2のように銅とシリコンオキサイドがストライプ状に並ぶ基板を作成した。次にこの基板を5質量%シュウ酸水溶液に浸漬させたのち、窒素フローにて乾燥させ、表面の酸化被膜を除去した。
 この基板にトラック(東京エレクトロン社の「TELDSA ACT8」)を用いて、上記調製した組成物を1,500rpmにてスピンコートし、150℃で180秒間焼成した。この基板をPGMEAにて剥離し、未反応の重合体を除去した。次に、走査型プローブ顕微鏡(日立ハイテクサイエンス社、S-image(顕微鏡ユニット)及びNanoNaviReal(コントロールステーション))にて表面を観察し、凹凸より被覆部の膜厚を算出した。
 銅-シリコンオキサイドストライプ基板上のシリコンオキサイド、銅のそれぞれの領域上に形成された重合体の塗膜の平均厚み(nm)を表3にそれぞれ示した。表3中の「ND」は、厚みが小さく、検出できなかったことを示す。
Figure JPOXMLDOC01-appb-T000003
 表2及び表3の結果から、実施例の基材表面の選択的修飾方法によれば、ケイ素を含む表面領域を簡便に、高選択的かつ高密度に修飾できることが示された。
 本発明の基材表面の選択的修飾方法及び組成物によれば、ケイ素を含む表面領域を簡便に、高選択的かつ高密度に修飾することができる。従って、当該基材表面の選択的修飾方法及び組成物は、今後ますます微細化が進行すると予想される半導体デバイスの加工プロセス等に好適に用いることができる。
 1 シリコンウエハ
 2 Cu-EPC
 3 Cu-seed
 4 TaN
 5 シリコンオキサイド

Claims (11)

  1.  ケイ素を含む第1領域を表層に有する基材を準備する工程と、
     上記基材の表面に、上記ケイ素と結合する第1官能基を含む基を主鎖又は側鎖の末端に有する第1重合体及び溶媒を含有する組成物を塗工する工程と、
     上記塗工工程により形成される塗膜を加熱する工程と
     を備える基材表面の選択的修飾方法。
  2.  上記第1領域が、ケイ素酸化物、ケイ素窒化物又はケイ素酸化物窒化物を含む請求項1に記載の選択的修飾方法。
  3.  上記基材が、第1領域以外の領域であって、金属を含む第2領域をさらに有し、
     上記加熱工程の後に、上記塗膜のうち上記第2領域上に形成された部分をリンス液により除去する工程
     をさらに備える請求項1又は請求項2に記載の基材表面の選択的修飾方法。
  4.  上記第1重合体のポリスチレン換算数平均分子量が、500以上50,000以下である請求項1、請求項2又は請求項3に記載の基材表面の選択的修飾方法。
  5.  上記第1官能基が、-SiR(OR’)3-xであり、R及びR’が、それぞれ独立して、水素原子又は炭素数1~20の1価の炭化水素基、xが0~3の整数であるが、ただし、Rが複数存在する場合、複数のRは同一でも異なっていてもよく、R’が複数存在する場合、複数のR’は同一でも異なっていてもよい請求項1から請求項4のいずれか1項に記載の基材表面の選択的修飾方法。
  6.  上記第1重合体が、スチレン重合体、(メタ)アクリル重合体、エチレン重合体、シロキサン系重合体、又はこれらを組み合わせた共重合体である請求項1から請求項5のいずれか1項に記載の基材表面の選択的修飾方法。
  7.  上記第1重合体が、上記第1官能基を含む基を主鎖の一方の末端に有する請求項1から請求項6のいずれか1項に記載の基材表面の選択的修飾方法。
  8.  上記除去工程後の基材の表面に、アルコール、希酸、過酸化水素水、オゾン又はプラズマを接触させる工程
     をさらに備える請求項3に記載の基材表面の選択的修飾方法。
  9.  上記除去工程後の基材の表面に、CVD法又はALD法によりパターンを堆積させる工程
     をさらに備える請求項3に記載の基材表面の選択的修飾方法。
  10.  上記除去工程後の基材の表面上の上記第1重合体をエッチングにより除去する工程
     をさらに備える請求項3に記載の基材表面の選択的修飾方法。
  11.  基材表面の選択的修飾方法に用いられる組成物であって、
     ケイ素と結合を形成する第1官能基を含む基を主鎖又は側鎖の末端に有する重合体と溶媒とを含有することを特徴とする組成物。
PCT/JP2017/030428 2016-09-01 2017-08-24 基材表面の選択的修飾方法及び組成物 WO2018043305A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020197004869A KR102376126B1 (ko) 2016-09-01 2017-08-24 기재 표면의 선택적 수식 방법 및 조성물
JP2018537212A JP6889381B2 (ja) 2016-09-01 2017-08-24 基材表面の選択的修飾方法及び組成物
US16/288,385 US11211246B2 (en) 2016-09-01 2019-02-28 Method and composition for selectively modifying base material surface

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-171341 2016-09-01
JP2016171341 2016-09-01

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/288,385 Continuation US11211246B2 (en) 2016-09-01 2019-02-28 Method and composition for selectively modifying base material surface

Publications (1)

Publication Number Publication Date
WO2018043305A1 true WO2018043305A1 (ja) 2018-03-08

Family

ID=61300733

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2017/030428 WO2018043305A1 (ja) 2016-09-01 2017-08-24 基材表面の選択的修飾方法及び組成物

Country Status (4)

Country Link
US (1) US11211246B2 (ja)
JP (1) JP6889381B2 (ja)
KR (1) KR102376126B1 (ja)
WO (1) WO2018043305A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3988581A4 (en) * 2019-06-20 2023-06-28 DIC Corporation Intermediate for block copolymer, block copolymer, and methods for producing same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7071661B2 (ja) * 2017-06-21 2022-05-19 Jsr株式会社 カバー膜形成方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08337654A (ja) * 1995-06-14 1996-12-24 Matsushita Electric Ind Co Ltd 化学吸着膜の製造方法及びこれに用いる化学吸着液
JP2007145984A (ja) * 2005-11-28 2007-06-14 Sharp Corp シロキサン系分子膜、その製造方法及びその膜を用いた有機デバイス
JP2008036491A (ja) * 2006-08-03 2008-02-21 Nippon Telegr & Teleph Corp <Ntt> パターン形成方法及びモールド
US7521094B1 (en) * 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
WO2010032796A1 (ja) * 2008-09-19 2010-03-25 日産化学工業株式会社 サイドウォール形成用組成物
JP2012033534A (ja) * 2010-07-28 2012-02-16 Toshiba Corp パターン形成方法及びポリマーアロイ下地材料
JP2013524546A (ja) * 2010-04-14 2013-06-17 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィで使用される自己組織化可能な重合体の秩序化された層を提供する方法
JP2013525529A (ja) * 2010-04-23 2013-06-20 ワッカー ケミー アクチエンゲゼルシャフト 表面シールのためのコーティング組成物
JP2014063884A (ja) * 2012-09-21 2014-04-10 Toshiba Corp パターン形成方法
JP2014078713A (ja) * 2012-10-05 2014-05-01 Seagate Technology Llc ブロック共重合体組織、装置、およびブロック共重合体組織化構造
JP2014236148A (ja) * 2013-06-04 2014-12-15 東京エレクトロン株式会社 有機分子膜の形成装置および形成方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020061980A1 (en) * 2000-09-22 2002-05-23 Wen-Liang Hsu Process for synthesizing and coupling rubbery polymers
JP4660700B2 (ja) 2001-09-03 2011-03-30 独立行政法人産業技術総合研究所 有機分子自己組織化膜のパターン形成方法
JP2007131875A (ja) * 2005-11-08 2007-05-31 Fujifilm Corp 金属膜形成方法及び金属パターン形成方法
EP2064291B1 (en) * 2006-09-18 2017-02-22 Dow Corning Corporation Fillers, pigments and mineral powders treated with organopolysiloxanes
WO2009073901A2 (en) * 2007-12-05 2009-06-11 Corrine Jean Greyling A polymeric high voltage insulator with a hard, hydrophobic surface
JP5564383B2 (ja) * 2009-09-30 2014-07-30 富士フイルム株式会社 インプリント用硬化性組成物、パターン形成方法およびパターン
CN105336729A (zh) 2014-07-18 2016-02-17 上海和辉光电有限公司 一种用于监控介质膜厚的测试电容结构及测试方法

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08337654A (ja) * 1995-06-14 1996-12-24 Matsushita Electric Ind Co Ltd 化学吸着膜の製造方法及びこれに用いる化学吸着液
JP2007145984A (ja) * 2005-11-28 2007-06-14 Sharp Corp シロキサン系分子膜、その製造方法及びその膜を用いた有機デバイス
JP2008036491A (ja) * 2006-08-03 2008-02-21 Nippon Telegr & Teleph Corp <Ntt> パターン形成方法及びモールド
US7521094B1 (en) * 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
WO2010032796A1 (ja) * 2008-09-19 2010-03-25 日産化学工業株式会社 サイドウォール形成用組成物
JP2013524546A (ja) * 2010-04-14 2013-06-17 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィで使用される自己組織化可能な重合体の秩序化された層を提供する方法
JP2013525529A (ja) * 2010-04-23 2013-06-20 ワッカー ケミー アクチエンゲゼルシャフト 表面シールのためのコーティング組成物
JP2012033534A (ja) * 2010-07-28 2012-02-16 Toshiba Corp パターン形成方法及びポリマーアロイ下地材料
JP2014063884A (ja) * 2012-09-21 2014-04-10 Toshiba Corp パターン形成方法
JP2014078713A (ja) * 2012-10-05 2014-05-01 Seagate Technology Llc ブロック共重合体組織、装置、およびブロック共重合体組織化構造
JP2014236148A (ja) * 2013-06-04 2014-12-15 東京エレクトロン株式会社 有機分子膜の形成装置および形成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3988581A4 (en) * 2019-06-20 2023-06-28 DIC Corporation Intermediate for block copolymer, block copolymer, and methods for producing same

Also Published As

Publication number Publication date
US20190198317A1 (en) 2019-06-27
KR102376126B1 (ko) 2022-03-18
KR20190045173A (ko) 2019-05-02
JPWO2018043305A1 (ja) 2019-06-24
JP6889381B2 (ja) 2021-06-18
US11211246B2 (en) 2021-12-28

Similar Documents

Publication Publication Date Title
JP7127713B2 (ja) 組成物
WO2018043729A1 (ja) 組成物、基材表面の修飾方法及び選択的修飾方法、パターン形成方法、並びに重合体
US11195714B2 (en) Pattern-forming method
WO2018043305A1 (ja) 基材表面の選択的修飾方法及び組成物
JP7136182B2 (ja) 基材表面の修飾方法、組成物及び重合体
US20200333706A1 (en) Patterned substrate-producing method
WO2021095766A1 (ja) 組成物、基板の製造方法及び重合体
US11270883B2 (en) Pattern-forming method and composition
JP7081377B2 (ja) 組成物及び基板表面の修飾方法
WO2020179918A1 (ja) 基板の製造方法及びブロック共重合体
KR20190117523A (ko) 기재 표면의 선택적 수식 방법
KR20210071973A (ko) 기판의 제조 방법, 조성물 및 중합체

Legal Events

Date Code Title Description
ENP Entry into the national phase

Ref document number: 2018537212

Country of ref document: JP

Kind code of ref document: A

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17846310

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20197004869

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 17846310

Country of ref document: EP

Kind code of ref document: A1