WO2013191065A1 - マンガン含有膜の形成方法 - Google Patents

マンガン含有膜の形成方法 Download PDF

Info

Publication number
WO2013191065A1
WO2013191065A1 PCT/JP2013/066264 JP2013066264W WO2013191065A1 WO 2013191065 A1 WO2013191065 A1 WO 2013191065A1 JP 2013066264 W JP2013066264 W JP 2013066264W WO 2013191065 A1 WO2013191065 A1 WO 2013191065A1
Authority
WO
WIPO (PCT)
Prior art keywords
manganese
film
gas
forming
compound gas
Prior art date
Application number
PCT/JP2013/066264
Other languages
English (en)
French (fr)
Inventor
松本 賢治
前川 薫
龍文 濱田
洋之 永井
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to JP2014521378A priority Critical patent/JPWO2013191065A1/ja
Priority to KR1020147034765A priority patent/KR20150031239A/ko
Publication of WO2013191065A1 publication Critical patent/WO2013191065A1/ja
Priority to US14/566,807 priority patent/US20150110975A1/en
Priority to US15/212,774 priority patent/US20160326646A1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a method for forming a manganese-containing film.
  • a diffusion prevention film (hereinafter referred to as a barrier layer) that prevents diffusion of Cu and to reduce the combined resistance of the barrier layer and the Cu wiring.
  • the barrier layer is formed using a PVD method (sputtering method).
  • the CVD method has a better step coverage of the recess than the PVD method, and is attracting attention as a new method for forming a barrier layer.
  • the manganese oxide film formed by using the CVD method has good step coverage with respect to a fine groove even when the thickness is small, and exhibits high barrier properties.
  • the film-forming temperature of a manganese oxide film shall be 100 degreeC or more and less than 400 degreeC, and adhesiveness with Cu on it will become favorable.
  • the barrier layer made of a manganese oxide film described in Patent Document 2 shows a certain degree of adhesion to Cu, generally, oxide cannot be said to have good adhesion to Cu, and is not good for the groove. Although step coverage is good and high barrier properties are exhibited, there is room for improvement in adhesion with Cu.
  • an object of the present invention is to provide a method for forming a manganese-containing film capable of improving the adhesion with Cu.
  • a first aspect of the present invention is a method for forming a manganese-containing film formed between a base and a copper film, wherein a manganese compound gas and a reaction gas containing nitrogen are reacted to contain nitrogen on the base
  • a process of forming a manganese film and a reaction between a manganese compound gas and a reducing reaction gas, a thermal decomposition reaction of the manganese compound gas, or a decomposition reaction of the manganese compound gas by irradiation with energy or active species A method of forming a manganese-containing film comprising: forming a metal manganese film on the nitrogen-containing manganese film.
  • a second aspect of the present invention is a method for forming a manganese-containing film formed between a base and a copper film, wherein a manganese compound gas and oxygen supplied from the base are reacted to form a manganese-containing film on the base.
  • a process of forming a manganese oxide film or a manganese silicate film, and a reaction between the manganese compound gas and the reducing reaction gas, or a thermal decomposition reaction of the manganese compound gas, or irradiation of the manganese compound gas with energy or active species And a step of forming a metal manganese film on the manganese oxide film or on the manganese silicate film by a decomposition reaction.
  • a third aspect of the present invention is a method for forming a manganese-containing film formed between a base and a copper film, wherein a manganese compound gas and a reducing reaction gas are reacted, or a manganese compound gas
  • a process of forming a metal manganese film on the substrate by causing a decomposition reaction of the manganese compound gas by irradiation of energy or active species, and a reaction of the manganese compound gas with a reaction gas containing nitrogen.
  • a method of forming a manganese-containing film comprising: forming a nitrogen-containing manganese film on the metal manganese film.
  • a fourth aspect of the present invention is a method for forming a manganese-containing film formed between a base and a copper film, wherein a manganese compound gas and oxygen supplied from the base are reacted to form a manganese-containing film on the base.
  • a step of forming a manganese oxide film or a manganese silicate film is reacted with a manganese compound gas and a reaction gas containing nitrogen to form a nitrogen-containing manganese film on the manganese oxide film or on the manganese silicate film.
  • a method for forming a manganese-containing film is a method for forming a manganese-containing film.
  • the manganese compound gas is a cyclopentadienyl manganese compound gas, a carbonyl manganese compound gas, a beta diketone manganese compound gas, an amidinate manganese compound gas, and an amidoaminoalkane manganese. It is preferably selected from any of compound gases.
  • the metal manganese film is formed using an ALD method in which the manganese compound gas and the reducing reaction gas are alternately supplied with a purge interposed therebetween
  • the nitrogen-containing manganese film may be formed using an ALD method in which the manganese compound gas and the reaction gas containing nitrogen are alternately supplied with a purge interposed therebetween. preferable.
  • FIG. 1A is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the first embodiment of the present invention.
  • FIG. 1B is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the first embodiment of the present invention.
  • FIG. 1C is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the first embodiment of the present invention.
  • FIG. 1D is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the first embodiment of the present invention.
  • FIG. 1A is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the first embodiment of the present invention.
  • FIG. 1B is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the first embodiment of the present invention.
  • FIG. 1E is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the first embodiment of the present invention.
  • FIG. 2A is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the second embodiment of the present invention.
  • FIG. 2B is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the second embodiment of the present invention.
  • FIG. 2C is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the second embodiment of the present invention.
  • FIG. 2D is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the second embodiment of the present invention.
  • FIG. 2E is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the second embodiment of the present invention.
  • FIG. 3A is a sectional view showing an example of a method for forming a manganese-containing film according to the third embodiment of the present invention.
  • FIG. 3B is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the third embodiment of the present invention.
  • FIG. 3C is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the third embodiment of the present invention.
  • FIG. 3D is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the third embodiment of the present invention.
  • FIG. 4A is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the fourth embodiment of the present invention.
  • FIG. 4B is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the fourth embodiment of the present invention.
  • FIG. 4C is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the fourth embodiment of the present invention.
  • FIG. 4D is a cross-sectional view showing an example of a method for forming a manganese-containing film according to the fourth embodiment of the present invention.
  • FIG. 5A is a cross-sectional view showing an example of a method for manufacturing a semiconductor device to which the method for forming a manganese-containing film according to the first to fourth embodiments is applied.
  • FIG. 5B is a cross-sectional view showing an example of a method for manufacturing a semiconductor device to which the method for forming a manganese-containing film according to the first to fourth embodiments is applied.
  • FIG. 5A is a cross-sectional view showing an example of a method for manufacturing a semiconductor device to which the method for forming a manganese-containing film according to the first to fourth embodiments is applied.
  • FIG. 5B is a cross-sectional
  • FIG. 5C is a cross-sectional view showing an example of a method for manufacturing a semiconductor device to which the method for forming a manganese-containing film according to the first to fourth embodiments is applied.
  • FIG. 5D is a cross-sectional view showing an example of a method for manufacturing a semiconductor device to which the method for forming a manganese-containing film according to the first to fourth embodiments is applied.
  • FIG. 6 is a plan view schematically showing an example of a film forming system capable of executing the method for forming a manganese-containing film according to the embodiment of the present invention.
  • FIG. 7 is a cross-sectional view schematically showing an example of a manganese CVD apparatus.
  • FIG. 8 is a diagram showing vapor pressures of water (H 2 O) and ammonia (NH 3 ).
  • First Embodiment> 1A to 1E are cross-sectional views showing an example of a method for forming a manganese-containing film according to the first embodiment of the present invention.
  • TEOS is supplied as a source gas
  • a silicon oxide film 101 is formed on the silicon substrate 100 by a CVD method.
  • the silicon oxide film 101 is, for example, an insulating film that functions as an interlayer insulating film.
  • the silicon oxide film 101 is a film that serves as a base on which a manganese-containing film is formed (base film).
  • the insulating film functioning as an interlayer insulating film is not limited to the silicon oxide film (SiO 2 ) 101, but a silicon-containing insulating film (Low-k film) having a relative dielectric constant lower than that of SiO 2 such as SiOC and SiOCH. ) May be used. Furthermore, a porous Low-k film having pores may be used. This also applies to all the embodiments described below. In the description of the embodiment, the periphery of the transistor, that is, FEOL (Front The process of End of Line) is omitted.
  • FEOL Front The process of End of Line
  • a manganese compound gas and a reactive gas containing nitrogen are supplied onto the silicon oxide film 101 and reacted with each other to form a nitrogen-containing manganese film 102 by a CVD method.
  • a manganese compound gas and a reducing reaction gas are supplied onto the nitrogen-containing manganese film 102, and these are reacted to form a metal manganese film 103 by a CVD method.
  • a manganese compound gas is supplied onto the nitrogen-containing manganese film 102 and thermally decomposed to form the metal manganese film 103 by the CVD method.
  • a manganese compound gas is supplied onto the nitrogen-containing manganese film 102 and is decomposed by irradiation with energy or active species to form the metal manganese film 103 by a CVD method.
  • manganese-containing film 104 and metal manganese film 103 form the manganese-containing film 104 of this embodiment.
  • ⁇ (A1) ammonia (NH 3) gas ⁇ (a2) hydrazine (NH 2 NH 2) Gas ⁇ (a3) amine (described by the formula NR 1 R 2 R 3) Gas ⁇ (a4) hydrazine derivative (generally A gas (described by the formula R 1 R 2 NNR 3 R 4 ) can be used preferably.
  • R 1 , R 2 , R 3 , and R 4 are hydrocarbon groups.
  • (a3) amine gas ⁇ Methylamine (CH 3 NH 2 ) gas... Primary amine ⁇ Ethylamine (C 2 H 5 NH 2 ) gas... Primary amine ⁇ Dimethylamine ((CH 3 ) 2 NH) gas... Secondary amine ⁇ Trimethylamine ((CH 3 ) 3 N) gas: tertiary amines and the like can be mentioned.
  • methyl hydrazine has an advantage that it has a boiling point of about 87 ° C. and has a relatively high vapor pressure, so that it can be easily supplied.
  • methyl hydrazine is a safer organic substance than hydrazine and is easily decomposed. Therefore, methylhydrazine is a substance that can be one of effective nitrogen sources in the practice of the present invention.
  • R is an alkyl group described by —C n H 2n + 1 (n is an integer of 0 or more).
  • R, R 1 , R 2 and R 3 are alkyl groups described by —C n H 2n + 1 (n is an integer of 0 or more).
  • Z is an alkylene group described by —C n H 2n — (n is an integer of 0 or more).
  • Examples of the (c1) cyclopentadienyl manganese compound gas include: -Bis (alkylcyclopentadienyl) manganese gas etc. can be mentioned.
  • (c2) carbonyl-based manganese compound gas Decacarbonyl 2 manganese (Mn 2 (CO) 10 ) gas Methylcyclopentadienyl tricarbonyl manganese ((CH 3 C 5 H 4 ) Mn (CO) 3 ) gas Cyclopentadienyl tricarbonyl manganese ((C 5 H 5 ) Mn (CO) 3 ) gas, methylpentacarbonyl manganese ((CH 3 ) Mn (CO) 5 ) gas, 3- (t-BuAllyl) Mn (CO) 4 gas, and the like.
  • (c3) beta diketone manganese compound gas Bis (dipivaloylmethanato) Manganese (Mn (C 11 H 19 O 2) 2) Gas tris (dipivaloylmethanato) Manganese (Mn (C 11 H 19 O 2) 3) Gas-bis (pentane Dione) Manganese (Mn (C 5 H 7 O 2 ) 2 ) Gas • Tris (pentanedione) Manganese (Mn (C 5 H 7 O 2 ) 3 ) Gas • Bis (hexafluoroacetyl) manganese (Mn (C 5 HF 6 O 2 ) 2 ) Gas • Tris (hexafluoroacetyl) manganese (Mn (C 5 HF 6 O 2 ) 3 ) gas and the like can be mentioned.
  • amidinate manganese compound gas -Bis (N, N'-dialkylacetamidinate) manganese gas and the like can be mentioned.
  • Examples of the (c5) amidoaminoalkane-based manganese compound gas include: Bis (N, N′-1-alkylamido-2-dialkylaminoalkane) manganese gas and the like can be mentioned.
  • the amidoaminoalkane-based manganese compound gas forms the metal manganese film 103 at a low temperature of 250 to 300 ° C. (for example, 250 ° C.). It is preferable because it can be formed into a film.
  • the deposition temperature of the metal manganese film 103 is 400 to 450 when (c1) cyclopentadienyl manganese compound gas, for example, bis (ethylcyclopentadienyl) manganese gas ((EtCp) 2 Mn) is used. ° C.
  • (c4) amidinate manganese compound gas is used, the deposition temperature of the metal manganese film 103 is 350 to 400 ° C.
  • the reaction gas containing nitrogen described in the above (a1) to (a4) is used. Therefore, when the nitrogen-containing manganese film 102 is formed, the nitrogen-containing manganese film 102 can be formed at a temperature lower than that of the metal manganese film 103 when any of the gases described in (c1) to (c5) is used. it can.
  • a manganese compound gas and a reactive gas containing nitrogen or a reducing reactive gas are alternately supplied with a purge in place of the CVD method.
  • An ALD (Atomic Layer Deposition) method may be used. By using the ALD method, surface coverage and surface reaction are performed, so that the step coverage (coverage) is improved, and even if the film thickness is small, it becomes a continuous film and can be formed at a lower temperature.
  • Step 1 Adsorption of manganese compound (Mn precursor) by manganese compound gas (supplying manganese compound gas) -Process 2 ... Purge (vacuum purge or inert gas purge) -Step 3 ... Decomposition of the adsorbed manganese compound (Mn precursor)-Step 4 ... Purge (vacuum purge or inert gas purge)
  • Step 1 Adsorption of manganese compound (Mn precursor) by manganese compound gas (supplying manganese compound gas) -Process 2 ... Purge (vacuum purge or inert gas purge) -Step 3 ... Decomposition of the adsorbed manganese compound (Mn precursor)-Step 4 ... Purge (vacuum purge or inert gas purge)
  • Step 2 Adsorption of manganese compound (Mn precursor) by manganese compound gas (supplying manganese compound gas) -Process 2 ... Purge (vacuum purge or inert gas purge) -
  • a reaction gas containing nitrogen such as NH 3 gas is supplied to the surface of the silicon oxide film 101 on which the manganese compound is adsorbed. Thereby, the adsorbed manganese compound is decomposed, and nitrogen-containing manganese is left on the surface of the silicon oxide film 101.
  • Step 3 a reducing reaction gas such as H 2 gas is supplied to the surface of the nitrogen-containing manganese film 102 on which the manganese compound is adsorbed. As a result, the adsorbed manganese compound is decomposed, leaving manganese on the surface of the nitrogen-containing manganese film 102.
  • the reaction gas containing nitrogen may be switched from a midway to a reducing reaction gas.
  • the timing for switching from the reactive gas containing nitrogen to the reducing reactive gas may be appropriately determined according to the required film thicknesses of the nitrogen-containing manganese film 102 and the metal manganese film 103.
  • step 3 instead of a reactive gas containing nitrogen such as NH 3 gas or a reducing reactive gas such as H 2 gas, it is also possible to use decomposition by irradiation of energy or active species.
  • a reactive gas containing nitrogen such as NH 3 gas or a reducing reactive gas such as H 2 gas
  • Plasma H plasma generated by remote plasma etc.
  • Radicals H radicals generated by heating filaments, NH 2 radicals, etc.
  • Ion Ion ⁇ Electron etc.
  • the silicon oxide film 101 is exposed to the plasma generation region from the viewpoint that only the Mn precursor needs to be decomposed and that damage to the underlying, for example, the silicon oxide film 101 is to be avoided. It is preferable to use a technique that can avoid the above. A method using remote plasma or a heating filament is preferable in this respect.
  • the decomposition method it is preferable to use properly depending on the type of film to be deposited and the film formation temperature. For example, when it is desired to deposit the metal manganese film 103, decomposition by a reducing reactive gas or decomposition by irradiation of energy or active species is selected. Further, a reducing reaction gas may be combined with energy or active species irradiation. Further, when it is desired to deposit the nitrogen-containing manganese film 102, decomposition by a reactive gas containing nitrogen is selected. Furthermore, it is good also as combining the reactive gas containing nitrogen, and irradiation of energy or active species. According to decomposition by irradiation with energy or active species, the metal manganese film 103 and the nitrogen-containing manganese film 102 can be formed at a lower temperature.
  • a copper film 105 is formed on the metal manganese film 103 by using a PVD method, for example, a sputtering method.
  • a PVD method for example, a sputtering method.
  • heat treatment at the time of forming the copper film 105 or by annealing after the copper film 105 is formed manganese in the metal manganese film 103 diffuses into the copper film, and as shown in FIG.
  • the film 105 changes to a copper film 107 in which manganese is diffused. Further, oxygen or the like diffuses from the silicon oxide film 101 to the nitrogen-containing manganese film 102.
  • manganese is diffused and manganese oxide film 108 formed by oxidizing manganese exposed on the surface of copper film 107 is laminated.
  • the nitrogen-containing manganese film 102 functions as a barrier film that suppresses diffusion of copper from the copper film 105 into the silicon oxide film 101, and the metal manganese film 103 is It functions as an adhesion layer with the copper film 105.
  • the metals are adjacent to each other, and a manganese oxide film is used as the manganese-containing film, and a copper film is formed thereon, The adhesion between the copper film 105 and the manganese-containing film 104 is improved.
  • Incubation time can be shortened by using ammonia gas or hydrazine gas as a reaction gas when forming the nitrogen-containing manganese film 102 on the silicon oxide film 101 as the base film, and the nitrogen-containing manganese film 102 Can be formed as a continuous film.
  • the metal manganese film 103 is formed on the silicon oxide film 101 by a CVD method, the manganese-containing film 104 is easily formed by the presence of the nitrogen-containing manganese film 102. It can be reliably formed as a continuous film.
  • the nitrogen-containing manganese film 102 and the metal manganese film 103 are formed as described above.
  • the film can be formed at a low temperature.
  • ⁇ Second Embodiment> 2A to 2E are cross-sectional views showing an example of a method for forming a manganese-containing film according to the second embodiment of the present invention.
  • TEOS is supplied as a source gas, and a silicon oxide film 101 serving as a base film is formed on the silicon substrate 100 by a CVD method.
  • a manganese compound gas is supplied onto the silicon oxide film 101 to form a manganese oxide film 110 by an ALD method or a CVD method.
  • the manganese oxide film 110 may be partially silicated or may be a manganese silicate film.
  • the manganese oxide film 110 can be formed by using the method described in Japanese Patent Application Laid-Open No. 2010-242187. That is, as the manganese compound gas, for example, a cyclopentadienyl-based manganese compound such as bis (alkylcyclopentadienyl) manganese represented by the general formula Mn (RC 5 H 4 ) 2 is used, and is 100 ° C. or higher and lower than 400 ° C.
  • the film is formed.
  • R is an alkyl group described by —C n H 2n + 1 (n is an integer of 0 or more).
  • oxygen that oxidizes manganese, and silicon and oxygen that silicate-convert manganese are supplied from the silicon oxide film 101.
  • the oxygen supplied from the silicon oxide film 101 includes oxygen derived from moisture contained in the silicon oxide film 101 (physically adsorbed water and chemically adsorbed water).
  • a manganese compound gas and a reducing reaction gas are supplied onto the manganese oxide film 110 and reacted to react with each other by the ALD method or Metal manganese film 111 is formed by CVD.
  • a manganese compound gas is supplied onto the manganese oxide film 110 and subjected to a thermal decomposition reaction to form the metal manganese film 111 by the ALD method or the CVD method.
  • a manganese compound gas is supplied onto the manganese oxide film 110 and is decomposed by irradiation with energy or active species to form the metal manganese film 111 by the ALD method or the CVD method.
  • These manganese oxide film 110 and metal manganese film 111 form the manganese-containing film 112 of this embodiment.
  • the reducing reactive gas used when forming the metal manganese film 111, the energy source, and the active species are preferably the same as those described in the first embodiment. Can be used.
  • the manganese compound gas used when forming the manganese oxide film 110 and the metal manganese film 111 the same one as described in the first embodiment is preferably used. it can.
  • the type of Mn precursor used for film formation it is easy to react with oxygen supplied from the substrate (for example, derived from water), easy to react with a reducing reactive gas in a low temperature region, and thermal decomposition. It is possible to select appropriately depending on the ease of reaction, and the type of Mn precursor may be changed during film formation as necessary. For example, when the film formation temperature is 250 ° C.
  • the manganese oxide film 110 is formed by the reaction between the cyclopentadienyl manganese compound and oxygen supplied from the silicon oxide film 101.
  • the metal manganese film 111 can be formed by thermal decomposition reaction of an amidoaminoalkane-based manganese compound gas.
  • the manganese-containing film 112 of this embodiment can be formed by sequentially supplying a plurality of types of Mn precursors having different decomposition reaction characteristics without changing the film formation temperature.
  • an ALD method may be used instead of the CVD method.
  • the ALD method surface coverage and surface reaction are performed, so that the step coverage (coverage) is improved, and even if the film thickness is small, it becomes a continuous film and can be formed at a lower temperature.
  • a copper film 105 is formed on the metal manganese film 111 by using a PVD method, for example, a sputtering method.
  • a PVD method for example, a sputtering method.
  • heat treatment during the formation of the copper film 105 or by annealing after the copper film 105 is formed manganese in the metal manganese film 111 is diffused into the copper film 105 as in the first embodiment.
  • the copper film 105 changes to a copper film 107 in which manganese is diffused.
  • a silicon oxide film 101 a manganese oxide (manganese silicate) film 114, a copper film 107 in which manganese is diffused, and manganese diffuses on the surface side of the copper film 107 as a final structure.
  • the manganese oxide film 108 formed by oxidizing manganese exposed on the surface of the copper film 107 is laminated.
  • the manganese oxide film 110 functions as a barrier film that suppresses copper diffusion
  • the metal manganese film 111 functions as an adhesion layer of the copper film 105.
  • the manganese oxide film 110 formed on the silicon oxide film 101 using a cyclopentadienyl manganese compound gas is a continuous film that is continuous in a layered manner.
  • the metal manganese film 111 is formed on the silicon oxide film 101 by the CVD method, the manganese-containing film 112 is surely formed by the presence of the manganese oxide film 110 although the film is likely to be scattered in an island shape due to aggregation of the metal manganese. It can be formed as a continuous film.
  • the manganese-containing film 112 is made of metal manganese. Compared with the case of the single-layer structure, the amount of manganese diffusing into the copper film 105 can be reduced. For this reason, an increase in the resistance value of the copper film 107 due to a large amount of diffusion of manganese can be suppressed.
  • the metal manganese film 111 can be formed at a relatively low temperature as described above.
  • 3A to 3D are sectional views showing an example of a method for forming a manganese-containing film according to the third embodiment of the present invention.
  • TEOS is supplied as a source gas, and a silicon oxide film 101 is formed on the silicon substrate 100 by a CVD method.
  • a manganese compound gas and a reducing reaction gas are supplied onto the silicon oxide film 101 and reacted to form a metal manganese film 120 by the ALD method or the CVD method.
  • the ALD method is preferable from the viewpoint of forming a continuous film. That is, when the metal manganese film 120 is formed on the silicon oxide film 101 by the CVD method, it tends to be a film scattered in islands due to the aggregation of the metal manganese, but a continuous film is formed by using the ALD method. Can do.
  • a manganese compound gas may be supplied onto the silicon oxide film 101 and decomposed by irradiation with energy or active species to form the metal manganese film 120 by the ALD method or the CVD method.
  • a nitrogen-containing manganese film 121 is formed on the metal manganese film 120 by an ALD method or a CVD method using a manganese compound gas and a reaction gas containing nitrogen.
  • the metal manganese film 120 is formed by the ALD method, it is preferable to switch the reducing reaction gas to a reaction gas containing nitrogen and subsequently form the nitrogen-containing manganese film by the ALD method. That is, a manganese compound gas and a reaction gas containing nitrogen are alternately supplied with a purge interposed therebetween.
  • These manganese metal film 120 and nitrogen-containing manganese film 121 form the manganese-containing film 122 of this embodiment.
  • the same as those described in the first embodiment can be suitably used.
  • the reactive gas containing nitrogen used when forming the nitrogen-containing manganese film 121 the same one as described in the first embodiment is preferably used. it can.
  • the same manganese compound gas as that described in the first embodiment is preferably used for forming the metal manganese film 120 and the nitrogen-containing manganese film 121. Can be used.
  • a copper film 105 is formed on the manganese-containing film 122 by using a PVD method, for example, a sputtering method.
  • a silicon oxide film 101, a manganese silicate film 123, a nitrogen-containing manganese film 121, A copper film 125 in which manganese is slightly diffused in copper is laminated.
  • the nitrogen-containing manganese film 121 and the annealed manganese silicate film 123 serve as a barrier layer that suppresses copper diffusion.
  • the nitrogen-containing manganese film 121 functions as an adhesion layer with the copper film 125.
  • the film in contact with the copper film 105 is not a metal manganese film but a nitrogen-containing manganese film 121. For this reason, the amount of manganese that can diffuse into the copper film 105 is small compared to the first and second embodiments in which the film in contact with the copper film 125 is a metal manganese film, and the surface of the copper film 105 In the first and second embodiments, the formed manganese oxide film is not formed or hardly formed.
  • a manganese oxide film is used as the manganese-containing film, and compared with the case where the copper film 105 is formed thereon, the copper film 105 and the manganese-containing film are included. Adhesion with the film 122 is improved.
  • the surface undergoes surface adsorption and surface reaction as compared with the case where the metal manganese containing film is formed using the CVD method. Therefore, the step coverage (coverage property) is improved, and even if the film thickness is small, it becomes easy to form a continuous film, and the manganese-containing film 122 can be easily formed as a continuous film that is layered.
  • the metal manganese film 120 and the nitrogen-containing manganese film 121 can be made at a relatively low temperature. A film can be formed.
  • ⁇ Fourth Embodiment> 4A to 4D are cross-sectional views showing an example of a method for forming a manganese-containing film according to the fourth embodiment of the present invention.
  • TEOS is supplied as a source gas, and a silicon oxide film 101 serving as a base film is formed on the silicon substrate 100 by a CVD method.
  • a manganese oxide film 130 is formed on the silicon oxide film 101 by supplying a manganese compound gas by an ALD method or a CVD method.
  • the manganese oxide film 130 may be partially silicated.
  • the manganese oxide film 130 is formed using a Mn precursor having a property of reacting with water.
  • a Mn precursor having a property of reacting with water an amidoaminoalkane-based manganese compound described by the general formula Mn (R 1 NZ—NR 2 2 ) 2 can be given.
  • R 1 and R 2 are alkyl groups described by —C n H 2n + 1 (n is an integer of 0 or more).
  • Z is an alkylene group described by —C n H 2n — (n is an integer of 0 or more).
  • bis (N, N′-1-alkylamido-2-dialkylaminoalkane) manganese gas is used as the manganese compound gas, and the film is formed at 100 ° C. to 250 ° C. (for example, 200 ° C.).
  • oxygen that oxidizes manganese, and silicon and oxygen that silicate-convert manganese are supplied from the silicon oxide film 101.
  • the oxygen supplied from the silicon oxide film 101 includes oxygen derived from moisture contained in the silicon oxide film 101 (physically adsorbed water and chemically adsorbed water).
  • the manganese oxide film 130 is formed using oxygen supplied from the base. For this reason, it is preferable not to change the type of the Mn precursor from the one having the property of reacting with water to the one having the property of not reacting with water during the formation of the manganese oxide film 130.
  • a manganese compound gas and a reactive gas containing nitrogen are supplied onto the manganese oxide film 130 and reacted to form a nitrogen-containing manganese film 131 by the ALD method or the CVD method.
  • the same gas as described in the first embodiment can be suitably used. .
  • reaction gas containing nitrogen used when forming the nitrogen-containing manganese film 131 is preferably the same as that described in the first embodiment. it can.
  • a copper film 105 is formed on the manganese-containing film 132 by using a PVD method, for example, a sputtering method.
  • the silicon oxide film 101, the manganese oxide film 130, the nitrogen-containing manganese film 131, and the like are formed on the silicon substrate 100 by heat during the formation of the copper film 105 or by annealing after the copper film is formed.
  • a copper film 125 in which manganese is slightly diffused in copper is laminated.
  • the manganese oxide film 130 and the nitrogen-containing manganese film 131 serve as a barrier layer that suppresses copper diffusion. Further, the nitrogen-containing manganese film 131 functions as an adhesion layer with the copper film 125.
  • the film in contact with the copper film 105 is the nitrogen-containing manganese film 121 as in the third embodiment.
  • the manganese oxide film formed in the first and second embodiments is not formed or hardly formed on the surface of the copper film 125.
  • the manganese oxide film 130 formed on the silicon oxide film 101 using the amidoaminoalkane-based manganese compound gas becomes a continuous film that is continuous in layers. Due to the presence of the manganese oxide film 130, the manganese-containing film 132 can be reliably formed as a continuous film.
  • a manganese oxide film is used as the manganese-containing film, and compared with the case where the copper film 105 is formed thereon, the copper film 105 and the manganese-containing film are included. Adhesion with the film 132 is improved.
  • the manganese oxide film 130 and the nitrogen-containing manganese film 131 are made at a relatively low temperature. A film can be formed.
  • 5A to 5D are cross-sectional views showing an example of a method for manufacturing a semiconductor device.
  • a silicon oxide film 201 is formed on the silicon substrate 100 as a first interlayer insulating film.
  • a trench 202 for embedding wiring is formed in the silicon oxide film 201.
  • a first layer copper wiring 204 is embedded in the trench 202 through a barrier film 203.
  • a cap film 205 is formed on the upper surface of the silicon oxide film 201 and the upper surface of the copper wiring 204.
  • a silicon oxide film 206 is formed as a second-layer interlayer insulating film.
  • a trench 207 for embedding wiring is also formed in the silicon oxide film 206.
  • a via hole 208 reaching the first layer copper wiring 204 is formed at the bottom of the groove 207.
  • the silicon oxide film 201, 206 is not limited to SiO 2, SiOC, SiOCH, etc.
  • the dielectric constant may be used a low Si-containing insulating film as compared to SiO 2 (Low-k film).
  • a porous Low-k film having pores may be used.
  • the barrier film 203 not only a manganese-containing film such as manganese oxide or manganese silicate but also metal tantalum, tantalum nitride, metal titanium, titanium nitride, or the like can be used.
  • the cap film 205 may be made of not only a manganese-containing film such as manganese oxide or manganese silicate but also SiC, SiN, or SiCN.
  • the process around the transistor, that is, the FEOL (Front End of Line) process is omitted.
  • manganese is formed on the silicon oxide film 206 and a part of the copper wiring 204 exposed at the bottom of the via hole 208 by the method of any of the first to fourth embodiments.
  • a containing film 209 is formed.
  • a copper film 212 is formed on the manganese-containing film 209 by using a PVD method, for example, a sputtering method.
  • a PVD method for example, a sputtering method.
  • two steps of forming a copper seed layer by a sputtering method and depositing a copper film by an electrolytic plating method may be performed. Due to heat during the formation of the copper film 212 or annealing after the formation of the copper film 212, manganese in the portion of the manganese-containing film 209 formed on the silicon oxide film 206 is diffused into the copper film 212 and copper.
  • a diffusion layer 213 is formed on a part or the whole of the film 212, and a film 215 made of a nitrogen-containing manganese film, a manganese oxide film or a manganese silicate film is formed on the silicon oxide film 206 side.
  • the manganese in the portion formed on 204 diffuses into the copper film 212 and the copper wiring 204, and a diffusion layer 213 is formed in part or all of them.
  • the manganese-containing film 209 formed on the copper wiring 204 includes a metal manganese film, and even if manganese oxide is included, the manganese-containing film 209 is a part of the manganese oxide film 209. Does not exist or only a few remain. Note that a manganese oxide film may be formed on the surface of the copper film 212 depending on the diffusion amount of manganese.
  • the copper film 212, the diffusion layer 213, and the film 215 are removed by polishing, for example, to leave only the copper film 212 embedded in the groove 207 and the via hole 208. Thereby, the second layer copper wiring is formed.
  • FIG. 6 is a plan view schematically showing an example of such a film forming system.
  • This example is used for manufacturing a semiconductor device as an example of a film forming system.
  • a film forming system that performs a film forming process on a silicon wafer (hereinafter referred to as a wafer) as a substrate is illustrated.
  • the present invention is not limited to the formation of a manganese film on a wafer.
  • the film forming system 1 includes a processing unit 2 that performs processing on the wafer W, a loading / unloading unit 3 that loads the wafer W into and out of the processing unit 2, and a control unit that controls the film forming system 1. 4 is provided.
  • the film forming system 1 according to this example is a cluster tool type (multi-chamber type) semiconductor manufacturing apparatus.
  • the processing unit 2 includes four processing chambers (PM; process modules) for processing the wafer W (processing chambers 21a to 21d). Each of these processing chambers 21a to 21d is configured so that the inside can be depressurized to a predetermined degree of vacuum.
  • processing chamber 21a as a pretreatment for the wafer W, degassing by heating, removal of natural copper oxide by hydrogen annealing, modification of the underlying surface by irradiation with plasma and ions (specifically, porous low ⁇
  • the k film is irradiated with plasma and ions to narrow the pores, and the manganese compound gas is prevented from permeating into the low-k film.
  • a manganese-containing film forming process is performed as a film forming process on the wafer W, and in the processing chamber 21c, a PVD film forming process of copper or copper alloy, for example, a sputtering process is performed.
  • a heat treatment for silicate formation and manganese diffusion for example, trace oxygen annealing is performed.
  • the processing chambers 21a to 21d are connected to one transfer chamber (TM; transfer module) 22 via gate valves Ga to Gd.
  • the loading / unloading unit 3 includes a loading / unloading chamber (LM; loader module) 31.
  • the carry-in / out chamber 31 is configured to be capable of adjusting the inside to atmospheric pressure or almost atmospheric pressure, for example, slightly positive pressure with respect to the outside atmospheric pressure.
  • the plane shape of the carry-in / out chamber 31 is a rectangle having a long side when viewed from the plane and a short side perpendicular to the long side. The long side of the rectangle is adjacent to the processing unit 2.
  • the loading / unloading chamber 31 includes a load port (LP) to which a substrate C to be processed in which a wafer W is accommodated is attached.
  • LP load port
  • load ports 32 a, 32 b, and 32 c are provided on the long side of the loading / unloading chamber 31 facing the processing unit 2.
  • the number of load ports is three, but the number is not limited to these, and the number is arbitrary.
  • Each of the load ports 32a to 32c is provided with a shutter (not shown). When a wafer C storing or empty carrier C is attached to these load ports 32a to 32c, the shutter (not shown) is released. The inside of the carrier C and the inside of the carry-in / out chamber 31 are communicated with each other while preventing the entry of outside air.
  • a load lock chamber (LLM; load lock module), in this example, two load lock chambers 26a and 26b are provided.
  • Each of the load lock chambers 26a and 26b is configured to be able to switch the inside to a predetermined degree of vacuum and atmospheric pressure or almost atmospheric pressure.
  • the load lock chambers 26a and 26b are connected to one side of the loading / unloading chamber 31 opposite to the side where the load ports 32a to 32c are provided via the gate valves G3 and G4, and are transferred via the gate valves G5 and G6.
  • the chamber 22 is connected to two sides other than the four sides to which the processing chambers 21a to 21d are connected.
  • the load lock chambers 26a and 26b communicate with the loading / unloading chamber 31 by opening the corresponding gate valve G3 or G4, and are disconnected from the loading / unloading chamber 31 by closing the corresponding gate valve G3 or G4. Further, the corresponding gate valve G5 or G6 is opened to communicate with the transfer chamber 22, and the corresponding gate valve G5 or G6 is closed to be shut off from the transfer chamber 22.
  • a loading / unloading mechanism 35 is provided inside the loading / unloading chamber 31.
  • the loading / unloading mechanism 35 loads / unloads the wafer W with respect to the substrate carrier C to be processed.
  • the wafer W is carried into and out of the load lock chambers 26a and 26b.
  • the carry-in / out mechanism 35 includes, for example, two articulated arms 36 a and 36 b and is configured to be able to travel on a rail 37 extending along the longitudinal direction of the carry-in / out chamber 31.
  • Hands 38a and 38b are attached to the tips of the articulated arms 36a and 36b.
  • the wafer W is placed on the hand 38a or 38b, and the loading / unloading of the wafer W described above is performed.
  • the transfer chamber 22 is configured as a vacuum container, for example, a vacuum container. Inside the transfer chamber 22 is provided a transfer mechanism 24 for transferring the wafer W to and from the processing chambers 21a to 21d and the load lock chambers 26a and 26b, while being isolated from the atmosphere. The wafer W is transferred.
  • the transport mechanism 24 is disposed substantially at the center of the transport chamber 22.
  • the transport mechanism 24 has, for example, a plurality of transfer arms that can rotate and extend. In this example, for example, two transfer arms 24a and 24b are provided. Holders 25a and 25b are attached to the ends of the transfer arms 24a and 24b.
  • the wafer W is held by the holder 25a or 25b, and as described above, the wafer W is transferred to the processing chambers 21a to 21d and the load lock chambers 26a and 26b.
  • the control unit 4 includes a process controller 41, a user interface 42, and a storage unit 43.
  • the process controller 41 is composed of a microprocessor (computer).
  • the user interface 42 includes a keyboard on which an operator inputs commands to manage the film forming system 1, a display that visualizes and displays the operating status of the film forming system 1, and the like.
  • the storage unit 43 causes the film forming system 1 to execute processing in accordance with a control program for realizing processing performed in the film forming system 1 under the control of the process controller 41, various data, and processing conditions.
  • Recipe is stored.
  • the recipe is stored in a storage medium in the storage unit 43.
  • the storage medium can be read by a computer, and can be, for example, a hard disk or a portable medium such as a CD-ROM, a DVD, or a flash memory. Moreover, you may make it transmit a recipe suitably from another apparatus via a dedicated line, for example.
  • Arbitrary recipes are called from the storage unit 43 by an instruction from the user interface 42 and executed by the process controller 41, whereby processing for the wafer W is performed under the control of the process controller 41.
  • the manganese-containing film deposition apparatus is used in the processing chamber 21b in this example.
  • FIG. 7 is a cross-sectional view schematically showing an example of a manganese-containing film CVD apparatus.
  • the manganese-containing film CVD apparatus 50 has a processing chamber 21b.
  • a placing table 51 for placing the wafer W horizontally is provided in the processing chamber 21b.
  • a heater 51a serving as a temperature control means for the wafer is provided in the mounting table 51.
  • the mounting table 51 is provided with three lifting pins 51c (only two are shown for convenience) which can be moved up and down by a lifting mechanism 51b.
  • the wafer transport means and the mounting table 51 (not shown) are provided via the lifting pins 51c. The wafer W is transferred between the two.
  • One end side of an exhaust pipe 52 is connected to the bottom of the processing chamber 21b, and a vacuum pump 53 is connected to the other end side of the exhaust pipe 52.
  • a transfer port 54 that is opened and closed by a gate valve G is formed in the side wall of the processing chamber 21b.
  • a gas shower head 55 facing the mounting table 51 is provided on the ceiling of the processing chamber 21b.
  • the gas shower head 55 includes a gas chamber 55a, and the gas supplied to the gas chamber 55a is supplied into the processing chamber 21b from a plurality of gas discharge holes 55b.
  • a manganese compound gas supply piping system 56 for introducing a manganese compound gas into the gas chamber 55a is connected to the gas shower head 55.
  • the manganese compound gas supply piping system 56 includes a gas supply path 56a, and a valve 56b, a manganese compound gas supply source 57, and a mass flow controller 56c are connected to the upstream side of the gas supply path 56a.
  • bis (amidoaminoalkane) manganese compound gas is supplied from the manganese compound gas supply source 57 by a bubbling method.
  • the gas shower head 55 is connected with a reaction gas supply piping system 58 for introducing the reaction gas into the gas chamber 55a.
  • the reactive gas supply piping system 58 also includes a gas supply path 58a, and a reactive gas supply source 59 is connected to the upstream side of the gas supply path 58a via a valve 58b and a mass flow controller 58c.
  • hydrogen gas, ammonia gas, or the like is supplied from the reaction gas supply source 59.
  • the manganese compound gas and the reactive gas are mixed in the gas chamber 55a of the gas shower head 55 and then supplied into the processing chamber 21b from the gas discharge hole 55b (premix).
  • the gas shower head 55 is provided with a gas chamber dedicated to the manganese compound gas and a gas chamber dedicated to the reaction gas independently, and the manganese compound gas and the reaction gas are separately provided in the processing chamber 21b. It may be supplied to (post-mix method).
  • the degas treatment by heating can be performed, for example, in the processing chamber 21a before forming the manganese-containing film in the processing chamber 21b.
  • An example of the processing conditions is as follows. Wafer temperature: 250-400 ° C Processing pressure: 13-2670 Pa Treatment atmosphere: Inert gas atmosphere such as N 2 , Ar, He, etc. Treatment time: 30 to 300 seconds. If you give more suitable conditions, Wafer temperature: 300 ° C Processing pressure: 1330Pa Treatment atmosphere: Ar gas atmosphere Treatment time: 120 seconds.
  • the removal process of natural copper oxide by hydrogen annealing is applied when a copper film is present on a part of the base, as in the example described with reference to FIGS. 5A to 5D, for example.
  • the removal process of natural copper oxide by hydrogen annealing can be performed, for example, in the processing chamber 21a before the formation of the manganese-containing film in the processing chamber 21b.
  • An example of the processing conditions is as follows. Wafer temperature: 250-400 ° C Processing pressure: 13-2670 Pa Processing atmosphere: H 2 gas atmosphere (inert gas such as N 2 , Ar, and He may be added here), H 2 concentration is 1 to 100 Vol% Processing time: 30 to 300 seconds. If you give more suitable conditions, Wafer temperature: 300 ° C Processing pressure: 1330Pa Treatment atmosphere: 3% H 2 gas + 97% Ar gas atmosphere Treatment time: 120 seconds.
  • the modification treatment of the base surface is preferably applied, for example, when a low-k film is present on the base.
  • the modification treatment of the base surface can be performed, for example, in the processing chamber 21a before the formation of the manganese-containing film in the processing chamber 21b.
  • An example of processing conditions when hydrogen radicals are used as the reactive species is as follows. Radical / ion generation: Atomic hydrogen is generated by remote plasma, plasma, heating filament, etc. and irradiated to wafer W
  • Input power 1 to 5 kW (more preferably 1.5 kW to 3 kW)
  • Wafer temperature Room temperature (25 ° C.) to 450 ° C. (more preferably 200 to 400 ° C.)
  • Processing pressure 10 to 500 Pa (more preferably 20 to 100 Pa)
  • Treatment atmosphere 1-20% H 2 gas + 99-80% Ar gas atmosphere
  • Treatment time 5-300 seconds (more preferably 10-100 seconds) It is.
  • Input power 2.5kW Wafer temperature: 300 ° C Processing pressure: 40Pa Treatment atmosphere: 10% H 2 gas + 90% Ar gas atmosphere Treatment time: 60 seconds.
  • At least one of the degassing process by heating, the removal process of natural copper oxide by hydrogen annealing, and the modification process of the base surface by irradiating plasma and ions is performed before the formation of the manganese-containing film. It is possible.
  • the base surface modification treatment is performed, for example, in the processing chamber 21a before the formation of the manganese-containing film in the processing chamber 21b.
  • plasma is generated and, for example, the silicon oxide film 206 which is the second-layer interlayer insulating film shown in FIG. 5A is exposed to the generated plasma.
  • the silicon oxide film 206 is exposed to radical species derived from plasma.
  • the surface of the silicon oxide film 206 is modified.
  • carbon (C) is removed from the surface of the silicon oxide film 206.
  • Densification (densification) -Hydrophilization of the surface-Reduction of pore diameter Note that in the modification process using plasma irradiation, care should be taken not to cause excessive irradiation in order to suppress side effects such as damage to the silicon oxide film 206.
  • a gas containing hydrogen (H), carbon (C), nitrogen (N), or oxygen (O) can be used.
  • gases containing hydrogen, carbon, nitrogen or oxygen include ⁇ H 2 gas ⁇ CO gas ⁇ CO 2 gas ⁇ CH 4 gas ⁇ N 2 gas ⁇ NH 3 gas ⁇ H 2 O gas ⁇ O 2 gas ⁇ O 3 gas ⁇ NO gas ⁇ N 2 O gas ⁇ NO 2 gas, etc.
  • Plasma may be generated using one of these gases or a combination of these gases.
  • a rare gas such as He or Ar may be added to facilitate the ignition of plasma.
  • the atmosphere was 1-20% H 2 gas + 99-80% Ar gas.
  • the low-k material (for example, SiOC) constituting the interlayer insulating film is generally formed using an organic material such as trimethylsilane. Therefore, an interlayer insulating film formed using an organic material includes an alkyl group including a methyl group (—CH 3 ). For this reason, the interlayer insulating film contains a certain amount of carbon (C). By subjecting the surface of the interlayer insulating film to plasma or ions and performing a modification treatment, the surface of the interlayer insulating film is in a state where most of the carbon has been removed. For this reason, the composition of the surface of the interlayer insulating film is close to SiO 2 from SiOC. As a result, carbon escapes from the surface of the interlayer insulating film formed using the organic material, and a densified (densified) SiO 2 -like modified layer is formed.
  • an organic material such as trimethylsilane. Therefore, an interlayer insulating film formed using an organic material includes an alkyl group including a methyl group (—CH
  • the surface of the interlayer insulating film is terminated with a methyl group (—CH 3 ), and the surface of the interlayer insulating film is a hydrophobic surface.
  • the methyl group is cleaved to form a bond of —OH group or Si—O—Si. That is, the above-described modification treatment has a hydrophilic treatment side surface that makes the surface of the interlayer insulating film hydrophilic (the surface of the interlayer insulation film is modified from hydrophobic to hydrophilic by the modification treatment). .
  • the interlayer insulating film is a porous (porous body) Low-k film
  • the pore diameter on the surface of the interlayer insulating film is reduced and / or closed when the above modification treatment is performed. That is, a modified layer that is not a porous body (porous body) is formed on the surface of the interlayer insulating film.
  • Such a modified layer functions as a pore seal of the interlayer insulating film.
  • the plasma treatment time for the modification treatment may be about several seconds (for example, 1 to 300 seconds).
  • the process pressure at the time of plasma treatment and the high frequency power to be applied are not particularly limited, but practically, the process pressure is in the range of 10 ⁇ 1 to 10 5 Pa, and the input power of the high frequency power is 10 1 to 10 4 watts. Is within the range.
  • the processing time was 5 to 300 seconds
  • the processing pressure was 10 to 500 Pa
  • the input power was 1 to 5 kW.
  • the surface of the interlayer insulating film in the case of using either a gas containing hydrogen or a gas containing oxygen, or a combination of these gases, the surface of the interlayer insulating film, There is an advantage that formation of —OH groups can be promoted. When the —OH group is formed on the surface of the interlayer insulating film, it becomes easy to efficiently form (deposit) the manganese-containing film on the surface of the interlayer insulating film.
  • hydrogen-containing gas or oxygen-containing gas are: H 2 gas CO gas CO 2 gas CH 4 gas NH 3 gas H 2 O gas O 2 gas O 3 gas NO gas N 2 O gas NO 2 gas
  • the surface of the interlayer insulating film may be subjected to plasma treatment while heating the wafer W in the range of 100 to 350 ° C.
  • CCP Capacitively coupled plasma
  • ICP Inductively coupled plasma
  • HWP Helicon wave plasma
  • SWP Microwave excited surface wave plasma
  • ECP Electron cyclotron resonance plasma
  • ⁇ Under surface treatment using ultraviolet irradiation> In order to modify the surface of the interlayer insulating film, there are various methods other than exposure to plasma. In order to modify the surface of the interlayer insulating film (mainly hydrophilized here), for example, in an oxygen atmosphere (for example, in an oxygen-containing gas atmosphere containing ozone (O 3 ) or oxygen (O 2 )). The surface of the interlayer insulating film may be irradiated with ultraviolet rays while heating the wafer W in the range of 100 to 350 ° C.
  • a low-pressure mercury lamp (wavelength: 185 to 254 nm), an Xe excimer lamp (wavelength: 172 nm) or the like can be used, and preferably short-wavelength ultraviolet rays (wavelength: 240 nm or less) are used.
  • the surface of the interlayer insulating film may be irradiated with a gas cluster ion beam (GCIB).
  • GCIB gas cluster ion beam
  • gases for generating gas cluster ions include: O 2 gas, N 2 gas, H 2 gas, CH 4 gas, Ar gas, He Etc. can be used.
  • the surface of the interlayer insulating film may be irradiated with visible light having a wavelength of 425 nm.
  • Visible light (purple) with a wavelength of 425 nm corresponds to the binding energy between silicon (Si) and a methyl group (Si—CH 3 ), and the methyl group can be efficiently cleaved.
  • the surface of the interlayer insulating film may be modified by chemical treatment by exposing it to a treatment liquid containing an oxidant such as hydrogen peroxide (H 2 O 2 ). Due to the strong oxidizing ability of hydrogen peroxide, the surface of the interlayer insulating film is in a state where most of the carbon has been removed. For this reason, the composition of the surface of the interlayer insulating film is changed from SiOC to SiO 2 , and the surface of the interlayer insulating film can be densified (densified) and can be hydrophilized from hydrophobic to hydrophilic.
  • an oxidant such as hydrogen peroxide (H 2 O 2 ). Due to the strong oxidizing ability of hydrogen peroxide, the surface of the interlayer insulating film is in a state where most of the carbon has been removed. For this reason, the composition of the surface of the interlayer insulating film is changed from SiOC to SiO 2 , and the surface of the interlayer insulating film can be densified (densified) and can
  • the heat treatment for silicification and manganese diffusion can be performed, for example, in the processing chamber 21d after forming a copper film in the processing chamber 21c.
  • An example of the processing conditions is as follows. Wafer temperature: 200-500 ° C Processing pressure: 13-2670 Pa Processing atmosphere: Inert gas atmosphere such as N 2 , Ar, and He (a slight amount of O 2 gas, for example, about 10 ppb to 1 Vol% may be added here) Processing time: 30 to 1800 seconds. If you give more suitable conditions, Wafer temperature: 350 ° C Processing pressure: 1330Pa Treatment atmosphere: 1% O 2 gas + 99% Ar gas atmosphere (oxidation atmosphere) Processing time: 300 seconds.
  • This heat treatment can be used for both silicate conversion of manganese-containing films and manganese diffusion into copper films, but only for silicate conversion of manganese-containing films or manganese diffusion into copper films. It can also be used.
  • ammonia gas supply method When ammonia gas is selected as the reactive gas containing nitrogen used to form the nitrogen-containing manganese film, the following two methods can be given as the supply method. ⁇ Supply using ammonia cylinders ⁇ Supply using ammonia water (NH 3 (aq))
  • FIG. 8 is a diagram illustrating vapor pressures of water (H 2 O) and ammonia (NH 3 ).
  • FIG. 8 also shows the vapor pressure of aqueous ammonia (32%, 25%, 20%).
  • the vapor pressure of ammonia water is two orders of magnitude higher than the vapor pressure of water (H 2 O). This indicates that the ratio of ammonia to water in the gas is greater than that of water.
  • the temperature of the ammonia water is set to 20 ° C.
  • ammonia gas is generated and taken out from the ammonia water, and the taken out ammonia gas is used for forming a nitrogen-containing manganese film.
  • the advantage of the supply using ammonia water can be that the safety measures that must be taken in the apparatus are simpler than the supply of 100% ammonia gas.
  • an expensive cylinder cabinet for storing a gas cylinder sealed with a special gas must be prepared in order to prepare for gas leakage.
  • the concentration of ammonia water is generally 10% or more and 35% or less, but if the concentration of ammonia water is less than 10%, the gas specificity is further lowered. For this reason, there is a possibility that the gas detector necessary for handling special gas can be omitted.
  • the method for forming a manganese-containing film described in the first to fourth embodiments can be implemented by using such a manganese-containing film CVD apparatus 50.
  • the copper film 105 is formed using the PVD method, but the copper film 105 can also be formed using, for example, the CVD method. It is also possible to form a thin copper film (seed layer) by the PVD method and then plating the thick copper film on the thin copper film by an electrolytic plating method or an electroless plating method. .
  • a liner layer containing ruthenium may be provided between the manganese-containing film and the copper film for further improvement in adhesion, and copper deposited on the manganese-containing film for improving the embedding property of the copper film.
  • the film may be formed using a dry fill method (a kind of Cu reflow, in which Cu is sputtered while heating the substrate temperature to about 250 ° C.).
  • the substrate is not limited to a semiconductor wafer, and may be a glass substrate used for manufacturing solar cells and FPDs.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

 下地と銅膜との間に形成されるマンガン含有膜の形成方法を開示する。マンガン含有膜の形成方法は、マンガン化合物ガスと窒素を含む反応ガスとを反応させて下地上に窒素含有マンガン膜102を形成する工程と、マンガン化合物ガスと還元性の反応ガスとを反応させて、もしくはマンガン化合物ガスを熱分解反応させて、もしくはマンガン化合物ガスをエネルギー又は活性種の照射により分解反応させて窒素含有マンガン膜102上に金属マンガン膜103を形成する工程とを具備する。

Description

マンガン含有膜の形成方法
 この発明は、マンガン含有膜の形成方法に関する。
 半導体装置の集積密度の増加に伴って、半導体素子や内部配線の幾何学的寸法は微細化の一途を辿っている。内部配線、例えば、銅(Cu)配線は、その幾何学的寸法が小さくなるに連れて細線効果のため抵抗が増大する。抵抗の増大を抑制するためには、Cuの拡散を防ぐ拡散防止膜(以下バリア層という)の厚さを薄くし、バリア層とCu配線との合成抵抗を小さくしなければならない。バリア層は、例えば、特許文献1に記載されているように、PVD法(スパッタ法)を用いて形成されている。
 しかしながら、PVD法を用いて薄いバリア層を形成する場合には、Cu配線の幾何学的寸法が、例えば、45nm以下に微細化されると、Cu配線を埋め込むための溝に成膜する際のステップカバレッジが悪化しだす。このため、今後とも、PVD法を用いて薄いバリア層を形成し続けることは、難しくなってきている。
 対して、CVD法は、PVD法に比較して凹部のステップカバレッジが良く、バリア層の新たな形成手法として注目されつつある。中でも、特許文献2に記載されているように、CVD法を用いて形成された酸化マンガン膜は、厚さが薄くても微細な溝に対するステップカバレッジが良好であり、かつ高いバリア性を示す。また、特許文献2では、酸化マンガン膜の成膜温度を100℃以上400℃未満とすることで、その上のCuとの密着性が良好になるとしている。
特開2008-28046号公報 特開2010-242187号公報
 しかし、特許文献2に記載された酸化マンガン膜からなるバリア層はCuに対してある程度の密着性を示すが、一般的に酸化物はCuに対して密着性が良好とはいえず、溝に対するステップカバレッジが良好で高いバリア性を示すものの、Cuとの密着性に改善の余地がある。
 したがって、この発明は、Cuとの密着性を良好とすることが可能なマンガン含有膜の形成方法を提供することを課題とする。
 この発明の第1の態様は、下地と銅膜との間に形成されるマンガン含有膜の形成方法であって、マンガン化合物ガスと窒素を含む反応ガスとを反応させて前記下地上に窒素含有マンガン膜を形成する工程と、マンガン化合物ガスと還元性の反応ガスとを反応させて、もしくはマンガン化合物ガスを熱分解反応させて、もしくはマンガン化合物ガスをエネルギー又は活性種の照射により分解反応させて前記窒素含有マンガン膜上に金属マンガン膜を形成する工程とを具備するマンガン含有膜の形成方法を提供する。
 この発明の第2の態様は、下地と銅膜との間に形成されるマンガン含有膜の形成方法であって、マンガン化合物ガスと前記下地から供給される酸素とを反応させて前記下地上に酸化マンガン膜、もしくはマンガンシリケート膜を形成する工程と、マンガン化合物ガスと還元性反応ガスとを反応させて、もしくはマンガン化合物ガスを熱分解反応させて、もしくはマンガン化合物ガスをエネルギー又は活性種の照射により分解反応させて前記酸化マンガン膜上、もしくは前記マンガンシリケート膜上に金属マンガン膜を形成する工程とを具備するマンガン含有膜の形成方法を提供する。
 この発明の第3の態様は、下地と銅膜との間に形成されるマンガン含有膜の形成方法であって、マンガン化合物ガスと還元性の反応ガスとを反応させて、もしくは、マンガン化合物ガスを熱分解反応させて、もしくはマンガン化合物ガスをエネルギー又は活性種の照射により分解反応させて前記下地上に金属マンガン膜を形成する工程と、マンガン化合物ガスと窒素を含む反応ガスとを反応させて前記金属マンガン膜上に窒素含有マンガン膜を形成する工程とを具備するマンガン含有膜の形成方法を提供する。
 この発明の第4の態様は、下地と銅膜との間に形成されるマンガン含有膜の形成方法であって、マンガン化合物ガスと前記下地から供給される酸素とを反応させて前記下地上に酸化マンガン膜、もしくはマンガンシリケート膜を形成する工程と、マンガン化合物ガスと窒素を含む反応ガスとを反応させて、前記酸化マンガン膜上、もしくは、前記マンガンシリケート膜上に窒素含有マンガン膜を形成する工程とを具備するマンガン含有膜の形成方法を提供する。
 上記第1から第4の態様において、前記マンガン化合物ガスは、シクロペンタジエニル系マンガン化合物ガス、カルボニル系マンガン化合物ガス、ベータジケトン系マンガン化合物ガス、アミジネート系マンガン化合物ガス、およびアミドアミノアルカン系マンガン化合物ガスのいずれかから選ばれることが好ましい。
 上記第1から第3の態様において、前記金属マンガン膜は、前記マンガン化合物ガスと前記還元性の反応ガスとをパージを挟んで交互に供給するALD法を用いて成膜されること、上記第1、第3、第4の態様において、前記窒素含有マンガン膜は、前記マンガン化合物ガスと前記窒素を含む反応ガスとをパージを挟んで交互に供給するALD法を用いて成膜されることが好ましい。
 この発明によれば、Cuとの密着性を良好とすることが可能なマンガン含有膜の形成方法を提供することができる。
図1Aはこの発明の第1の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図1Bはこの発明の第1の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図1Cはこの発明の第1の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図1Dはこの発明の第1の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図1Eはこの発明の第1の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図2Aはこの発明の第2の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図2Bはこの発明の第2の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図2Cはこの発明の第2の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図2Dはこの発明の第2の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図2Eはこの発明の第2の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図3Aはこの発明の第3の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図3Bはこの発明の第3の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図3Cはこの発明の第3の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図3Dはこの発明の第3の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図4Aはこの発明の第4の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図4Bはこの発明の第4の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図4Cはこの発明の第4の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図4Dはこの発明の第4の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。 図5Aは上記第1~第4の実施形態に係るマンガン含有膜の形成方法を適用した半導体装置の製造方法の一例を示す断面図である。 図5Bは上記第1~第4の実施形態に係るマンガン含有膜の形成方法を適用した半導体装置の製造方法の一例を示す断面図である。 図5Cは上記第1~第4の実施形態に係るマンガン含有膜の形成方法を適用した半導体装置の製造方法の一例を示す断面図である。 図5Dは上記第1~第4の実施形態に係るマンガン含有膜の形成方法を適用した半導体装置の製造方法の一例を示す断面図である。 図6はこの発明の実施形態に係るマンガン含有膜の形成方法を実行することが可能な成膜システムの一例を概略的に示す平面図である。 図7はマンガンCVD装置の一例を概略的に示す断面図である。 図8は水(HO)およびアンモニア(NH)の蒸気圧を示す図である。
 以下、添付図面を参照してこの発明の実施の形態について説明する。この説明において、参照する図面全てにわたり、同一の部分については同一の参照符号を付す。
 <第1の実施形態>
 図1A~図1Eは、この発明の第1の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。
 まず、図1Aに示すように、例えば、原料ガスとしてTEOSを供給してCVD法によりシリコン基板100上にシリコン酸化膜101を形成する。シリコン酸化膜101は、半導体集積回路装置中においては、例えば、層間絶縁膜として機能する絶縁膜であり、本例においては、マンガン含有膜が形成される下地となる膜(下地膜)である。
 なお、層間絶縁膜として機能する絶縁膜には、上記シリコン酸化膜(SiO)101に限らず、SiOC、SiOCHなど、比誘電率がSiOに比べて低いシリコン含有絶縁膜(Low-k膜)を用いてもよい。さらに、ポアを有するポーラスLow-k膜としてもよい。このことは、以下説明する全ての実施形態においても同様である。
 また、実施形態の説明においては、トランジスタ周り、即ち、FEOL(Front
End of Line)の工程は省略している。
 次に、図1Bに示すように、シリコン酸化膜101上にマンガン化合物ガスと窒素を含む反応ガスとを供給し、これらを反応させてCVD法により窒素含有マンガン膜102を形成する。
 次に、図1Cに示すように、窒素含有マンガン膜102上にマンガン化合物ガスと還元性の反応ガスを供給し、これらを反応させてCVD法により金属マンガン膜103を形成する。もしくは、窒素含有マンガン膜102上にマンガン化合物ガスを供給し、これを熱分解させてCVD法により金属マンガン膜103を形成する。もしくは、窒素含有マンガン膜102上にマンガン化合物ガスを供給し、これをエネルギー又は活性種の照射により分解させてCVD法により金属マンガン膜103を形成する。
 これら窒素含有マンガン膜102および金属マンガン膜103により、本実施形態のマンガン含有膜104が形成される。
 窒素含有マンガン膜102を成膜する際に用いる窒素を含む反応ガスとしては、
  ・(a1)アンモニア(NH)ガス
  ・(a2)ヒドラジン(NHNH)ガス
  ・(a3)アミン(一般式NRで記述される)ガス
  ・(a4)ヒドラジン誘導体(一般式RNNRで記述される)ガス
を好適に用いることができる。ここで、上記R,R,R,Rは炭化水素基である。
 上記(a3)アミンガスの例としては、
  ・メチルアミン(CHNH)ガス     …第一級アミン
  ・エチルアミン(CNH)ガス    …第一級アミン
  ・ジメチルアミン((CHNH)ガス  …第二級アミン
  ・トリメチルアミン((CHN)ガス  …第三級アミン
などを挙げることができる。
 上記(a4)ヒドラジン誘導体ガスの例としては、
  ・メチルヒドラジン(CHNNH)ガス
  ・ジメチルヒドラジン((CHNNH)ガス
  ・トリメチルヒドラジン((CHNNH)ガス
などを挙げることができる。
 上記(a4)ヒドラジン誘導体ガスの中でも、メチルヒドラジンは沸点が約87℃であり、蒸気圧も比較的高いことから供給しやすい、という利点がある。しかも、メチルヒドラジンは、ヒドラジンに比較してより安全な有機物であり、分解もしやすい。このことから、メチルヒドラジンは、本発明の実施に際して、有効な窒素の供給源の一つになり得る物質である。
 また、金属マンガン膜103を成膜する際に用いる還元性の反応ガスとしては、
  ・(b1)水素(H)ガス
  ・(b2)一酸化炭素(CO)ガス
  ・(b3)アルデヒド(R-CHO)ガス
  ・(b4)カルボン酸(R-COOH)ガス
を好適に用いることができる。ここで、上記Rは、-C2n+1(nは0以上の整数)で記述されるアルキル基である。
 上記(b3)アルデヒドガスの例としては、
  ・ホルムアルデヒド(HCHO)ガス
などを挙げることができる。
 上記(b4)カルボン酸ガスの例としては、
  ・蟻酸(HCOOH)ガス
などを挙げることができる。
 また、窒素含有マンガン膜102および金属マンガン膜103を成膜する際に用いるマンガン化合物ガスとしては、
  ・(c1)シクロペンタジエニル系マンガン化合物ガス(一般式Mn(RCで記述される)
  ・(c2)カルボニル系マンガン化合物ガス
  ・(c3)ベータジケトン系マンガン化合物ガス
  ・(c4)アミジネート系マンガン化合物ガス(一般式Mn(RN-CR-NRで記述される)
  ・(c5)アミドアミノアルカン系マンガン化合物ガス(一般式Mn(RN-Z-NR で記述される)
を好適に用いることができる。ここで、上記R,R,R,Rは-C2n+1(nは0以上の整数)で記述されるアルキル基である。また、上記Zは-C2n-(nは0以上の整数)で記述されるアルキレン基である。
 上記(c1)シクロペンタジエニル系マンガン化合物ガスの例としては、
  ・ビス(アルキルシクロペンタジエニル)マンガンガス
などを挙げることができる。
 上記(c2)カルボニル系マンガン化合物ガスの例としては、
  ・デカカルボニル2マンガン(Mn(CO)10)ガス
  ・メチルシクロペンタジエニルトリカルボニルマンガン((CH)Mn(CO))ガス
  ・シクロペンタジエニルトリカルボニルマンガン((C)Mn(CO))ガス
  ・メチルペンタカルボニルマンガン((CH)Mn(CO))ガス
  ・3-(t-BuAllyl)Mn(CO)ガス
などを挙げることができる。
 上記(c3)ベータジケトン系マンガン化合物ガスの例としては、
  ・ビス(ジピバロイルメタナト)マンガン(Mn(C1119)ガス
  ・トリス(ジピバロイルメタナト)マンガン(Mn(C1119)ガス
  ・ビス(ペンタンジオン)マンガン(Mn(C)ガス
  ・トリス(ペンタンジオン)マンガン(Mn(C)ガス
  ・ビス(ヘキサフルオロアセチル)マンガン(Mn(CHF)ガス
  ・トリス(ヘキサフルオロアセチル)マンガン(Mn(CHF)ガス
などを挙げることができる。
 上記(c4)アミジネート系マンガン化合物ガスの例としては、
  ・ビス(N,N'-ジアルキルアセトアミジネート)マンガンガス
などを挙げることができる。
 上記(c5)アミドアミノアルカン系マンガン化合物ガスの例としては、
  ・ビス(N,N'-1-アルキルアミド-2-ジアルキルアミノアルカン)マンガンガス
などを挙げることができる。
 なお、(c4)アミジネート系マンガン化合物ガスについては、米国特許出願公開US2009/0263965A1号明細書に開示されたものも使用することができる。
 また、(c5)アミドアミノアルカン系マンガン化合物ガスについては、国際公開第2012/060428号に開示されているものも使用することができる。よって、米国特許出願公開US2009/0263965A1号明細書、および国際公開第2012/060428号の双方を、本明細書に参照として引用する。
 上記(c1)~(c5)に記載されるマンガン化合物ガスの中では、(c5)アミドアミノアルカン系マンガン化合物ガスが、250~300℃(例えば250℃)という低温で、金属マンガン膜103を成膜することができるので好ましい。
 なお、(c1)シクロペンタジエニル系マンガン化合物ガス、例えば、ビス(エチルシクロペンタジエニル)マンガンガス((EtCp)Mn)を用いた場合の金属マンガン膜103の成膜温度は400~450℃である。また、(c4)アミジネート系マンガン化合物ガスを用いた場合の金属マンガン膜103の成膜温度は350~400℃である。
 さらに、窒素含有マンガン膜102を成膜する際には、上記(a1)~(a4)に記載された窒素を含む反応ガスを用いる。このため、窒素含有マンガン膜102を成膜する際には、上記(c1)~(c5)に記載されたいずれのガスを用いた場合でも、金属マンガン膜103よりも低温で成膜することができる。
 なお、窒素含有マンガン膜102および金属マンガン膜103を成膜する際には、CVD法の代わりにマンガン化合物ガスと窒素を含む反応ガス又は還元性の反応ガスとをパージを挟んで交互に供給するALD(Atomic Layer Deposition)法を用いてもよい。ALD法を用いることにより、表面吸着と表面反応を経ることから段差被覆性(カバレージ性)が向上し、膜厚が薄くても連続膜となりやすく、より低温で成膜することができる。
 ALD法を用いた場合には、例えば、次のような工程1~工程4が繰り返される。
  ・工程1 …マンガン化合物ガスによるマンガン化合物(Mnプリカーサ)の吸着(マンガン化合物ガスを供給する)
  ・工程2 …パージ(真空パージもしくは不活性ガスパージ)
  ・工程3 …吸着されたマンガン化合物(Mnプリカーサ)の分解
  ・工程4 …パージ(真空パージもしくは不活性ガスパージ)
ALD法においては、これら工程1~工程4にかけての一連の処理が繰り返し行われる。
 工程3における吸着されたマンガン化合物(Mnプリカーサ)の分解としては、NHガスなどの窒素を含む反応ガスを、マンガン化合物が吸着されたシリコン酸化膜101の表面に供給する。これにより、吸着されたマンガン化合物を分解し、シリコン酸化膜101の表面に窒素含有マンガンを残す。
 或いは、工程3における吸着されたマンガン化合物(Mnプリカーサ)の分解としては、Hガスなどの還元性の反応ガスを、マンガン化合物が吸着された窒素含有マンガン膜102の表面に供給する。これにより、吸着されたマンガン化合物を分解し、窒素含有マンガン膜102の表面にマンガンを残す。
 窒素含有マンガン膜102および金属マンガン膜103をALD法で成膜した場合には、窒素を含む反応ガスを、還元性の反応ガスに切り換えて、引き続きALD法で金属マンガン膜を形成することが好ましい。即ち、マンガン化合物ガスと還元性の反応ガスとをパージを挟んで交互に供給する。また、窒素含有マンガン膜102および金属マンガン膜103をCVD法で成膜した場合には、窒素を含む反応ガスを、途中から還元性の反応ガスに切り換えればよい。窒素を含む反応ガスから還元性の反応ガスに切り換えるタイミングは、必要とされる窒素含有マンガン膜102および金属マンガン膜103の膜厚に応じて適宜決定すればよい。
 また、工程3における分解手法としては、NHガスなどの窒素を含む反応ガスやHガスなどの還元性の反応ガスに代えて、
  ・エネルギー又は活性種の照射による分解
を利用することも可能である。
 ここで、エネルギー照射による分解においては、そのエネルギー源として
  ・粒子線(バイアス電圧の印加により加速されたイオンや原子、分子等)
  ・電子線(バイアス電圧の印加により加速された電子)
  ・電磁波(光、マイクロ波等)
などを挙げることができる。
 また、活性種の照射による分解においては、その活性種として
  ・プラズマ(リモートプラズマにより生成されたHプラズマ等)
  ・ラジカル(加熱フィラメントにより生成されたHラジカル、NHラジカル等)
  ・イオン
  ・電子
などを挙げることができる。
 上記エネルギー源の中では、Mnプリカーサのみを分解できればよいこと、および下地の、例えば、シリコン酸化膜101に及ぼされるダメージを避けたいこと、といった観点から、プラズマ生成領域にシリコン酸化膜101を曝すことを回避し得る手法が用いられることが好ましい。リモートプラズマや加熱フィラメントを用いた手法はこの点で好ましい。
 また、分解手法の選択に際しては、堆積させたい膜の種類や成膜温度によって使い分けることが好ましい。例えば、金属マンガン膜103を堆積させたい場合には、還元性の反応ガスによる分解、もしくはエネルギー又は活性種の照射による分解を選択する。さらに、還元性の反応ガスとエネルギー又は活性種の照射とを組み合わせることとしてもよい。また、窒素含有マンガン膜102を堆積させたい場合には、窒素を含む反応ガスによる分解を選択する。さらに、窒素を含む反応ガスとエネルギー又は活性種の照射とを組み合わせることとしてもよい。エネルギー又は活性種の照射による分解によれば、金属マンガン膜103や窒素含有マンガン膜102をより低温で成膜することができる。
 次に、図1Dに示すように、金属マンガン膜103上に、PVD法、例えば、スパッタリング法を用いて銅膜105を形成する。銅膜105を形成した際の熱により、あるいは銅膜105を形成した後に、アニールを施すことにより、金属マンガン膜103中のマンガンは銅膜の内部に拡散し、図1Eに示すように、銅膜105は、マンガンが拡散した銅膜107に変化する。また、シリコン酸化膜101から窒素含有マンガン膜102へ酸素等が拡散する。これにより、最終的な構造としては、シリコン基板100上に、シリコン酸化膜101、界面近傍に酸化マンガンを含む窒素含有マンガン膜106、マンガンが拡散した銅膜107、およびこの銅膜107の表面側にマンガンが拡散し、銅膜107の表面に露出したマンガンが酸化されて形成された酸化マンガン膜108が積層された構造となる。
 第1の実施形態においては、マンガン含有膜104のうち、窒素含有マンガン膜102が銅膜105からシリコン酸化膜101内部に銅が拡散することを抑制するバリア膜として機能し、金属マンガン膜103が銅膜105との密着層として機能する。
 このような第1の実施形態に係るマンガン含有膜の形成方法によれば、以下のような利点を得ることができる。
 (1) 金属マンガン膜103上に銅膜105を形成することにより、金属同士が隣接することとなり、マンガン含有膜として酸化マンガン膜を用い、その上に銅膜を形成する場合に比較して、銅膜105とマンガン含有膜104との密着性が向上する。
 (2) 下地膜であるシリコン酸化膜101上に、窒素含有マンガン膜102を形成する際に反応ガスとしてアンモニアガスやヒドラジンガスを用いることでインキュベーション時間を短くすることができ、窒素含有マンガン膜102を連続膜として形成することができる。金属マンガン膜103をシリコン酸化膜101上にCVD法により成膜した際には、金属マンガンの凝集により島状に点在した膜となりやすいが、窒素含有マンガン膜102の存在によりマンガン含有膜104を確実に連続膜として形成することができる。
 (3) 窒素含有マンガン膜102中のマンガンの一部は窒素と結合しており、金属マンガン膜103中のマンガンに比較して銅膜105の内部には拡散し難いので、マンガン含有膜104が金属マンガン膜単層構造の場合に比較して、銅膜105の内部に拡散していくマンガンの量を少なくすることができる。このため、マンガンの大量な拡散に起因した銅膜107の抵抗値の増大を抑制することができる。
 (4) 窒素含有マンガン膜102および金属マンガン膜103を形成する際のマンガン化合物ガスとして、アミドアミノアルカン系マンガン化合物ガスを用いることにより、上述のように窒素含有マンガン膜102および金属マンガン膜103を低温で成膜することができる。
 <第2の実施形態>
 図2A~図2Eは、この発明の第2の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。
 まず、図2Aに示すように、第1の実施形態と同様に、例えば、原料ガスとしてTEOSを供給してCVD法によりシリコン基板100上に下地膜となるシリコン酸化膜101を形成する。
 次に、図2Bに示すように、シリコン酸化膜101上に、マンガン化合物ガスを供給してALD法またはCVD法により酸化マンガン膜110を形成する。なお、酸化マンガン膜110は、一部がシリケート化されていても、マンガンシリケート膜であってもよい。この酸化マンガン膜110は、特開2010-242187号公報に記載されている方法を用いて成膜することができる。すなわち、マンガン化合物ガスとして、例えば一般式Mn(RCで表されるビス(アルキルシクロペンタジエニル)マンガンのようなシクロペンタジエニル系マンガン化合物を用い、100℃以上400℃未満で成膜する。ここで、上記Rは-C2n+1(nは0以上の整数)で記述されるアルキル基である。このときのマンガンを酸化させる酸素、およびマンガンをシリケート化させるシリコンおよび酸素は、シリコン酸化膜101から供給される。なお、シリコン酸化膜101から供給される酸素としては、シリコン酸化膜101の含有水分(物理吸着水および化学吸着水)に由来するものも含まれる。
 次に、図2Cに示すように、酸化マンガン膜110上に第1の実施形態の金属マンガン膜103と同様、マンガン化合物ガスと還元性の反応ガスを供給し、これらを反応させてALD法またはCVD法により金属マンガン膜111を形成する。もしくは、酸化マンガン膜110上にマンガン化合物ガスを供給し、これを熱分解反応させてALD法またはCVD法により金属マンガン膜111を形成する。もしくは、酸化マンガン膜110上にマンガン化合物ガスを供給し、これをエネルギー又は活性種の照射により分解反応させてALD法またはCVD法により金属マンガン膜111を形成する。
 これら酸化マンガン膜110および金属マンガン膜111により、本実施形態のマンガン含有膜112が形成される。
 第2の実施形態において、金属マンガン膜111を成膜する際に用いる還元性の反応ガス、もしくはエネルギー源、活性種としては、第1の実施形態中に記載されたものと同様のものを好適に用いることができる。
 また、第2の実施形態において、酸化マンガン膜110および金属マンガン膜111を成膜する際に用いるマンガン化合物ガスについても、第1の実施形態に記載したものと同様のものを好適に用いることができる。なお、成膜に用いるMnプリカーサの種類については、下地から供給される酸素(例えば水に由来するもの)との反応し易さ、低温領域における還元性の反応ガスとの反応し易さや熱分解反応のし易さ、によって適宜選択することが可能であり、必要に応じて成膜中にMnプリカーサの種類を変えてもよい。例えば、成膜温度の範囲が250℃以上400℃未満である場合には、シクロペンタジエニル系マンガン化合物とシリコン酸化膜101から供給される酸素との反応により酸化マンガン膜110を成膜した後、アミドアミノアルカン系マンガン化合物ガスの熱分解反応により金属マンガン膜111を成膜することができる。このように、成膜温度を変更すること無く、分解反応特性の異なる複数種類のMnプリカーサを順次供給することにより、本実施形態のマンガン含有膜112を形成することができる。
 なお、金属マンガン膜111を成膜する際には、CVD法の代わりにALD法を用いてもよい。ALD法を用いることにより、表面吸着と表面反応を経ることから段差被覆性(カバレージ性)が向上し、膜厚が薄くても連続膜となりやすく、より低温で成膜することができる。
 次に、図2Dに示すように、金属マンガン膜111上に、PVD法、例えば、スパッタリング法を用いて銅膜105を形成する。この銅膜105の形成時の熱により、あるいは銅膜105形成後にアニールを施すことによって、第1の実施形態と同様に、金属マンガン膜111中のマンガンは銅膜105の内部に拡散し、図2Eに示すように、銅膜105は、マンガンが拡散した銅膜107に変化する。これにより、最終的な構造としては、シリコン基板100上に、シリコン酸化膜101、酸化マンガン(マンガンシリケート)膜114、マンガンが拡散した銅膜107、およびこの銅膜107の表面側にマンガンが拡散し、銅膜107の表面に露出したマンガンが酸化されて形成された酸化マンガン膜108が積層された構造となる。
 第2の実施形態においては、マンガン含有膜112のうち、酸化マンガン膜110が、銅の拡散を抑制するバリア膜として機能し、金属マンガン膜111が銅膜105の密着層として機能する。
 このような第2の実施形態に係るマンガン含有膜の形成方法によれば、以下のような利点を得ることができる。
 (1) 金属マンガン膜111上に銅膜105を形成するので、第1の実施形態と同様、銅膜105とマンガン含有膜112との密着性を良好なものとすることができる。
 (2) シクロペンタジエニル系マンガン化合物ガスを用いてシリコン酸化膜101上に形成された酸化マンガン膜110は層状に連続した連続膜となる。金属マンガン膜111をシリコン酸化膜101上にCVD法により成膜した際には、金属マンガンの凝集により島状に点在した膜となりやすいが、酸化マンガン膜110の存在によりマンガン含有膜112を確実に連続膜として形成することができる。
 (3) 酸化マンガン膜110中のマンガンは、酸素と結合しており、金属マンガン膜111中のマンガンに比較して、銅膜105の内部には拡散し難いので、マンガン含有膜112は金属マンガン膜単層構造の場合に比較して、銅膜105の内部に拡散していくマンガンの量を少なくすることができる。このため、マンガンの大量な拡散に起因した銅膜107の抵抗値の増大を抑制することができる。
 (4) 金属マンガン膜111を形成する際のマンガン化合物ガスとして、アミドアミノアルカン系マンガン化合物ガスを用いることにより、上述のように金属マンガン膜111を比較的低温で成膜することができる。
 <第3の実施形態>
 図3A~図3Dは、この発明の第3の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。
 まず、図3Aに示すように、第1の実施形態と同様に、例えば、原料ガスとしてTEOSを供給してCVD法によりシリコン基板100上にシリコン酸化膜101を形成する。
 次に、図3Bに示すように、シリコン酸化膜101上に、マンガン化合物ガスと還元性の反応ガスとを供給し、これらを反応させてALD法またはCVD法により、金属マンガン膜120を形成する。ただし、連続膜を形成する観点からはALD法が好ましい。すなわち、シリコン酸化膜101上にCVD法で金属マンガン膜120を成膜する際には、金属マンガンの凝集により島状に点在した膜となりやすいが、ALD法を用いることにより連続膜とすることができる。もしくは、シリコン酸化膜101上にマンガン化合物ガスを供給し、これをエネルギー又は活性種の照射により分解反応させてALD法またはCVD法により金属マンガン膜120を形成してもよい。
 次に、図3Cに示すように、金属マンガン膜120の上に、マンガン化合物ガスと窒素を含む反応ガスとを用いてALD法またはCVD法により、窒素含有マンガン膜121を形成する。金属マンガン膜120をALD法で成膜した場合には、還元性の反応ガスを、窒素を含む反応ガスに切り換えて、引き続きALD法で窒素含有マンガン膜を形成することが好ましい。即ち、マンガン化合物ガスと窒素を含む反応ガスとをパージを挟んで交互に供給する。
 これら金属マンガン膜120および窒素含有マンガン膜121により、本実施形態のマンガン含有膜122が形成される。
 第3の実施形態において、金属マンガン膜120を成膜する際に用いる還元性の反応ガスとしては、第1の実施形態中に記載されたものと同様のものを好適に用いることができる。
 また、第3の実施形態において、窒素含有マンガン膜121を成膜する際に用いる窒素を含む反応ガスについても、第1の実施形態中に記載されたものと同様のものを好適に用いることができる。
 さらに、第3の実施形態において、金属マンガン膜120および窒素含有マンガン膜121を成膜する際に用いるマンガン化合物ガスについても、第1の実施形態中に記載されたものと同様のものを好適に用いることができる。
 次に、図3Dに示すように、マンガン含有膜122上に、PVD法、例えば、スパッタリング法を用いて銅膜105を形成する。この銅膜105の形成時の熱により、あるいは銅膜形成後にアニールを施すことにより、最終的な構造として、シリコン基板100上に、シリコン酸化膜101、マンガンシリケート膜123、窒素含有マンガン膜121、銅にマンガンが僅かに拡散した銅膜125が積層された構造となる。本例においては、窒素含有マンガン膜121およびアニール後のマンガンシリケート膜123が、銅の拡散を抑制するバリア層となる。また、窒素含有マンガン膜121が銅膜125との密着層として機能する。
 第1、第2の実施形態においても述べたように、マンガンは、銅膜中を容易に拡散する。ただし、第3の実施形態においては、第1、第2の実施形態と異なり、銅膜105と接触している膜が金属マンガン膜ではなく、窒素含有マンガン膜121となっている。このため、銅膜105中に拡散し得るマンガンの量は、銅膜125に接触している膜が金属マンガン膜である第1、第2の実施形態に比較して少なく、銅膜105の表面には、第1、第2の実施形態では形成された酸化マンガン膜は形成されないか、又はほとんど形成されない。
 このような第3の実施形態に係るマンガン含有膜の形成方法によれば、以下のような利点を得ることができる。
 (1) 窒素含有マンガン膜121上に銅膜105を形成することにより、マンガン含有膜として酸化マンガン膜を用い、その上に銅膜105を形成する場合に比較して、銅膜105とマンガン含有膜122との密着性が向上する。
 (2) シリコン酸化膜101上に、ALD法を用いて金属マンガン膜120を形成することにより、CVD法を用いて金属マンガン含有膜を形成する場合に比較して、表面吸着と表面反応を経ることから段差被覆性(カバレージ性)が向上し、膜厚が薄くても連続膜となりやすく、マンガン含有膜122を層状に連続した連続膜として形成しやすくなる。
 (3) マンガンの一部が窒素と結合した状態の窒素含有マンガン膜121の上に銅膜105を形成したので、マンガンの銅膜105への拡散が抑制され、マンガンの拡散に起因した銅膜125の抵抗値の増大を抑制することができる。
 (4) 金属マンガン膜120および窒素含有マンガン膜121を形成する際のマンガン化合物ガスとして、アミドアミノアルカン系マンガン化合物ガスを用いることにより、金属マンガン膜120および窒素含有マンガン膜121を比較的低温で成膜することができる。
 <第4の実施形態>
 図4A~図4Dは、この発明の第4の実施形態に係るマンガン含有膜の形成方法の一例を示す断面図である。
 まず、図4Aに示すように、第1の実施形態と同様に、例えば、原料ガスとしてTEOSを供給してCVD法によりシリコン基板100上に下地膜となるシリコン酸化膜101を形成する。
 次に、図4Bに示すように、シリコン酸化膜101上に、マンガン化合物ガスを供給してALD法またはCVD法により酸化マンガン膜130を形成する。酸化マンガン膜130は、一部がシリケート化されていてもよい。酸化マンガン膜130は、水と反応する性質を持つMnプリカーサを用いて成膜される。水と反応する性質を持つMnプリカーサの一例としては、一般式Mn(RN-Z-NR で記述されるアミドアミノアルカン系マンガン化合物を挙げることができる。ここで、上記R,Rは-C2n+1(nは0以上の整数)で記述されるアルキル基である。また、上記Zは-C2n-(nは0以上の整数)で記述されるアルキレン基である。本例では、マンガン化合物ガスとして、例えば、ビス(N,N'-1-アルキルアミド-2-ジアルキルアミノアルカン)マンガンガスを用い、100℃以上250℃以下(例えば200℃)で成膜する。このときのマンガンを酸化させる酸素、およびマンガンをシリケート化させるシリコンおよび酸素は、シリコン酸化膜101から供給される。なお、シリコン酸化膜101から供給される酸素としては、シリコン酸化膜101の含有水分(物理吸着水および化学吸着水)に由来するものも含まれる。
 また、本例においては、酸化マンガン膜130を、下地から供給される酸素を利用して成膜する。このため、酸化マンガン膜130の成膜中にMnプリカーサの種類を水と反応する性質を持つものから水と反応しない性質を持つものに変えることは、しないことが好ましい。
 次に、図4Cに示すように、酸化マンガン膜130上にマンガン化合物ガスと窒素を含む反応ガスとを供給し、これらを反応させてALD法またはCVD法により窒素含有マンガン膜131を形成する。
 これら酸化マンガン膜130および窒素含有マンガン膜131により、本実施形態のマンガン含有膜132が形成される。
 第4の実施形態において、酸化マンガン膜130および窒素含有マンガン膜131を成膜する際に用いるマンガン化合物ガスとしては、第1の実施形態に記載したものと同様のものを好適に用いることができる。
 中でも、酸化マンガン膜130を成膜する際に用いるマンガン化合物ガスには、第1の実施形態に記載した
  ・(c1)シクロペンタジエニル系マンガン化合物ガス(一般式Mn(RCで記述される)
  ・(c2)カルボニル系マンガン化合物ガス
  ・(c3)ベータジケトン系マンガン化合物ガス
  ・(c4)アミジネート系マンガン化合物ガス(一般式Mn(RN-CR-NRで記述される)
  ・(c5)アミドアミノアルカン系マンガン化合物ガス(一般式Mn(RN-Z-NR で記述される)
に属しているマンガン化合物ガスのなかから、水と反応する性質を持つマンガン化合物ガスを選ぶことが好ましい。
 また、第4の実施形態において、窒素含有マンガン膜131を成膜する際に用いる窒素を含む反応ガスについても、第1の実施形態中に記載されたものと同様のものを好適に用いることができる。
 次に、図4Dに示すように、マンガン含有膜132上に、PVD法、例えば、スパッタリング法を用いて銅膜105を形成する。この銅膜105の形成時の熱により、あるいは銅膜形成後にアニールを施すことにより、最終的な構造として、シリコン基板100上に、シリコン酸化膜101、酸化マンガン膜130、窒素含有マンガン膜131、銅にマンガンが僅かに拡散した銅膜125が積層された構造となる。本例においては、酸化マンガン膜130および窒素含有マンガン膜131が、銅の拡散を抑制するバリア層となる。また、窒素含有マンガン膜131が銅膜125との密着層として機能する。
 第4の実施形態においては、第3の実施形態と同様に、銅膜105と接触している膜は、窒素含有マンガン膜121である。このため、第3の実施形態と同様に、銅膜125の表面には、第1、第2の実施形態では形成された酸化マンガン膜は形成されないか、又はほとんど形成されない。
 このような第4の実施形態に係るマンガン含有膜の形成方法によれば、以下のような利点を得ることができる。
 (1) アミドアミノアルカン系マンガン化合物ガスを用いてシリコン酸化膜101上に形成された酸化マンガン膜130は層状に連続した連続膜となる。酸化マンガン膜130の存在によりマンガン含有膜132を確実に連続膜として形成することができる。
 (2) 窒素含有マンガン膜131上に銅膜105を形成することにより、マンガン含有膜として酸化マンガン膜を用い、その上に銅膜105を形成する場合に比較して、銅膜105とマンガン含有膜132との密着性が向上する。
 (3) マンガンの一部が窒素と結合した状態の窒素含有マンガン膜131の上に銅膜105を形成するので、マンガンの銅膜105への拡散が抑制され、マンガンの拡散に起因した銅膜125の抵抗値の増大を抑制することができる。
 (4) 酸化マンガン膜130および窒素含有マンガン膜131を形成する際のマンガン化合物ガスとして、アミドアミノアルカン系マンガン化合物ガスを用いることにより、酸化マンガン膜130および窒素含有マンガン膜131を比較的低温で成膜することができる。
 <半導体装置の製造方法の例>
 次に、上記第1~第4の実施形態に係るマンガン含有膜の形成方法を、半導体集積回路装置のバリア膜に適用した場合の一例について説明する。
 図5A~図5Dは、半導体装置の製造方法の一例を示す断面図である。
 図5Aに示すように、シリコン基板100上には、第1層目の層間絶縁膜として、シリコン酸化膜201が形成されている。シリコン酸化膜201には、配線埋め込み用の溝202が形成されている。溝202の内部にはバリア膜203を介して第1層目銅配線204が埋め込まれている。シリコン酸化膜201の上面および銅配線204の上面には、キャップ膜205が形成されている。キャップ膜205上には、第2層目の層間絶縁膜として、シリコン酸化膜206が形成されている。シリコン酸化膜206にも配線埋め込み用の溝207が形成されている。さらに、溝207の底部には、第1層目銅配線204に達するヴィア孔208が形成されている。ヴィア孔208の底においては、第1層目銅配線204の表面が露出している。ここで、シリコン酸化膜201、206は、SiOに限らず、SiOC、SiOCHなど、比誘電率がSiOに比べて低いSi含有絶縁膜(Low-k膜)を用いてもよい。さらに、ポアを有するポーラスLow-k膜としてもよい。また、バリア膜203は、酸化マンガンやマンガンシリケートといったマンガン含有膜のみならず、金属タンタルや窒化タンタル、金属チタンや窒化チタン等を用いることができる。また、キャップ膜205は、酸化マンガンやマンガンシリケートといったマンガン含有膜のみならず、SiC,SiN,SiCNを用いてもよい。なお、ここではトランジスタ周り、即ち、FEOL(Front End of Line)の工程は省略している。
 次に、図5Bに示すように、シリコン酸化膜206上およびヴィア孔208の底に露出した銅配線204の一部の上に、上記第1~第4の実施形態のいずれかの方法によりマンガン含有膜209を形成する。
 次に、図5Cに示すように、マンガン含有膜209上に、PVD法、例えば、スパッタリング法を用いて銅膜212を形成する。銅膜212の形成に際しては、スパッタリング法による銅シード層の形成と電解メッキ法による銅膜の堆積との2工程を経ることとしてもよい。銅膜212の形成時の熱、あるいは銅膜212の形成後のアニールにより、マンガン含有膜209のうちシリコン酸化膜206上に形成されている部分の中のマンガンが銅膜212に拡散して銅膜212の一部もしくは全体に拡散層213が形成され、シリコン酸化膜206側には窒素含有マンガン膜または酸化マンガン膜またはマンガンシリケート膜からなる膜215が形成され、マンガン含有膜209のうち銅配線204上に形成されている部分の中のマンガンが銅膜212および銅配線204に拡散して、それらの一部もしくは全体に拡散層213が形成される。このとき、銅配線204上に形成されているマンガン含有膜209は金属マンガン膜を含み、酸化マンガンは含まれていても一部であるため、ヴィア孔208の底には絶縁膜である酸化マンガンは存在しないか、残っていてもわずかである。なお、マンガンの拡散量によっては、銅膜212の表面に酸化マンガン膜が形成されることがある。
 次に、図5Dに示すように、銅膜212、拡散層213、および膜215を、例えば、研磨により除去し、溝207およびヴィア孔208の内部に埋め込まれた銅膜212のみを残す。これにより、第2層目銅配線が形成される。
 このような半導体装置の製造方法によれば、第1~第4の実施形態と同様の利点が得られるとともに、銅膜212と第1層目銅配線204との接触面において、酸化マンガンが存在しないか、存在していてもわずかであるため、銅膜212と第1層目銅配線204との接触抵抗を低く抑えることができる。
 <成膜システム>
 次に、上記第1~第4の実施形態のマンガン含有膜を成膜する際に用いることができる成膜システムについて説明する。
 図6は、このような成膜システムの一例を概略的に示す平面図である。本例は、成膜システムの一例として、半導体装置の製造に用いられ、例えば、基板としてシリコンウエハ(以下ウエハという)に成膜処理を施す成膜システムを例示する。しかし、この発明は、ウエハ上へのマンガン膜の成膜に限って適用されるものではない。
  (全体構成)
 図6に示すように、成膜システム1は、ウエハWに処理を施す処理部2と、この処理部2にウエハWを搬入出する搬入出部3と、成膜システム1を制御する制御部4とを備えている。本例に係る成膜システム1は、クラスターツール型(マルチチャンバータイプ)の半導体製造装置である。
 処理部2は、本例では、ウエハWに処理を施す処理室(PM;プロセスモジュール)を四つ備えている(処理室21a~21d)。これらの処理室21a~21dはそれぞれ、内部を所定の真空度に減圧可能に構成されている。処理室21aにおいては、ウエハWへの前処理として加熱によるデガスや、水素アニールによる自然酸化銅の除去、プラズマ、イオンを照射することによる下地表面の改質処理(具体的には、ポーラスLow-k膜にプラズマ、イオンを照射してポアを狭くし、マンガン化合物ガスのLow-k膜内への染込みを防止する、等)が行われる。処理室21bにおいては、ウエハWへの成膜処理としてマンガン含有膜の成膜処理が行われ、処理室21cにおいては銅又は銅合金のPVD成膜処理、例えば、スパッタリング処理が行われ、処理室21dにおいてはシリケート化およびマンガン拡散のための加熱処理、例えば微量酸素アニールが行なわれる。処理室21a~21dは、ゲートバルブGa~Gdを介して、一つの搬送室(TM;トランスファモジュール)22に接続されている。
 搬入出部3は、搬入出室(LM;ローダーモジュール)31を備えている。搬入出室31は、内部を大気圧、又はほぼ大気圧、例えば、外部の大気圧に対してわずかに陽圧に調圧可能に構成されている。搬入出室31の平面形状は、本例では、平面から見て長辺、この長辺に直交する短辺を有した矩形である。矩形の長辺は処理部2に隣接する。搬入出室31は、ウエハWが収容されている被処理基板用キャリアCが取り付けられるロードポート(LP)を備えている。本例では、搬入出室31の処理部2に相対した長辺に、三つのロードポート32a、32b、及び32cが設けられている。本例においては、ロードポートの数を三つとしているが、これらに限られるものではなく、数は任意である。ロードポート32a乃至32cには各々、図示せぬシャッターが設けられており、ウエハWを格納した、あるいは空のキャリアCがこれらのロードポート32a乃至32cに取り付けられると、図示せぬシャッターが外れて外気の侵入を防止しつつ、キャリアCの内部と搬入出室31の内部とが連通される。
 処理部2と搬入出部3との間にはロードロック室(LLM;ロードロックモジュール)、本例では二つのロードロック室26a及び26bが設けられている。ロードロック室26a及び26bは各々、内部を所定の真空度、及び大気圧、もしくはほぼ大気圧に切り換え可能に構成されている。ロードロック室26a及び26bは各々、ゲートバルブG3、G4を介して搬入出室31の、ロードポート32a乃至32cが設けられた一辺に対向する一辺に接続され、ゲートバルブG5、G6を介して搬送室22の、処理室21a乃至21dが接続された四辺以外の二辺に接続される。ロードロック室26a及び26bは、対応するゲートバルブG3又はG4を開放することにより搬入出室31と連通され、対応するゲートバルブG3又はG4を閉じることにより搬入出室31から遮断される。また、対応するゲートバルブG5又はG6を開放することにより搬送室22と連通され、対応するゲートバルブG5、又はG6を閉じることにより搬送室22から遮断される。
 搬入出室31の内部には搬入出機構35が設けられている。搬入出機構35は、被処理基板用キャリアCに対するウエハWの搬入出を行う。これとともに、ロードロック室26a及び26bに対するウエハWの搬入出を行う。搬入出機構35は、例えば、二つの多関節アーム36a及び36bを有し、搬入出室31の長手方向に沿って延びるレール37上を走行可能に構成されている。多関節アーム36a及び36bの先端には、ハンド38a及び38bが取り付けられている。ウエハWは、ハンド38a又は38bに載せられ、上述したウエハWの搬入出が行われる。
 搬送室22は真空保持可能な構成、例えば、真空容器として構成されている。このような搬送室22の内部には、処理室21a~21d、並びにロードロック室26a及び26b相互間に対してウエハWの搬送を行う搬送機構24が設けられ、大気とは遮断された状態でウエハWが搬送される。搬送機構24は、搬送室22の略中央に配設されている。搬送機構24は、回転及び伸縮可能なトランスファアームを、例えば、複数本有する。本例では、例えば、二つのトランスファアーム24a及び24bを有する。トランスファアーム24a及び24bの先端には、ホルダ25a及び25bが取り付けられている。ウエハWは、ホルダ25a又は25bに保持され、上述したように、処理室21a~21d、並びにロードロック室26a、26b相互間に対するウエハWの搬送が行われる。
 制御部4は、プロセスコントローラ41、ユーザーインターフェース42、及び記憶部43を含んで構成される。
 プロセスコントローラ41は、マイクロプロセッサ(コンピュータ)からなる。
 ユーザーインターフェース42は、オペレータが成膜システム1を管理するためにコマンドの入力操作等を行うキーボードや、成膜システム1の稼働状況を可視化して表示するディスプレイ等を含む。
 記憶部43は、成膜システム1において実施される処理を、プロセスコントローラ41の制御にて実現するための制御プログラム、各種データ、及び処理条件に応じて成膜システム1に処理を実行させるためのレシピが格納される。レシピは、記憶部43の中の記憶媒体に記憶される。記憶媒体はコンピュータ読み取り可能なもので、例えば、ハードディスクであっても良いし、CD-ROM、DVD、フラッシュメモリ等の可搬性のものであってもよい。また、他の装置から、例えば、専用回線を介してレシピを適宜伝送させるようにしてもよい。任意のレシピはユーザーインターフェース42からの指示等にて記憶部43から呼び出され、プロセスコントローラ41において実行されることで、プロセスコントローラ41の制御のもと、ウエハWに対する処理が実施される。
  (マンガン含有膜成膜装置)
 次に、マンガン含有膜成膜装置の一例を説明する。マンガン含有膜成膜装置は、本例では処理室21bに用いられる。
 図7は、マンガン含有膜CVD装置の一例を概略的に示す断面図である。
 図7に示すように、マンガン含有膜CVD装置50は処理室21bを有する。処理室21b内にはウエハWを水平に載置するための載置台51が設けられている。載置台51内にはウエハの温調手段となるヒータ51aが設けられている。また、載置台51には昇降機構51bにより昇降自在な3本の昇降ピン51c(便宜上2本のみ図示)が設けられており、この昇降ピン51cを介して図示せぬウエハ搬送手段と載置台51との間でウエハWの受け渡しが行われる。
 処理室21bの底部には排気管52の一端側が接続され、この排気管52の他端側には真空ポンプ53が接続されている。処理室21bの側壁には、ゲートバルブGにより開閉される搬送口54が形成されている。
 処理室21bの天井部には載置台51に対向するガスシャワーヘッド55が設けられている。ガスシャワーヘッド55はガス室55aを備え、ガス室55aに供給されたガスは複数設けられたガス吐出孔55bから処理室21b内に供給される。
 ガスシャワーヘッド55には、マンガン化合物ガスをガス室55aに導入するためのマンガン化合物ガス供給配管系56が接続される。マンガン化合物ガス供給配管系56は、ガス供給路56aを備え、ガス供給路56aの上流側には、バルブ56b、マンガン化合物ガス供給源57、マスフローコントローラ56cが接続されている。マンガン化合物ガス供給源57からは、例えば、ビス(アミドアミノアルカン)マンガン化合物ガスがバブリング法により供給される。
 さらに、ガスシャワーヘッド55には、反応ガスをガス室55aに導入するための反応ガス供給配管系58が接続される。反応ガス供給配管系58もまたガス供給路58aを備えており、ガス供給路58aの上流側に、バルブ58b、マスフローコントローラ58cを介して反応ガス供給源59が接続されている。反応ガス供給源59からは、例えば、水素ガス、アンモニアガスなどが供給される。なお、本実施形態においては、マンガン化合物ガスと反応ガスとがガスシャワーヘッド55のガス室55aにおいて混合された後にガス吐出孔55bから処理室21b内に供給されるようになっている(プレミックス方式)が、これに限らず、ガスシャワーヘッド55においてマンガン化合物ガス専用のガス室と反応ガス専用のガス室とが独立して設けられ、マンガン化合物ガスと反応ガスとが別々に処理室21b内に供給されるようになっていてもよい(ポストミックス方式)。
  (ウエハWへの前処理条件の例) 
  <加熱によるデガス処理>
 加熱によるデガス処理は、処理室21bにおけるマンガン含有膜の成膜前に、例えば、処理室21aにて行うことができる。処理条件の一例は、次の通りである。
  ウエハ温度: 250~400℃
  処理圧力 : 13~2670Pa
  処理雰囲気: N、Ar、Heなどの不活性ガス雰囲気
  処理時間 : 30~300秒
である。より好適な条件を挙げるならば、
  ウエハ温度: 300℃
  処理圧力 : 1330Pa
  処理雰囲気: Arガス雰囲気
  処理時間 : 120秒
である。
 このデガス処理により、例えば、シリコン酸化膜101から、余分な水分や、混入していた揮発性成分などを除去することができ、処理室21bにおいて、より品質の良いマンガン含有膜を形成することが可能となる。また、膜厚の制御性も向上する。
  <水素アニールによる自然酸化銅の除去処理>
 水素アニールによる自然酸化銅の除去処理は、例えば、図5A~図5Dを参照して説明した例のように、下地の一部に銅膜が存在する場合に適用される。水素アニールによる自然酸化銅の除去処理は、処理室21bにおけるマンガン含有膜の成膜前に、例えば、処理室21aにて行うことができる。処理条件の一例は、次の通りである。 
  ウエハ温度: 250~400℃
  処理圧力 : 13~2670Pa
  処理雰囲気: Hガス雰囲気(ここにN、Ar、Heなどの不活性ガスを加えても良い)、H濃度は、1~100Vol%
  処理時間 : 30~300秒
である。より好適な条件を挙げるならば、
  ウエハ温度: 300℃
  処理圧力 : 1330Pa
  処理雰囲気: 3%Hガス+97%Arガス雰囲気
  処理時間 : 120秒
である。
 この水素アニール処理により、例えば、下地に露出している銅膜の表面から、自然酸化銅を還元して除去することができ、処理室21bにおいて、より品質の良いマンガン含有膜を形成することが可能となる。このことはまた、ヴィア孔部分における銅配線の抵抗を低減することをも可能とする。
  <プラズマ及び/又はイオン照射を用いた下地表面の改質処理>
 下地表面の改質処理は、例えば、下地にLow-k膜が存在する場合に適用されることが好ましい。下地表面の改質処理は、処理室21bにおけるマンガン含有膜の成膜前に、例えば、処理室21aにて行うことができる。反応種として水素ラジカルを用いた場合の処理条件の一例は、次の通りである。 
  ラジカル・イオン発生:リモートプラズマ、プラズマ、加熱フィラメント等により原子状水素を発生させてウエハWに照射
  投入パワー: 1~5kW(より好ましくは1.5kW~3kW)
  ウエハ温度: 室温(25℃)~450℃(より好ましくは200~400℃)
  処理圧力 : 10~500Pa(より好ましくは20~100Pa)
  処理雰囲気: 1~20%Hガス+99~80%Arガス雰囲気
  処理時間 : 5~300秒(より好ましくは10~100秒)
である。
 リモートプラズマの例において最も適した条件を挙げるならば、
  投入パワー: 2.5kW
  ウエハ温度: 300℃
  処理圧力 : 40Pa
  処理雰囲気: 10%Hガス+90%Arガス雰囲気
  処理時間 : 60秒
である。
 この改質処理により、例えば、下地上にマンガン含有膜を処理室21bにおいて、より品質良く形成することが可能となる。
 なお、これら加熱によるデガス処理、水素アニールによる自然酸化銅の除去処理、プラズマ、イオンを照射することによる下地表面の改質処理は、マンガン含有膜の成膜前に、少なくともいずれか一つを行うことが可能である。
  (下地表面の改質処理の詳細例)
 次に、下地にLow-k膜、例えばSiOC膜やSiOCH膜が存在する場合に、好ましく適用される下地表面の改質処理のより詳細な例について説明する。
  <プラズマ照射を用いた下地表面の改質処理>
 下地表面の改質処理は、上述したように、処理室21bにおけるマンガン含有膜の成膜前に、例えば、処理室21aにて行われる。処理室21aでは、プラズマを発生させ、例えば、図5Aに示した第2層目の層間絶縁膜であるシリコン酸化膜206を、発生させたプラズマに曝す。もしくはプラズマから派生したラジカル種にシリコン酸化膜206を曝す。これにより、シリコン酸化膜206の表面を改質する。この改質では、シリコン酸化膜206の表面に対して
  ・炭素(C)の除去
  ・緻密化(高密度化)
  ・表面の親水化
  ・ポア(空孔)径の縮小
などが行われる。なお、プラズマ照射を用いた改質処理にあたっては、シリコン酸化膜206に与えるダメージなどの副作用を抑えるため、過剰な照射とならないよう注意する。
 プラズマの発生には、水素(H)、炭素(C)、窒素(N)又は酸素(O)を含有するガスを用いることができる。水素、炭素、窒素又は酸素を含有するガスの例としては、
  ・Hガス
  ・COガス
  ・COガス
  ・CHガス
  ・Nガス
  ・NHガス
  ・HOガス
  ・Oガス
  ・Oガス
  ・NOガス
  ・NOガス
  ・NOガス
などを挙げることができる。これらのガスの一つ、又はこれらのガスの組み合わせを用いてプラズマを発生させるとよい。なお、プラズマの着火を容易にするため、HeやArなどの希ガスを添加してもよいことはもちろんである。なお、上述した例においては、1~20%Hガス+99~80%Arガス雰囲気であった。
 層間絶縁膜を構成するLow-k材料(例えば、SiOC)は、一般的にはトリメチルシラン等の有機材料を用いて形成される。このため、有機材料を用いて形成された層間絶縁膜にはメチル基(-CH)をはじめとするアルキル基が含まれる。このため、層間絶縁膜には一定量の炭素(C)が含まれることとなる。層間絶縁膜の表面を、プラズマやイオンに曝して改質処理を施すことにより、層間絶縁膜の表面は、炭素の多くが抜けた状態となる。このため、層間絶縁膜の表面の組成は、SiOCからSiOに近くなる。この結果、有機材料を用いて形成された層間絶縁膜の表面からは炭素が抜け、緻密化(高密度化)したSiOライクな改質層が形成される。
 また、上述の形成方法に由来して、層間絶縁膜の表面の多くはメチル基(-CH)で終端しており、層間絶縁膜の表面は疎水面となっている。上述の改質処理を施すことにより、上記メチル基は切断されて-OH基やSi-O-Siの結合となる。つまり、上述の改質処理には、層間絶縁膜の表面を親水化させる親水化処理の側面がある(改質処理により層間絶縁膜の表面が、疎水性から親水性へと改質される)。層間絶縁膜の表面に親水性の改質層が形成されることで、マンガン含有膜を、層間絶縁膜の表面上に効率的に形成(堆積)しやすくなる。
 また、層間絶縁膜が多孔質体(ポーラス体)のLow-k膜であった場合には、上記改質処理を施すと、層間絶縁膜の表面のポアの径が縮小及び/又は閉塞する。即ち、層間絶縁膜の表面には、多孔質体(ポーラス体)ではない状態の改質層が形成される。このような改質層は、層間絶縁膜のポアシールとして機能する。この結果、マンガン含有膜を形成する際に、マンガン含有膜を成膜するためのMnプリカーサが、層間絶縁膜の内部に浸み込んで層間絶縁膜の比誘電率が上昇してしまうことを抑制できる。
 なお、改質処理のためのプラズマ処理時間は、数秒程度(例えば、1~300秒)行えばよい。また、プラズマ処理時のプロセス圧力や印加する高周波の電力も特に限定されないが、実用的にはプロセス圧力は10-1~10Paの範囲内、高周波電力の投入パワーは10~10ワットの範囲内である。なお、上述した例においては、処理時間は5~300秒、処理圧力は10~500Pa、投入パワーは1~5kWであった。
 また、改質処理のためのプラズマ処理時に、水素を含有するガス、又は酸素を含有するガスのいずれか、又はこれらのガスの組み合わせを用いた場合には、層間絶縁膜の表面に対して、-OH基の形成を促進できる、という利点がある。層間絶縁膜の表面に-OH基が形成されると、マンガン含有膜を、層間絶縁膜の表面上に効率的に形成(堆積)しやすくなる。
水素を含有するガス、又は酸素を含有するガスの例は、
  ・Hガス
  ・COガス
  ・COガス
  ・CHガス
  ・NHガス
  ・HOガス
  ・Oガス
  ・Oガス
  ・NOガス
  ・NOガス
  ・NOガス
である。
 さらに、改質処理のためのプラズマ処理の効果を促進するため、ウエハWを100~350℃の範囲で加熱しつつ、層間絶縁膜の表面をプラズマ処理してもよい。
 また、プラズマを発生させる手段としては、
  ・容量結合型プラズマ(CCP:Capacitively Coupled Plasma)発生手段
  ・誘導結合型プラズマ(ICP:Inductively Coupled Plasma)発生手段
  ・ヘリコン波励起型プラズマ(HWP:Helicon Wave Plasma)発生手段
  ・マイクロ波励起表面波プラズマ(SWP:Surface Wave Plasma)発生手段(RLSATMマイクロ波プラズマ、SPA(Slot Plane Antenna)プラズマを含む)
  ・電子サイクロトロン共鳴プラズマ(ECP:Electron Cyclotron resonance Plasma)発生手段
  ・上記発生手段を用いたリモートプラズマ発生手段
などを用いることができる。
  <紫外線照射を用いた下地表面の改質処理>
 なお、層間絶縁膜の表面を改質するためには、プラズマに曝す以外にも、種々の方法がある。層間絶縁膜の表面を改質(ここでは主に親水化)するためには、例えば、酸素雰囲気下(例えば、オゾン(O)や酸素(O)を含む酸素含有ガスの雰囲気中)において、ウエハWを100~350℃の範囲で加熱しつつ、層間絶縁膜の表面に紫外線を照射してもよい。紫外線の照射には、低圧水銀ランプ(波長:185~254nm)やXeエキシマランプ
(波長:172nm)等を用いることができ、好ましくは短波長紫外線(波長:240nm以下)が用いられる。
  <GCIB照射を用いた下地表面の改質処理>
 また、層間絶縁膜の表面に、ガスクラスターイオンビーム(GCIB)を照射してもよい。これによっても、層間絶縁膜の表面を改質できる。ガスクラスターイオンを発生させるためのガスとしては、例えば
  ・Oガス
  ・Nガス
  ・Hガス
  ・CHガス
  ・Arガス
  ・He
などを用いることができる。
  <可視光照射を用いた下地表面の改質処理>
 また、層間絶縁膜の表面に、波長が425nmの可視光を照射してもよい。波長425nmの可視光(紫色)は、シリコン(Si)とメチル基(Si-CH)の結合エネルギーに相当し、メチル基を効率的に切断することができる。
  <酸化剤を含む処理液を用いた下地表面の改質処理>
 さらに、層間絶縁膜の表面を、酸化剤、例えば過酸化水素(H)を含んだ処理液に曝して薬液処理することで改質させるようにしてもよい。過酸化水素の持つ強い酸化能力により、層間絶縁膜の表面は、炭素の多くが抜けた状態となる。このため、層間絶縁膜の表面の組成はSiOCからSiOに近くなり、層間絶縁膜の表面を緻密化(高密度化)できるとともに、疎水性から親水性へと親水化することができる。
  ・シリケート化およびマンガン拡散のための加熱処理 
 シリケート化およびマンガン拡散のための加熱処理は、処理室21cにおいて銅膜を成膜した後、例えば、処理室21dにて行うことができる。処理条件の一例は、次の通りである。
  ウエハ温度: 200~500℃
  処理圧力 : 13~2670Pa
  処理雰囲気: N、Ar、Heなどの不活性ガス雰囲気(ここに若干の、例えば10ppb~1Vol%程度のOガスを加えても良い)
  処理時間 : 30~1800秒
である。より好適な条件を挙げるならば、
  ウエハ温度: 350℃
  処理圧力 : 1330Pa
  処理雰囲気: 1%Oガス+99%Arガス雰囲気(酸化雰囲気)
  処理時間 : 300秒
である。
 なお、本加熱処理は、マンガン含有膜のシリケート化と、銅膜へのマンガン拡散との双方を兼ねることが可能であるが、マンガン含有膜のシリケート化のみ、あるいは銅膜へのマンガン拡散のみに用いることもできる。
  (アンモニアガスの供給方法の例)
 窒素含有マンガン膜を成膜するために用いられる窒素を含む反応ガスとして、アンモニアガスを選択した場合には、その供給方法として、次の2つを挙げることができる。
  ・アンモニアボンベを利用した供給
  ・アンモニア水(NH(aq))を利用した供給
 特に、アンモニア水を利用した供給が可能であることは、以下の理由に基づく。
 図8は、水(HO)およびアンモニア(NH)の蒸気圧を示す図である。図8には、さらに、アンモニア水(32%、25%、20%)の蒸気圧についても示す。
 図8に示すように、アンモニア水の蒸気圧は、水(HO)の蒸気圧に比較して、2桁以上高い。これは、ガス中のアンモニアと水との割合が、水よりもアンモニアが過剰になることを示している。例えば、アンモニア水の温度を20℃として、アンモニア水からアンモニアガスを発生させて取り出し、取り出したアンモニアガスを、窒素含有マンガン膜の成膜に利用する。
 アンモニア水を利用した供給による利点は、アンモニア100%のガスの供給に比較して、装置において講じなければならない安全上の対策が簡易になる、ということを挙げることができる。例えば、アンモニアボンベを利用した供給では、ガスの漏洩に備えるため、特殊ガスを密封したガスボンベを収納しておく高価なシリンダキャビネットを準備しなければならない。これに対して、アンモニア水を利用した供給によれば、高価なシリンダキャビネットを準備する必要がなく、アンモニア水を貯留するための貯留槽を成膜装置に接続するだけで済む。
 また、アンモニア水の濃度は10%以上35%以下のものが一般的であるが、アンモニア水の濃度を10%未満とすれば、ガスの特殊性がさらに下がる。このため、特殊なガスを取り扱うためには必要であるガス検知器を省略できる可能性もある。
 上記第1~第4の実施形態で説明したマンガン含有膜の形成方法は、このようなマンガン含有膜CVD装置50を用いることで実施することができる。
 以上、この発明を実施形態に従って説明したが、この発明は上記実施形態に限定されるものではなく、発明の趣旨を逸脱しない範囲で適宜変形することが可能である。
 例えば、上記実施形態では、PVD法を用いて銅膜105を形成したが、銅膜105は、例えばCVD法で形成することも可能である。また、PVD法で薄い銅膜(シード層)を形成した後、この薄い銅膜の上に、電解メッキ法、もしくは無電解メッキ法で厚い銅膜をメッキすることで形成することも可能である。
 また、さらなる密着性の向上のためにマンガン含有膜と銅膜との間にルテニウムを含むライナー層を設けてもよいし、銅膜の埋め込み性改善のため、マンガン含有膜の上に堆積する銅膜はドライフィル法(Cuリフローの一種。基板温度を250℃程度に加熱しつつCuをスパッタする)を用いて成膜してもよい。
 さらに、基板は、半導体ウエハに限られるものではなく、太陽電池やFPDの製造に利用されるガラス基板であっても良い。
 100;シリコン基板、101;シリコン酸化膜、102、121、131;窒素含有マンガン膜、103、111、120;金属マンガン膜、104、112、122、132;マンガン含有膜、105;銅膜、107、125;マンガンが拡散した銅膜、108、110、114、130;酸化マンガン膜

Claims (20)

  1.  下地と銅膜との間に形成されるマンガン含有膜の形成方法であって、
     マンガン化合物ガスと窒素を含む反応ガスとを反応させて前記下地上に窒素含有マンガン膜を形成する工程と、
     マンガン化合物ガスと還元性の反応ガスとを反応させて、もしくはマンガン化合物ガスを熱分解反応させて、もしくはマンガン化合物ガスをエネルギー又は活性種の照射により分解反応させて前記窒素含有マンガン膜上に金属マンガン膜を形成する工程と
     を具備するマンガン含有膜の形成方法。
  2.  下地と銅膜との間に形成されるマンガン含有膜の形成方法であって、
     マンガン化合物ガスと前記下地から供給される酸素とを反応させて前記下地上に酸化マンガン膜、もしくはマンガンシリケート膜を形成する工程と、
     マンガン化合物ガスと還元性反応ガスとを反応させて、もしくはマンガン化合物ガスを熱分解反応させて、もしくはマンガン化合物ガスをエネルギー又は活性種の照射により分解反応させて前記酸化マンガン膜上、もしくは前記マンガンシリケート膜上に金属マンガン膜を形成する工程と
     を具備するマンガン含有膜の形成方法。
  3.  下地と銅膜との間に形成されるマンガン含有膜の形成方法であって、
     マンガン化合物ガスと還元性の反応ガスとを反応させて、もしくは、マンガン化合物ガスを熱分解反応させて、もしくはマンガン化合物ガスをエネルギー又は活性種の照射により分解反応させて前記下地上に金属マンガン膜を形成する工程と、
     マンガン化合物ガスと窒素を含む反応ガスとを反応させて前記金属マンガン膜上に窒素含有マンガン膜を形成する工程と
     を具備するマンガン含有膜の形成方法。
  4.  下地と銅膜との間に形成されるマンガン含有膜の形成方法であって、
     マンガン化合物ガスと前記下地から供給される酸素とを反応させて前記下地上に酸化マンガン膜、もしくはマンガンシリケート膜を形成する工程と、
     マンガン化合物ガスと窒素を含む反応ガスとを反応させて、前記酸化マンガン膜上、もしくは、前記マンガンシリケート膜上に窒素含有マンガン膜を形成する工程と
     を具備するマンガン含有膜の形成方法。
  5.  前記マンガン化合物ガスは、
      シクロペンタジエニル系マンガン化合物ガス、
      カルボニル系マンガン化合物ガス、
      ベータジケトン系マンガン化合物ガス、
      アミジネート系マンガン化合物ガス、および
      アミドアミノアルカン系マンガン化合物ガスのいずれかから選ばれる請求項1から請求項4のいずれか1項に記載のマンガン含有膜の形成方法。
  6.  前記シクロペンタジエニル系マンガン化合物ガスは、
      一般式Mn(RCで表されるマンガン化合物ガス
    である請求項5に記載のマンガン含有膜の形成方法。
    (前記Rは-C2n+1(nは0以上の整数)で記述されるアルキル基を示す)
  7.  前記カルボニル系マンガン化合物ガスは、
      デカカルボニル2マンガン(Mn(CO)10)ガス
      メチルシクロペンタジエニルトリカルボニルマンガン((CH)Mn(CO))ガス
      シクロペンタジエニルトリカルボニルマンガン((C)Mn(CO))ガス
      メチルペンタカルボニルマンガン((CH)Mn(CO))ガス
      3-(t-BuAllyl)Mn(CO)ガス
    のいずれかから選ばれる請求項5に記載のマンガン含有膜の形成方法。
  8.  前記ベータジケトン系マンガン化合物ガスは、
      ビス(ジピバロイルメタナト)マンガン(Mn(C1119)ガス
      トリス(ジピバロイルメタナト)マンガン(Mn(C1119)ガス
      ビス(ペンタンジオン)マンガン(Mn(C)ガス
      トリス(ペンタンジオン)マンガン(Mn(C)ガス
      ビス(ヘキサフルオロアセチル)マンガン(Mn(CHF)ガス
      トリス(ヘキサフルオロアセチル)マンガン(Mn(CHF)ガス
    のいずれかから選ばれる請求項5に記載のマンガン含有膜の形成方法。
  9.  前記アミジネート系マンガン化合物ガスは、
      一般式Mn(RN-CR-NRで表されるマンガン化合物ガス
    である請求項5に記載のマンガン含有膜の形成方法。
    (ただし、前記R,R,Rは-C2n+1(nは0以上の整数)で記述されるアルキル基を示す)
  10.  前記アミドアミノアルカン系マンガン化合物ガスは、
      一般式Mn(RN-Z-NR で表されるマンガン化合物ガス
    である請求項5に記載のマンガン含有膜の形成方法。
    (ただし、前記R,Rは-C2n+1(nは0以上の整数)で記述されるアルキル基を示す。前記Zは-C2n-(nは0以上の整数)で記述されるアルキレン基を示す)
  11.  前記マンガン含有膜を形成した後、前記マンガン含有膜上に銅膜を形成する工程をさらに含み、
     前記銅膜を形成した後に、前記銅膜へマンガンを拡散させる加熱処理を行う請求項1から請求項10のいずれか1項に記載のマンガン含有膜の形成方法。
  12.  前記マンガン含有膜を形成した後、前記マンガン含有膜上に銅膜を形成する工程をさらに含み、
     前記銅膜を形成した後に、前記マンガン含有膜をシリケート化させる加熱処理を行う請求項1から請求項11のいずれか1項に記載のマンガン含有膜の形成方法。
  13.  前記下地が、Si含有酸化物である請求項1から請求項12のいずれか1項に記載のマンガン含有膜の形成方法。
  14.  前記金属マンガン膜は、前記マンガン化合物ガスと前記還元性の反応ガスとをパージを挟んで交互に供給するALD法を用いて成膜される請求項1から請求項13のいずれか1項に記載のマンガン含有膜の形成方法。
  15.  前記ALD法において、吸着されたマンガン化合物の分解に、前記還元性の反応ガスによる分解に代えて、
      エネルギー又は活性種の照射による分解
    を用いる請求項14に記載のマンガン含有膜の形成方法。
  16.  前記窒素を含む反応ガスは、
      アンモニア(NH)ガス
      ヒドラジン(NHNH)ガス
      アミンガス(一般式NRで記述される)
      ヒドラジン誘導体ガス(一般式RNNRで記述される)
    のいずれかから選ばれる請求項1、請求項3、または請求項4に記載のマンガン含有膜の形成方法。
    (ただし、前記R,R,R,Rは炭化水素基を示す)
  17.  前記アミンガスは、
      メチルアミン(CHNH)ガス
      エチルアミン(CNH)ガス
      ジメチルアミン((CHNH)ガス
      トリメチルアミン((CHN)ガス
    のいずれかから選ばれる請求項16に記載のマンガン含有膜の形成方法。
  18.  前記ヒドラジン誘導体ガスは、
      メチルヒドラジン(CHNNH)ガス
      ジメチルヒドラジン((CHNNH)ガス
      トリメチルヒドラジン((CHNNH)ガス
    のいずれかから選ばれる請求項16に記載のマンガン含有膜の形成方法。
  19.  前記窒素を含む反応ガスは、アンモニア水を利用して発生させる請求項1、請求項3、または請求項4に記載のマンガン含有膜の形成方法。
  20.  前記下地上にマンガン含有膜を形成する前に、
      加熱によるデガス処理
      水素アニールによる自然酸化銅の除去処理
      プラズマ及び/又はイオン照射を用いた下地表面の改質処理
      紫外線照射を用いた下地表面の改質処理
      GCIB照射を用いた下地表面の改質処理
      可視光照射を用いた下地表面の改質処理
      酸化剤を含む処理液を用いた下地表面の改質処理
    の少なくともいずれか一つを行う請求項1から請求項4のいずれか1項に記載のマンガン含有膜の形成方法。
PCT/JP2013/066264 2012-06-18 2013-06-12 マンガン含有膜の形成方法 WO2013191065A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2014521378A JPWO2013191065A1 (ja) 2012-06-18 2013-06-12 マンガン含有膜の形成方法
KR1020147034765A KR20150031239A (ko) 2012-06-18 2013-06-12 망간 함유막의 형성 방법
US14/566,807 US20150110975A1 (en) 2012-06-18 2014-12-11 Method for forming manganese-containing film
US15/212,774 US20160326646A1 (en) 2012-06-18 2016-07-18 Method for forming manganese-containing film

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012-137051 2012-06-18
JP2012137051 2012-06-18

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/566,807 Continuation US20150110975A1 (en) 2012-06-18 2014-12-11 Method for forming manganese-containing film

Publications (1)

Publication Number Publication Date
WO2013191065A1 true WO2013191065A1 (ja) 2013-12-27

Family

ID=49768664

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2013/066264 WO2013191065A1 (ja) 2012-06-18 2013-06-12 マンガン含有膜の形成方法

Country Status (5)

Country Link
US (2) US20150110975A1 (ja)
JP (1) JPWO2013191065A1 (ja)
KR (1) KR20150031239A (ja)
TW (1) TW201418503A (ja)
WO (1) WO2013191065A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019165078A (ja) * 2018-03-19 2019-09-26 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2020255913A1 (ja) * 2019-06-17 2020-12-24 田中貴金属工業株式会社 有機マンガン化合物からなる化学蒸着用原料及び該化学蒸着用原料を用いた化学蒸着法
JP2021510460A (ja) * 2018-01-12 2021-04-22 テセラ インコーポレイテッドTessera, Inc. 自己形成拡散バリア層を有する低抵抗性金属相互接続構造体
US11970765B2 (en) 2019-02-01 2024-04-30 Ionautics Ab Method and apparatus for chemical vapour deposition

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9984975B2 (en) * 2014-03-14 2018-05-29 Taiwan Semiconductor Manufacturing Company Barrier structure for copper interconnect
FR3025396A1 (fr) * 2014-09-02 2016-03-04 St Microelectronics Tours Sas Procede de fabrication d'un element de connexion electrique
JP6776953B2 (ja) * 2017-03-07 2020-10-28 三菱マテリアル株式会社 ヒートシンク付パワーモジュール用基板
KR102141512B1 (ko) * 2018-09-11 2020-08-05 재단법인 나노기반소프트일렉트로닉스연구단 화학기상증착법을 이용한 다층 그래핀 및 그의 제조방법
CN111748794A (zh) * 2019-03-26 2020-10-09 江苏迈纳德微纳技术有限公司 一种二氧化锰纳米复合薄膜材料及其制备方法
CN111029299A (zh) * 2019-12-18 2020-04-17 华虹半导体(无锡)有限公司 金属互连结构的形成方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008007732A1 (en) * 2006-07-14 2008-01-17 Ulvac, Inc. Method for manufacturing semiconductor device
WO2008126206A1 (ja) * 2007-03-27 2008-10-23 Fujitsu Microelectronics Limited 半導体装置の製造方法
JP2009212232A (ja) * 2008-03-03 2009-09-17 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP2009283569A (ja) * 2008-05-20 2009-12-03 Toshiba Corp 半導体装置
JP2010080607A (ja) * 2008-09-25 2010-04-08 Rohm Co Ltd 半導体装置の製造方法
JP2010242187A (ja) * 2009-04-08 2010-10-28 Tokyo Electron Ltd 酸化マンガン膜の形成方法、半導体装置の製造方法および半導体装置
JP2011029521A (ja) * 2009-07-29 2011-02-10 Renesas Electronics Corp 半導体装置の製造方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009088522A2 (en) * 2007-04-09 2009-07-16 President And Fellows Of Harvard College Cobalt nitride layers for copper interconnects and methods for forming them
CN102859662B (zh) * 2009-10-23 2015-11-25 哈佛大学校长及研究员协会 用于互连的自对准阻挡层和封盖层

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008007732A1 (en) * 2006-07-14 2008-01-17 Ulvac, Inc. Method for manufacturing semiconductor device
WO2008126206A1 (ja) * 2007-03-27 2008-10-23 Fujitsu Microelectronics Limited 半導体装置の製造方法
JP2009212232A (ja) * 2008-03-03 2009-09-17 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP2009283569A (ja) * 2008-05-20 2009-12-03 Toshiba Corp 半導体装置
JP2010080607A (ja) * 2008-09-25 2010-04-08 Rohm Co Ltd 半導体装置の製造方法
JP2010242187A (ja) * 2009-04-08 2010-10-28 Tokyo Electron Ltd 酸化マンガン膜の形成方法、半導体装置の製造方法および半導体装置
JP2011029521A (ja) * 2009-07-29 2011-02-10 Renesas Electronics Corp 半導体装置の製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021510460A (ja) * 2018-01-12 2021-04-22 テセラ インコーポレイテッドTessera, Inc. 自己形成拡散バリア層を有する低抵抗性金属相互接続構造体
JP7015925B2 (ja) 2018-01-12 2022-02-15 テセラ インコーポレイテッド 自己形成拡散バリア層を有する低抵抗性金属相互接続構造体
JP2019165078A (ja) * 2018-03-19 2019-09-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7002970B2 (ja) 2018-03-19 2022-01-20 東京エレクトロン株式会社 成膜方法及び成膜装置
US11970765B2 (en) 2019-02-01 2024-04-30 Ionautics Ab Method and apparatus for chemical vapour deposition
WO2020255913A1 (ja) * 2019-06-17 2020-12-24 田中貴金属工業株式会社 有機マンガン化合物からなる化学蒸着用原料及び該化学蒸着用原料を用いた化学蒸着法
JP7478731B2 (ja) 2019-06-17 2024-05-07 田中貴金属工業株式会社 有機マンガン化合物からなる化学蒸着用原料及び該化学蒸着用原料を用いた化学蒸着法

Also Published As

Publication number Publication date
JPWO2013191065A1 (ja) 2016-05-26
US20150110975A1 (en) 2015-04-23
US20160326646A1 (en) 2016-11-10
KR20150031239A (ko) 2015-03-23
TW201418503A (zh) 2014-05-16

Similar Documents

Publication Publication Date Title
WO2013191065A1 (ja) マンガン含有膜の形成方法
US7351656B2 (en) Semiconductor device having oxidized metal film and manufacture method of the same
US7785658B2 (en) Method for forming metal wiring structure
US9741558B2 (en) Selectively lateral growth of silicon oxide thin film
TWI627706B (zh) Semiconductor device manufacturing method, semiconductor device, and semiconductor manufacturing device
TWI469218B (zh) Semiconductor device manufacturing method, semiconductor device, electronic device, semiconductor manufacturing device and memory medium
KR101358114B1 (ko) 산화 망간막의 형성 방법, 반도체 장치의 제조 방법 및 반도체 장치
JP5522979B2 (ja) 成膜方法及び処理システム
KR102503141B1 (ko) 펄스화된 질화물 캡슐화
US20100140802A1 (en) Film forming method and film forming apparatus
US20220336205A1 (en) Film formation method
JP2014236192A (ja) 酸化マンガン膜の形成方法
US9153481B2 (en) Manganese-containing film forming method, processing system, electronic device manufacturing method and electronic device
TW201637067A (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
JP2006229207A (ja) 半導体装置の製造方法及び半導体装置
JP5025679B2 (ja) 半導体装置
TW201437410A (zh) 藉由循環矽烷化之碳摻雜氧化物薄膜的逐層沉積
KR101812900B1 (ko) 패턴 형성 방법
WO2022124087A1 (ja) 成膜方法
JP2012074714A (ja) 半導体装置の製造方法
TW202333302A (zh) 用於形成低電阻率鎢特徵的方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13807005

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2014521378

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20147034765

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13807005

Country of ref document: EP

Kind code of ref document: A1