WO2011092778A1 - Appareil de formation de film de plasma - Google Patents

Appareil de formation de film de plasma Download PDF

Info

Publication number
WO2011092778A1
WO2011092778A1 PCT/JP2010/006894 JP2010006894W WO2011092778A1 WO 2011092778 A1 WO2011092778 A1 WO 2011092778A1 JP 2010006894 W JP2010006894 W JP 2010006894W WO 2011092778 A1 WO2011092778 A1 WO 2011092778A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
anode electrode
electrode
cathode electrode
forming apparatus
Prior art date
Application number
PCT/JP2010/006894
Other languages
English (en)
Japanese (ja)
Inventor
宮崎篤
Original Assignee
シャープ株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シャープ株式会社 filed Critical シャープ株式会社
Publication of WO2011092778A1 publication Critical patent/WO2011092778A1/fr

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Definitions

  • the present invention relates to a plasma film forming apparatus. Specifically, the present invention relates to a plasma film forming apparatus for manufacturing a thin film transistor (TFT) substrate or the like used in a display device.
  • TFT thin film transistor
  • the plasma deposition (Chemical Vapor Deposition) method is known as a method for forming a semiconductor film or the like using plasma, and is used for manufacturing integrated circuits, liquid crystal display panels, organic electroluminescence elements, solar cells, and the like. ing.
  • a plasma film forming apparatus for forming a film by using this plasma film forming method includes a cathode electrode and an anode electrode arranged so as to face each other inside a processing chamber.
  • the anode electrode is provided in a substrate holder disposed on the upper wall of the processing chamber, and a substrate to be processed such as a glass substrate is mounted on the surface on the cathode electrode side by the substrate holder.
  • the cathode electrode is formed with a plurality of gas inlets for introducing a source gas for film formation into the processing chamber.
  • a substrate to be processed is mounted on an anode electrode, and a source gas is introduced into the processing chamber from each gas introduction port in a state where the inside of the processing chamber is decompressed by a vacuum pump or the like.
  • a plasma state of the source gas is generated as a glow discharge phenomenon due to gas breakdown due to the generated electric field.
  • dissociation of the source gas (gas molecules) is promoted and radicals are generated in the vicinity of the cathode electrode where the relatively strong electric field is formed and in the vicinity thereof.
  • the radicals generated in this way diffuse to the substrate to be processed and deposit on the surface of the substrate, thereby forming a thin film.
  • a substrate installation part that also serves as a first electrode part (anode electrode), a gas supply part that supplies a reactive gas, and an excitation source that excites the reactive gas supplied by the gas supply part to plasma.
  • a plasma processing apparatus includes a second electrode part (cathode electrode) and a power supply part that applies a voltage between the first electrode part and the second electrode part.
  • a reaction gas having a total partial pressure of the source gas and H 2 gas of 80% or more is introduced into a plasma formation space where the reaction gas is plasma-excited, and the pressure of the plasma formation space is set to approximately atmospheric pressure.
  • the thin film is formed on the substrate placed on the substrate installation portion by plasma excitation of the reaction gas while being held in the substrate. And it is described that such a configuration can increase the amount of H 2 input, can form a thin silicon film with good crystallinity and high speed, and can form a silicon thin film that is optimal for applications such as solar cells. (For example, refer to Patent Document 1).
  • the distance between the first electrode portion (anode electrode) and the second electrode portion (cathode electrode) is set to 2 mm.
  • the cathode electrode in the plasma film forming apparatus described in Patent Document 1 has a substantially rectangular parallelepiped shape, and since the end in the longitudinal direction is fixed, the heat (200 ° C. to 200 ° C.) 400 ° C.), the central portion in the longitudinal direction is warped, and the cathode electrode is bent.
  • the substrate installation portion that also serves as the anode electrode is provided with a heater for heating the substrate to be processed when the film formation process is performed.
  • the anode electrode is deformed by the heat of the heater, and the anode electrode is bent.
  • the anode electrode - the distance between the cathode electrode becomes non-uniform, the discharge becomes uneven, 1m 2 or more large area (1m wide or more, or more in length 1m) It becomes difficult to generate plasma uniformly in the plasma region formed between the electrodes having. As a result, there is a problem that it is difficult to form a thin film having a uniform film thickness on the surface of the substrate to be processed.
  • the pressure in the processing chamber (chamber) of the plasma film forming apparatus is a high pressure of 10 Torr or more and the distance between the anode electrode and the cathode electrode is 10 mm or less, the gap between the anode electrode and the cathode electrode described above.
  • the influence of the non-uniformity of the distance becomes large, and the discharge becomes more non-uniform.
  • An object of the present invention is to provide a plasma film forming apparatus capable of forming a thin film having a uniform film thickness on the surface of a substrate to be processed by generating a proper discharge.
  • a plasma film forming apparatus includes a processing chamber in which a substrate to be processed is installed, and a plasma discharge generation unit provided to face the substrate to be processed, and plasma discharge.
  • the generating unit includes an anode electrode disposed opposite to the substrate to be processed disposed in the processing chamber, a cathode electrode disposed away from the anode electrode, and plasma formed between the anode electrode and the cathode electrode.
  • a generator chamber and an insulator that is fixed to the cathode electrode and that supports the anode electrode so that the distance between the cathode electrode and the anode electrode is constant over the entire plasma discharge generator. This is a plasma film forming apparatus.
  • the distance between the anode electrode and the cathode electrode can be kept constant over the entire plasma discharge generating portion, a uniform discharge is generated and a uniform film is formed on the surface of the substrate to be processed.
  • a thin film having a thickness can be formed.
  • the anode electrode is configured to support the insulator, and the anode electrode is not fixed to the insulator, so that the anode electrode is not warped due to deformation due to heat during plasma generation, The anode electrode is not bent. Therefore, even when heat acts on the anode electrode and the cathode electrode, the distance between the cathode electrode and the anode electrode can be kept constant in the plasma discharge generating portion, so that a uniform discharge is generated. A thin film having a uniform film thickness can be formed on the surface of the substrate to be processed.
  • the cathode electrode is formed so as to penetrate in the thickness direction of the cathode electrode, and is provided with a gas introduction port for introducing a material gas into the plasma generation chamber.
  • the port is formed by a first gas introduction port and a second gas introduction port formed on the end surface facing the anode electrode so as to communicate with the first gas introduction port and having a diameter larger than the diameter of the first gas introduction port. It is configured.
  • the holocathode structure can be formed in the cathode electrode, and the discharge chamber can be formed inside the cathode electrode, so that a holocathode discharge can be generated inside the cathode electrode. Accordingly, the holocathode effect associated with the holocathode discharge is generated inside the cathode electrode, and the plasma electron density can be increased, so that the film formation rate can be improved.
  • the anode electrode is formed so as to penetrate in the thickness direction of the anode electrode, and radicals generated from the material gas dissociated by the plasma generated in the plasma generation chamber It is characterized in that a radical introduction port for introduction into is provided.
  • a holocathode structure can be formed in the anode electrode, and a discharge chamber can be formed in the anode electrode. Therefore, a holocathode discharge can be generated in the anode electrode. Accordingly, the holocathode effect associated with the holocathode discharge is generated inside the anode electrode, and the plasma electron density can be increased, so that the film formation rate can be improved.
  • the plasma electron density can be further increased and the film formation rate can be dramatically improved.
  • the insulator is formed with a notch, and the anode electrode is supported by the insulator by engaging the anode electrode and the notch. To do.
  • the anode electrode can be supported by the insulator with a simple configuration.
  • the film formation distribution is such that the concavo-convex structure is transferred.
  • production can be prevented and generation
  • the plasma film forming apparatus of the present invention has an excellent characteristic that a thin film having a uniform film thickness can be formed on the surface of a substrate to be processed by generating a uniform discharge. Therefore, the plasma film forming apparatus of the present invention is preferably used for a plasma film forming apparatus in which the material gas is a silane-based gas. With such a structure, a silicon thin film used for a solar cell or the like is formed on a film formation substrate. It is possible to provide a plasma film forming apparatus for forming a uniform film thickness on the surface of the film.
  • a uniform discharge can be generated to form a thin film having a uniform thickness on the surface of the substrate to be processed.
  • FIG. 1 is a perspective view schematically showing a plasma film forming apparatus according to an embodiment of the present invention. It is sectional drawing which represents typically the plasma film-forming apparatus which concerns on embodiment of this invention. It is a fragmentary sectional view which shows the plasma discharge generation
  • FIG. 1 is a perspective view schematically showing a plasma film forming apparatus according to an embodiment of the present invention
  • FIG. 2 is a cross-sectional view schematically showing the plasma film forming apparatus according to an embodiment of the present invention
  • FIG. 3 is a partial cross-sectional view showing a plasma discharge generating portion in the plasma film forming apparatus according to this embodiment of the present invention.
  • the plasma film forming apparatus 1 includes a processing chamber (vacuum container) 11 in which a substrate 10 to be processed is loaded, and a plasma discharge generation unit 13 provided in the processing chamber 11.
  • a substrate holder 14 that holds the substrate to be processed 10 is provided in the processing chamber 11, and the substrate to be processed 10 is placed on the substrate holder 14.
  • a high-frequency power source 15 for supplying electric power to the plasma discharge generator 13, that is, applying electric energy, and a material gas (hereinafter also simply referred to as “gas”) are contained in the processing chamber 11.
  • a gas supply unit 16 a for supplying gas to the gas chamber, a gas pressure adjusting unit 16 b for adjusting the gas pressure in the processing chamber 11, and a gas discharging unit 17 for discharging the gas in the processing chamber 11 are provided.
  • the gas supply unit 16a can be constituted by a gas cylinder or the like.
  • the gas pressure adjusting unit 16b can be configured by a gas pressure adjusting valve or the like.
  • the high-frequency power supply 15 is connected to the plasma discharge generator 13 via the wiring 18.
  • the frequency of the high frequency power supply 15 can be set to 13.56 MHz, for example.
  • the plasma discharge generator 13 is provided in the processing chamber 11 so as to be separated from the substrate 10 and to face the substrate 10 to be processed.
  • the plasma discharge generator 13 has a plurality of cathode electrodes 19 and a plurality of anode electrodes 20.
  • the cathode electrode 19 is disposed away from the anode electrode, and the anode electrode 20 is disposed to face the substrate 10 to be processed.
  • a plasma generation chamber 30 is formed between the anode electrode 20 and the cathode electrode 19.
  • the anode electrode 20 is provided closer to the substrate holder 14 than the cathode electrode 19 (that is, the substrate 10 to be processed).
  • the thickness T 1 of the cathode electrode 19 can be set to, for example, 20 mm.
  • the thickness T 2 of the anode electrode 20, for example, can be set to 2 mm.
  • the plurality of cathode electrodes 19 are arranged to be substantially parallel at a predetermined interval in the length direction X of the plasma film forming apparatus 1, and each of the plurality of cathode electrodes 19 is arranged in the plasma film forming apparatus 1. In the width direction Y.
  • the cathode electrode 19 is formed with a gas introduction port 12 through which the material gas is introduced into the plasma generation chamber 30 so as to penetrate in the thickness direction of the cathode electrode 19.
  • each of the plurality of anode electrodes 20 is arranged so as to be substantially parallel at a predetermined interval in the length direction X of the plasma film forming apparatus 1, and each of the plurality of anode electrodes 20 includes: It extends in the width direction Y of the plasma film forming apparatus 1.
  • the plasma discharge generator 13 includes a cathode electrode 19a that does not have a holocathode structure, which will be described later, and the plurality of cathode electrodes 19 are formed integrally with the cathode electrode 19a.
  • the high frequency power supply 15 is connected to the cathode electrode 19 a via the wiring 18, so that the high frequency power supply 15 is connected to each cathode electrode 19.
  • the high frequency power supply 15 is also connected to the anode electrode 20 via a wiring 18.
  • a plurality of inter-electrode insulators 22 having a T-shaped cross section and supporting each anode electrode 20 are provided between the cathode electrodes 19 and between the anode electrodes 20.
  • the plurality of inter-electrode insulators 22 are arranged so as to be substantially parallel at predetermined equal pitch intervals in the length direction X of the plasma film forming apparatus 1, and each of the plurality of inter-electrode insulators 22 is The plasma film forming apparatus 1 extends in the width direction Y.
  • the pitch interval of the interelectrode insulator 22 in the length direction X of the plasma film forming apparatus 1 is P
  • the anode electrode 20 in the height direction Z of the plasma film forming apparatus 1 When the distance from the substrate to be processed 10 is F, the relationship 2.5P ⁇ F is preferable, and the relationship 3P ⁇ F is more preferable.
  • the substrate 10 to be processed is disposed close to the anode electrode 20, so the anode electrode 20 on the upper end surface (end surface on the substrate 10 side) of the plasma discharge generator 13.
  • a film formation distribution in which the concavo-convex structure by the inter-electrode insulator 22 is transferred occurs, and film formation unevenness may occur in the substrate 10 to be processed.
  • the cathode electrode 19 and the anode electrode 20 are in an electrically insulated state by being spaced apart by the interelectrode insulator 22.
  • the plasma discharge generator 13 is provided with a support 23 that supports the interelectrode insulator 22.
  • the support 23 is provided so as to cover the lower end surfaces of the cathode electrode 19 and the interelectrode insulator 22 (that is, the surface opposite to the anode electrode side).
  • the support 23 is configured to fix the interelectrode insulator 22 to the cathode electrode 19.
  • a gas supply port 37 for supplying the material gas supplied from the gas supply unit 16 a to the gas introduction port 12 of the cathode electrode 19 is provided at a portion corresponding to the gas introduction port 12 of the cathode electrode 19. Is formed.
  • the cathode electrode 19, the anode electrode 20 facing the cathode electrode 19, and the pair of interelectrode insulators 22 sandwiching the cathode electrode 19 and the anode electrode 20 are surrounded.
  • the space to be formed is a plasma generation chamber (plasma generation space) 30.
  • the width W of the plasma generation chamber 30 is small, the space in which the plasma is generated becomes small and the film forming speed decreases. If the width W is large, the plasma film forming apparatus 1 becomes large.
  • the width W is preferably set to about 10 to 15 mm.
  • the cathode electrode 19 employs a holo cathode structure. More specifically, the gas inlet 12 formed in the cathode electrode 19 is formed to communicate with the first gas inlet 12a on the upper surface side facing the first gas inlet 12a and the anode electrode 20, It is constituted by the second gas introducing port 12b having a larger diameter R 2 than the diameter R 1 of the first gas inlet 12a.
  • a holocathode structure (a hollow structure or a holostructure) is formed in the cathode electrode 19.
  • a discharge chamber is formed in the cathode electrode 19 to generate a holocathode discharge inside the cathode electrode 19 (that is, inside the second gas inlet 12b).
  • the anode electrode 20 has radicals that introduce radicals generated from the material gas decomposed and dissociated by the high-density plasma generated in the plasma generation chamber 30 into the processing chamber 11.
  • An introduction port 32 is formed.
  • the radical inlet 32 is formed so as to extend in the width direction Y of the plasma film forming apparatus 1, and is formed so as to penetrate in the thickness direction of the anode electrode 20.
  • the above-described radical introduction port 32 is formed to form a holocathode structure (a hollow structure or a holostructure) in the anode electrode 20, and a discharge chamber is formed inside the anode electrode 20.
  • the holocathode discharge is generated inside the anode electrode 20 (that is, inside the radical inlet 32).
  • the application of the high frequency power causes a holocathode effect in the anode electrode 20 to cause ionization / ionization.
  • extremely high density plasma is generated in the vicinity of the opening of the radical inlet 32 of the anode electrode 20 in the plasma generation chamber 30. That is, by adopting such a holocathode structure, the plasma electron density can be increased, so that the film formation rate can be improved.
  • the plasma electron density can be further increased and the film formation rate can be dramatically improved.
  • a film uniformly on the substrate 10 to be processed having a large area (for example, a length of 1 m or more and a width of 1 m or more).
  • the pressure in the processing chamber 11 of the plasma film forming apparatus 1 is set to a high pressure of 10 Torr or higher, the film is uniformly formed on the processing substrate having a large area at a high speed of 10 ⁇ / s or higher. It becomes possible to do.
  • the pitch P of the interelectrode insulator 22 is set to 25 mm and the plasma film forming apparatus 1 is used.
  • the longitudinal direction X 40 or more of each of the cathode electrode 19 and the anode electrode 20 are arranged, and the entire width of the plasma film forming apparatus 1 is set to 1 m or more.
  • the width of the interelectrode insulators 22 can be set to 15 mm.
  • the width of the anode electrode 20 is set larger than the width W of the plasma generation chamber 30 (for example, when the width W of the plasma generation chamber 30 is 15 mm, the anode The width of the electrode 20 is set to 20 mm).
  • a cutout portion 21 is formed on the side surface of the cathode electrode 19 on the lower end surface side.
  • the notch 21 is formed along the length direction of the cathode electrode 19.
  • a space 26 for accommodating the interelectrode insulator 22 is formed between the cathode electrodes 19.
  • a protruding portion 24 protruding toward the cathode electrode 19 is formed on the side surface on the lower end surface side of the interelectrode insulator 22, and the protruding portion 24 allows the electrode to be
  • the intermediate insulator 22 has a T-shaped cross section.
  • the protrusion 24 is formed along the length direction of the interelectrode insulator 22.
  • a notch 25 is formed on the side surface of the interelectrode insulator 22 on the upper end surface side.
  • the notch 25 is formed in the length direction of the interelectrode insulator 22.
  • the height H of the notch 25 is set to be equal to the thickness T 2 of the anode electrode 20 described above.
  • the thickness T 2 of the anode electrode 20 is the case of 2 mm
  • the height H of the notch 25 is set to 2 mm.
  • the height H of the notch 25 may be set larger than the thickness T 2 of the anode electrode 20 (for example, when T 2 is 2 mm, H is set to 3 mm). .
  • the interelectrode insulator 22 When assembling the plasma discharge generator 13, first, the interelectrode insulator 22 is inserted into the space 26 formed between the cathode electrodes 19, and the interelectrode insulator 22 is accommodated in the space 26. Then, as shown in FIG. 6, the protrusion 24 of the interelectrode insulator 22 engages with the notch 21 of the cathode electrode 19, and the interelectrode insulator 22 is supported by the cathode electrode 19. 22 is attached to the cathode electrode 19.
  • the interelectrode insulator 22 is disposed between the cathode electrodes 19 in this way.
  • the plurality of inter-electrode insulators 22 are provided at a predetermined pitch interval P so as to extend substantially parallel to each other. Further, the cathode electrode 19 is sandwiched by the interelectrode insulator 22 accommodated in the space 26.
  • the anode electrode 20 is inserted into the notch 25 formed in each of the adjacent interelectrode insulators 22 provided between the cathode electrodes 19, and the anode electrode 20 is accommodated between the interelectrode insulators 22. Then, as shown in FIG. 7, the side end portion 27 of the anode electrode 20 is engaged with the notch portion 25 of the interelectrode insulator 22, and the anode electrode 20 is supported (sandwiched) by the adjacent interelectrode insulator 22. The anode electrode 20 is attached to the interelectrode insulator 22. In the present embodiment, the anode electrode 20 is thus disposed between the interelectrode insulators 22 so as to face the cathode electrode.
  • the anode electrode 20 is provided so as to face the cathode electrode 19 substantially in parallel in the width direction of the plasma film forming apparatus (the direction of the arrow Y shown in FIG. 1). Further, in the length direction X of the plasma film forming apparatus 1, the plurality of anode electrodes 20 are provided at equal intervals so as to extend in parallel with each other.
  • the cathode electrode 19 the anode electrode 20 facing the cathode electrode 19, and a pair of interelectrode insulators 22 sandwiching the cathode electrode 19 and the anode electrode 20 are sandwiched.
  • a plasma generation chamber 30 surrounded by is formed.
  • the anode electrode 20 is supported by the interelectrode insulator 22 by the side end portion 27 and attached to the interelectrode insulator 22, but the side end portion 27 of the anode electrode 20 supports the anode electrode 20. It is not fixed to the interelectrode insulator 22. Accordingly, since the anode electrode 20 is not warped due to deformation due to heat when plasma is generated, the anode electrode 20 is not bent. Therefore, even when heat acts on the anode electrode 20 and the cathode electrode 19, the cathode electrode 19 and the anode electrode 20 in the height direction Z of the plasma film forming apparatus 1 are spread over the entire plasma discharge generation unit 13. The distance D between (see FIG. 3) can be kept constant.
  • the interelectrode insulator 22 supports the anode electrode 20 so that the distance D between the cathode electrode 19 and the anode electrode 20 is constant over the entire plasma discharge generator 13. It is the composition to do.
  • the distance D between the cathode electrode 19 and the anode electrode 20 is appropriately determined by adjusting the gas pressure in the processing chamber 11 according to Paschen's law. Can be determined.
  • the voltage V has a minimum value with respect to the product of the gas pressure P and the discharge path length d. Therefore, for example, by increasing the gas pressure P in the processing chamber 11 while the voltage V is constant, the discharge path length d can be shortened.
  • the cathode electrode 19 and the anode electrode are determined by determining the position of the notch 25 formed in the interelectrode insulator 22 based on the discharge path length d calculated according to Paschen's law. The distance D between 20 can be adjusted.
  • a support 23 that supports the interelectrode insulator 22 is provided so as to cover the lower end surfaces of the cathode electrode 19 and the interelectrode insulator 22 (that is, the surface opposite to the anode electrode 20 side). Then, the interelectrode insulator 22 is fixed to the cathode electrode 19 by the protrusion 24 and the support 23 that engage with the notch 21 described above, and the plasma discharge generator 13 is assembled as shown in FIG. It is done.
  • the plasma processing method includes a substrate placement process, a gas introduction process, a plasma processing process, and a thin film forming process.
  • the substrate 10 to be processed is placed in the processing chamber 11. More specifically, the substrate 10 to be processed is placed on the substrate holder 14 provided in the processing chamber 11. In addition, the to-be-processed substrate 10 is arrange
  • positioned so that the above-mentioned relationship of 2.5P ⁇ F is materialized, for example, when the pitch space
  • the substrate 10 to be processed is placed at the position.
  • a glass substrate having a thickness of 1.1 mm is used as the substrate 10 to be processed.
  • the gas discharge unit 17 is used to discharge the gas in the processing chamber 11 and set the processing chamber 11 in a predetermined vacuum state.
  • a material gas is introduced into the processing chamber 11 in which the target substrate 10 is disposed. More specifically, first, the material gas is supplied from the gas supply unit 16 a to the gas retention unit 8, and the material gas is temporarily retained in the gas retention unit 8. Thereafter, the material gas passes through the gas supply port 37 formed in the support 23, is supplied to the gas introduction port 12 of the cathode electrode 19, and passes through the gas introduction port 12 to pass through the plasma discharge generation unit 13. It is introduced into the plasma generation chamber 30.
  • SiH 4 , H 2 , N 2 or the like is used as the material gas. More specifically, for example, in the case where a silicon thin film used for a thin film transistor (TFT), a semiconductor integrated circuit, a solar cell or the like constituting a liquid crystal display device is formed on the substrate 10 to be processed, silane (SiH 4 ) or Silane-based gases such as hydrogen-diluted silane (SiH 4 / H 2 ) are used. At this time, for example, the gas flow rate of silane can be set to 60 sccm, and the gas flow rate of hydrogen can be set to 120 sccm. The material gas is introduced at a pressure of 70 Pa, for example.
  • silane (SiH 4 ), ammonia (NH 3 ), nitrogen (N 2 ), or the like is used as a material gas for forming a silicon nitride film.
  • the gas flow rate of silane can be set to 20 sccm
  • the gas flow rate of ammonia can be set to 40 sccm
  • the gas flow rate of nitrogen can be set to 100 sccm.
  • the material gas is introduced at a pressure of 200 Pa, for example.
  • sccm is a gas flow rate in cubic centimeters flowing every minute at 0 ° C.
  • plasma 40 is generated by the plasma discharge generator 13 to perform plasma processing on the surface of the substrate 10 to be processed. More specifically, first, for example, a pulse discharge is generated between the anode electrode 20 and the cathode electrode 19 to generate a plasma 40 in the plasma generation chamber 30 of the plasma discharge generation unit 13. Let The plasma 40 is generated according to a voltage (potential difference) applied between the cathode electrode 19 and the anode electrode 20.
  • a power source for applying a voltage for example, a high frequency power source 15 having a frequency of 300 MHz is used.
  • the holocathode structure is adopted, so that the plasma electron density can be increased.
  • the material gas introduced into the processing chamber 11 and flowing into the plasma discharge generator 13 is dissociated by the plasma 40.
  • the material gas supplied to the plasma discharge generator 13 is decomposed and dissociated to generate radicals.
  • An arrow 41 in FIG. 2 indicates the flow of radicals.
  • the generated radical is introduced into the processing chamber 11 through the radical inlet 32 formed in the anode electrode 20.
  • the generated radicals diffuse to the substrate 10 to be processed and adhere to and deposit on the surface of the substrate 10 held by the substrate holder 14. That is, a film grows on the surface of the substrate to be processed 10 to form a thin film.
  • the generated radicals reach the surface of the thin film one after another and the thickness of the thin film increases. Then, after applying the pulse voltage until the set film thickness is reached, the voltage application between the cathode electrode 19 and the anode electrode 20, that is, the supply of power to the plasma discharge generator 13 is stopped. In this way, the plasma processing is performed on the surface of the substrate 10 to be processed. Thereafter, when the substrate 10 to be processed is removed from the substrate holder 14 and taken out of the processing chamber 11, a thin film-formed substrate on which a thin film is formed is obtained.
  • the anode electrode 20 is fixed to the cathode electrode 19 so that the distance D between the cathode electrode 19 and the anode electrode 20 is constant over the entire plasma discharge generator 13.
  • the interelectrode insulator 22 to be supported is provided. Accordingly, since the distance D between the anode electrode 20 and the cathode electrode 19 can be kept constant, a uniform discharge can be generated and a thin film having a uniform film thickness can be formed on the surface of the substrate 10 to be processed. .
  • the anode electrode 20 Since the anode electrode 20 is supported by the interelectrode insulator 22, and the anode electrode 20 is not fixed to the interelectrode insulator 22, the anode is caused by deformation due to heat at the time of plasma generation.
  • the electrode 20 is not warped, and the anode electrode 20 is not bent. Accordingly, even when heat is applied to the anode electrode 20 and the cathode electrode 19, the distance D between the cathode electrode 19 and the anode electrode 20 can be kept constant in the plasma discharge generator 13, so that it is uniform. It is possible to form a thin film having a uniform film thickness on the surface of the substrate 10 to be processed by generating an appropriate discharge.
  • the gas inlet 12 of the cathode electrode 19 is formed to communicate with the first gas inlet 12a and the first gas inlet 12a on the end face side facing the anode electrode 20, It is constituted by a second gas introducing port 12b having one large diameter R 2 than the diameter R 1 of the gas inlet 12a. Therefore, since a holocathode structure can be formed in the cathode electrode 19 and a discharge chamber can be formed in the cathode electrode 19, a holocathode discharge can be generated in the cathode electrode 19. As a result, a holocathode effect associated with the holocathode discharge occurs inside the cathode electrode 19 and the plasma electron density can be increased, so that the film formation rate can be improved.
  • the anode electrode 20 is provided with a radical introduction port 32 through which radicals generated from the material gas dissociated by the plasma generated in the plasma generation chamber 30 are introduced into the processing chamber 11. Accordingly, since a holocathode structure can be formed in the anode electrode 20 and a discharge chamber can be formed in the anode electrode 20, a holocathode discharge can be generated in the anode electrode 20. As a result, since the holocathode effect accompanying the holocathode discharge is generated inside the anode electrode 20 and the plasma electron density can be increased, the film formation rate can be improved.
  • the plasma electron density can be further increased and the film formation rate can be dramatically improved.
  • the notch portion 25 is formed in the interelectrode insulator 22, and the anode electrode 20 is supported by the interelectrode insulator 22 by the engagement of the anode electrode 20 and the notch portion 25. It is configured to do. Accordingly, the anode electrode 20 can be supported by the interelectrode insulator 22 with a simple configuration.
  • a plurality of inter-electrode insulators 22 are arranged at equal pitch intervals, the pitch interval of the inter-electrode insulators 22 is P, and the distance between the anode electrode 20 and the substrate to be processed 10 is F. In this case, the relationship of 2.5P ⁇ F is established. Therefore, for example, even when there is a concavo-convex structure formed by the anode electrode 20 and the interelectrode insulator 22 on the end face of the plasma discharge generation unit 13 facing the substrate 10 to be processed, film formation in which the concavo-convex structure is transferred. The occurrence of distribution can be prevented, and the occurrence of film formation unevenness on the substrate to be processed 10 can be prevented.
  • the protrusion 24 that engages the notch 21 of the cathode electrode 19 is formed in the interelectrode insulator 22, and the support 23 that supports the interelectrode insulator 22 is provided, thereby interelectrode insulation.
  • the body 22 is fixed to the cathode electrode 19 as shown in FIG. 9, the interelectrode insulator 22 is fixed to the cathode electrode 19 only by the protrusion 24 that engages with the notch 21 of the cathode electrode 19 as shown in FIG. 9. It is good also as a structure fixed.
  • the notch portion 21 is formed on the side surface other than the upper and lower end surfaces of the cathode electrode 19, and the protruding portion 24 is formed on the side surface other than the end surface side of the interelectrode insulator 22.
  • the plasma film forming apparatus 1 has been described by taking the case of forming a silicon thin film as an example.
  • the present invention is not limited to this, and a silicon germanium (SiGe) film or zinc selenide (ZnSe) is used.
  • the present invention can also be applied as a film forming apparatus for forming another semiconductor film such as a film.
  • a plasma film forming apparatus for manufacturing a thin film transistor (TFT) substrate, a solar cell or the like used in a display device.
  • TFT thin film transistor
  • Plasma film-forming apparatus To-be-processed substrate 11 Processing chamber 13 Plasma discharge generation part 12 Gas inlet 12a 1st gas inlet 12b 2nd gas inlet 19 Cathode electrode 20 Anode electrode 21 Notch 22 Interelectrode insulator 24 Protrusion Part 25 Notch part 26 Space 30 Plasma generating chamber 32 Radical inlet D Distance between cathode electrode and anode electrode F Distance between anode electrode and substrate to be processed P Pitch interval between insulators between electrodes R 1 Diameter of first gas inlet Diameter of R2 second gas inlet

Abstract

La présente invention concerne un appareil de formation de film de plasma (1) qui comprend : une chambre de traitement (11) où se trouve un substrat à traiter (10) ; et une section de génération de décharge de plasma (13) conçue pour faire face au substrat à traiter (10). Ladite section de génération de décharge de plasma (13) comporte : une électrode d'anode (20) ; une électrode de cathode (19) qui est espacée de l'électrode d'anode (20) ; une chambre de génération de plasma (30) qui est formée entre l'électrode d'anode (20) et l'électrode de cathode (19) ; ainsi qu'un corps isolant interélectrode (22) qui est fixé à ladite électrode de cathode (19) et qui porte ladite électrode d'anode (20), de sorte que la distance entre l'électrode de cathode (19) et l'électrode d'anode (20) soit fixe dans toute la section de génération de décharge de plasma (13).
PCT/JP2010/006894 2010-01-28 2010-11-25 Appareil de formation de film de plasma WO2011092778A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010-017138 2010-01-28
JP2010017138 2010-01-28

Publications (1)

Publication Number Publication Date
WO2011092778A1 true WO2011092778A1 (fr) 2011-08-04

Family

ID=44318792

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/006894 WO2011092778A1 (fr) 2010-01-28 2010-11-25 Appareil de formation de film de plasma

Country Status (1)

Country Link
WO (1) WO2011092778A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115247257A (zh) * 2021-04-25 2022-10-28 广东聚华印刷显示技术有限公司 成膜装置及膜层的制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004158839A (ja) * 2002-10-16 2004-06-03 Sharp Corp 電子デバイス、その製造方法およびプラズマプロセス装置
JP2006024634A (ja) * 2004-07-06 2006-01-26 Sharp Corp プラズマプロセス装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004158839A (ja) * 2002-10-16 2004-06-03 Sharp Corp 電子デバイス、その製造方法およびプラズマプロセス装置
JP2006024634A (ja) * 2004-07-06 2006-01-26 Sharp Corp プラズマプロセス装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115247257A (zh) * 2021-04-25 2022-10-28 广东聚华印刷显示技术有限公司 成膜装置及膜层的制备方法
CN115247257B (zh) * 2021-04-25 2024-01-23 广东聚华印刷显示技术有限公司 成膜装置及膜层的制备方法

Similar Documents

Publication Publication Date Title
US20100024729A1 (en) Methods and apparatuses for uniform plasma generation and uniform thin film deposition
EP2274764A1 (fr) Appareil de traitement au plasma et procédé pour le traitement au plasma de substrats
KR20010096568A (ko) 박막 형성용 플라즈마 성막 장치
US20110220026A1 (en) Plasma processing device
KR20080105617A (ko) 화학기상증착장치 및 플라즈마강화 화학기상증착장치
JP2006152416A (ja) プラズマcvd装置
JP2007281082A (ja) 成膜方法及び成膜装置並びに記憶媒体
US20190085456A1 (en) Batch type plasma substrate processing apparatus
TWI414628B (zh) 電漿處理裝置及電漿cvd成膜方法
KR101854738B1 (ko) 박막 증착 장치, 플라즈마 발생 장치, 및 박막 증착 방법
WO2011104803A1 (fr) Générateur de plasma
JP5659225B2 (ja) プラズマ堆積ソースおよび薄膜を堆積させるための方法
JP5089669B2 (ja) 薄膜形成装置
JP2010212277A (ja) 成膜装置
US8931433B2 (en) Plasma processing apparatus
WO2011092778A1 (fr) Appareil de formation de film de plasma
JP2008205279A (ja) シリコン系薄膜の成膜方法及びその成膜装置
JP2006049544A (ja) 基板処理装置及びこれを用いた基板処理方法
JP2008171888A (ja) プラズマcvd装置、薄膜形成方法
JP4194466B2 (ja) プラズマプロセス装置及びそれを用いた電子デバイスの製造方法
US20100006142A1 (en) Deposition apparatus for improving the uniformity of material processed over a substrate and method of using the apparatus
KR102010762B1 (ko) 박막 증착 장치, 플라즈마 발생 장치, 및 박막 증착 방법
JP2012190957A (ja) 薄膜形成装置
JP2008251838A (ja) プラズマ処理装置
JP4981387B2 (ja) 薄膜製造装置及び太陽電池の製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10844547

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10844547

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: JP