WO2008029800A1 - Procédé de traitement de substrat et support de stockage - Google Patents

Procédé de traitement de substrat et support de stockage Download PDF

Info

Publication number
WO2008029800A1
WO2008029800A1 PCT/JP2007/067206 JP2007067206W WO2008029800A1 WO 2008029800 A1 WO2008029800 A1 WO 2008029800A1 JP 2007067206 W JP2007067206 W JP 2007067206W WO 2008029800 A1 WO2008029800 A1 WO 2008029800A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate processing
processing method
film
substrate
substance
Prior art date
Application number
PCT/JP2007/067206
Other languages
English (en)
French (fr)
Inventor
Yasushi Fujii
Kazuki Kosai
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to DE112007000177T priority Critical patent/DE112007000177T5/de
Priority to JP2008533160A priority patent/JPWO2008029800A1/ja
Priority to US12/086,298 priority patent/US20090286399A1/en
Publication of WO2008029800A1 publication Critical patent/WO2008029800A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous

Definitions

  • the present invention executes a substrate processing method for performing a modification process for modifying a predetermined substance and a dissolution removal process for the modified substance in a manufacturing process of a semiconductor device by a dual damascene method or the like, and such a method.
  • the present invention relates to a storage medium that stores a program for executing the program.
  • FIG. 1 is an explanatory diagram for explaining a series of steps for forming a multilayer copper wiring by a dual damascene method.
  • a lower wiring 202 made of copper is formed on a low dielectric constant film (low-k film) 200, which is an insulating film made of a low-k material, on a silicon substrate (not shown) through a NORA metal layer 201.
  • a low-k film 204 is formed as an interlayer insulating film through an etching stopper film 203, and an antireflection film (BARC; Bottom Anti-Reflective Coating) 205 is formed on the surface of the antireflection film 205.
  • a resist film 206 is formed on the surface, and then the resist film 206 is exposed in a predetermined pattern and developed to form a circuit pattern on the resist film 206 (step (a)).
  • the low-k film 204 is etched using the resist film 206 as a mask to form a via hole 204a (step (b)).
  • a sacrificial film 207 is formed on the surface of the insulating film 204 having the via hole 204a (step (c)).
  • the via hole 204a is also filled with the sacrificial film 207.
  • a resist film 208 is formed on the surface of the sacrificial film 207, the resist film 208 is exposed in a predetermined pattern, and developed to form a circuit pattern in the resist film 208 (step (d)). .
  • the sacrificial film 207 and the low-k film 204 are etched using the resist film 208 as a mask, thereby forming a wider trench 204b on the via hole 204a (step (e)). Thereafter, by removing the resist film 208 and the sacrificial film 207, a via hole 204a and a trench 204b are formed in the insulating film 204 (step (f)). And copper is embedded in these as upper wiring.
  • Si—O-based inorganic materials are frequently used as the sacrificial film 207, and it is difficult to remove the sacrificial film 207 by ashing treatment used for conventional resist film removal. In addition, the power that has been tried to dissolve with chemicals is extremely slow.
  • Japanese Patent Application Laid-Open No. 2006-049798 discloses that after etching or removing a resist film, the surface of the damaged part is modified with a silylating agent to form a methyl group.
  • a silylation treatment with an alkyl group as a terminal group has been proposed, and this technique can be applied to recover damage after the washing treatment or modification treatment.
  • An object of the present invention is to provide a substrate processing method capable of sufficiently recovering the k value even when the k value of the low dielectric constant film is increased due to damage caused by the modification treatment and the subsequent dissolution treatment. It is to provide.
  • Another object is to provide a storage medium storing a program for executing such a manufacturing method.
  • the low dielectric constant film formed on the substrate is etched to form a predetermined pattern, and the material remaining after the etching is finished is predetermined.
  • Denatured so as to be solubilized in the liquid, supplying the predetermined liquid to dissolve and remove the denatured substance, and then dissolving the denatured substance in low dielectric constant
  • a substrate processing method comprising supplying a silylating agent to the surface of the rate film and performing a silylation treatment, and beta-treating the substrate after the silylation treatment.
  • a sacrificial film is formed on a low dielectric constant film formed on a substrate, an etching mask is formed on the sacrificial film, and the sacrificial film is formed.
  • a substrate processing method is provided that includes betating the substrate.
  • the surface of the low dielectric constant film on which the pattern is formed is silanolized after the remaining substance is modified and before the modified substance is dissolved and removed. It is possible to have a further process step. Further, a porous low dielectric constant material is suitable as the low dielectric constant film. As the low dielectric constant film, one having an alkyl group as a terminal group can be used.
  • the modification of the remaining substance can be performed by supplying a processing gas containing water vapor and ozone. Further, the modification of the remaining substance can be performed by supplying a processing gas containing ozone.
  • a processing gas containing ozone As the predetermined liquid, an acidic or alkaline chemical liquid can be used.
  • a compound having a silazane bond (Si—N) in the molecule can be used, and as a compound having a silazane bond in the molecule.
  • TMDS (1,1,3,3-Tetramethyldisilazane
  • TMSDMA Dimethyla minotrimethylsilane
  • DMSDMA Dimethylsilyldimethylamine
  • the beta of the substrate is preferably performed at a temperature higher than that of the silylation treatment step S, and specifically, it is preferably performed at 150 to 400 ° C. Furthermore, a beta treatment may be performed prior to the silylation treatment.
  • the etching target film has a film to be etched, a predetermined pattern is formed on the etching film by the etching process, and the substance remaining after the etching process is solubilized in the predetermined liquid.
  • a silylation treatment is performed by supplying a silylating agent to the surface of the film to be etched on the substrate on which the modified substance is dissolved and removed by the predetermined liquid, and the silylation treatment is performed. And a substrate processing method is provided.
  • a storage medium that operates on a computer and stores a program for controlling the substrate processing apparatus, and the program is stored on the substrate at the time of execution.
  • a storage medium is provided that allows a computer to control the substrate processing apparatus such that a substrate processing method is performed that includes betating the substrate after the silylation process.
  • a storage medium that operates on a computer and stores a program for controlling a substrate processing apparatus.
  • a substrate processing method comprising: processing and betalying the substrate after the silylation treatment;
  • a storage medium for controlling the processing device is provided.
  • a storage medium that operates on a computer and stores a program for controlling the substrate processing apparatus.
  • a predetermined pattern is formed on the film to be etched by the etching process, the substance remaining after the etching process is modified so as to be solubilized in the predetermined liquid, and the modified substance by the predetermined liquid
  • a substrate processing method is performed which includes supplying a silylating agent to the surface of the film to be etched and silylating the substrate from which the substrate has been dissolved and removing, and beta-treating the substrate after the silylation processing.
  • the silylation treatment is performed after the dissolution treatment after the modification treatment, and then the substrate is baked, the low dielectric constant film whose relative dielectric constant (k value) is reduced due to damage is obtained.
  • the k value can be recovered sufficiently. That is, after the dissolution treatment, the low dielectric constant film contains moisture, and a Si-based byproduct is formed by the reaction between the moisture and the silylating agent. Since this Si-based by-product has a high k value, even if the silylation process recovers damage using an alkyl group such as a methyl group as a terminal group, the k value does not decrease sufficiently as a result. .
  • FIG. 1 is an explanatory diagram for explaining a series of steps for forming a multilayer copper wiring by a conventional dual damascene method.
  • FIG. 2 is an explanatory diagram showing a schematic configuration of a wafer processing system used in a semiconductor device manufacturing process by a dual damascene method, to which a substrate processing method is applied according to an embodiment of the present invention.
  • FIG. 3 is a plan view showing a schematic structure of a cleaning processing apparatus used in the wafer processing system of FIG. 4 is a front view showing a schematic structure of a cleaning processing apparatus used in the wafer processing system of FIG.
  • FIG. 5 is a rear view showing a schematic structure of a cleaning processing apparatus used in the wafer processing system of FIG.
  • FIG. 6 is a schematic cross-sectional view showing a denaturing treatment unit mounted on a cleaning treatment apparatus.
  • FIG. 7 is a schematic cross-sectional view showing a silylation unit mounted on a cleaning treatment apparatus.
  • FIG. 8 is a schematic sectional view showing a cleaning unit mounted on the cleaning processing apparatus.
  • FIG. 9 is a schematic cross-sectional view showing a hot plate unit mounted on a cleaning processing apparatus.
  • FIG. 10 is a flowchart showing a semiconductor device manufacturing process by a dual damascene method to which the substrate processing method is applied according to an embodiment of the present invention.
  • FIG. 11 is an explanatory diagram for explaining the state of each process shown in the flowchart of FIG.
  • FIG. 12 A diagram for explaining damage of a low-k film and recovery by silylation.
  • FIG. 2 is an explanatory diagram showing a schematic configuration of a wafer processing system used in a semiconductor device manufacturing process by a dual damascene method, to which a substrate processing method is applied according to an embodiment of the present invention.
  • This wafer processing system includes a SOD (Spin On Dielectric) device 101, a resist coating / developing device 102, an exposure device 103, a cleaning processing device 104, an etching device 105, and a sputtering device that is one of PVD devices.
  • a processing unit 100 including an apparatus 106, an electrolytic plating apparatus 107, and a CMP apparatus 109 as a polishing apparatus, and a main control unit 110 including a process controller 111, a user interface 112, and a storage unit 113 are provided.
  • the SOD device 101, the sputtering device 106, and the electrolytic plating device 107 of the processing unit 100 are film forming devices.
  • a transport method by an operator, an unillustrated transport, or a transport method by a transport device is used as a method for transporting the wafer W between the apparatuses of the processing unit 100.
  • Each device of the processing unit 100 is connected to and controlled by a process controller 111 having a CPU.
  • the process controller 111 the process manager A user interface 112 consisting of a keyboard that performs command input operations to manage each device, a display that visualizes and displays the operating status of each device in the processing unit 100, and various types of processing executed by the processing unit 100 Connected to the storage unit 113 storing a recipe in which a control program, processing condition data, and the like for realizing the processing by the control of the process controller 111 are stored.
  • an arbitrary recipe is called from the storage unit 113 and executed by the process controller 111, so that the processing unit 111 is controlled under the control of the process controller 111.
  • the recipe may be stored in a readable storage medium such as a CD-ROM, a hard disk, a flexible disk, or a nonvolatile memory. Alternatively, it can be transmitted online from an external device, for example via a dedicated line, and used online.
  • the main control unit 110 does not perform overall control, or the main control unit 1
  • control unit including a process controller, a user interface, and a storage unit is individually provided for each device of the processing unit 100 to perform control.
  • the SOD device 101 is used for applying a chemical solution to the wafer W to form an interlayer insulating film such as a low-k film, an etching stagger film, or the like by a spin coating method.
  • the SOD apparatus 101 includes a spin coater unit and a heat treatment unit for heat treating the wafer W on which the coating film is formed.
  • a CVD apparatus that forms an insulating film or the like on the wafer W by chemical vapor deposition (CVD) may be used instead of the SOD apparatus 101.
  • the resist coating / developing apparatus 102 is used for forming a resist film, an antireflection film, or the like used as an etching mask. Although the detailed configuration of the resist coating / developing apparatus 102 is not illustrated, the resist coating / developing apparatus 102 includes a resist coating processing unit that applies a resist solution or the like to the wafer W and spin-coats a resist film, and the wafer W.
  • Development processing unit for developing the resist film exposed in step 1 and thermal processing for thermally processing the wafer w on which the resist film is formed, the wafer W that has been subjected to the exposure processing, and the wafer W that has been subjected to the development processing.
  • the exposure device 103 is used for exposing a predetermined circuit pattern to the wafer W on which a resist film is formed.
  • the cleaning processing apparatus 104 performs a cleaning process using pure water or a chemical solution, a modification process such as a polymer residue after the etching process, and a recovery process from damage caused by etching the interlayer insulating film.
  • the etching apparatus 105 is for performing an etching process on an interlayer insulating film or the like formed on the wafer W.
  • the etching process may use plasma or may use a chemical solution.
  • the sputtering apparatus 106 is used, for example, to form a diffusion prevention film or a Cu seed.
  • Cu is embedded in a trench wiring or the like in which a Cu seed is formed, and the CMP apparatus 109 is used for planarizing the surface of the trench wiring or the like in which Cu is embedded.
  • FIG. 3 is a schematic plan view of the cleaning processing apparatus 104
  • FIG. 4 is a schematic front view thereof
  • FIG. 5 is a schematic rear view thereof.
  • the carrier in which the wafer W is accommodated is sequentially loaded from another processing apparatus or the like, and conversely, the carrier in which the wafer W that has been processed in the cleaning processing apparatus 104 is processed is subjected to the next processing.
  • the wafers W are accommodated in a substantially horizontal posture at regular intervals in the vertical direction (Z direction).
  • Such loading / unloading of the wafer W to / from the carrier C is performed through one side surface of the carrier C, and this side surface is the lid 10a (not shown in FIG. 3.
  • the state where the lid 10a is removed in FIGS. 4 and 5) Can be opened and closed freely.
  • carrier station 4 has carrier C at three locations along the Y direction in the figure. It has a mounting table 6 on which can be mounted.
  • the carrier C is placed on the placing table 6 such that the side surface on which the lid 10a is provided faces the boundary wall 8a between the carrier station 4 and the transfer station 3.
  • a window portion 9a is formed at a position corresponding to the place where the carrier C is placed on the boundary wall 8a, and a shirter 10 that opens and closes the window portion 9a is provided on the transfer station 3 side of each window portion 9a.
  • This shirter 10 has a gripping means (not shown) for gripping the lid 10a of the carrier C. As shown in FIG. 4 and FIG. 5, the gripper 10a is gripped on the conveyance station 3 side. The lid 10a can be retracted.
  • the wafer transfer device 7 provided in the transfer station 3 has a wafer transfer pick 7a capable of holding the wafer W.
  • the wafer transfer device 7 is movable in the Y direction along a guide 7b (see FIGS. 4 and 5) provided on the floor of the transfer station 3 so as to extend in the Y direction. Further, the wafer transfer pick 7a is slidable in the X direction, can be moved up and down in the Z direction, and is rotatable ( ⁇ rotation) in the XY plane.
  • the wafer transfer pick 7a is mounted on the mounting table 6 in a state in which the shirter 10 is retracted so that the inside of the carrier C and the transfer station 3 communicate with each other through the window 9a. All the placed carriers C are accessible, and the wafer W at any height in the carrier C can be unloaded from the carrier C. Conversely, the wafer W can be loaded at any position on the carrier C. can do.
  • the processing station 2 has two wafer mounting units (TRS) 13 a and 13 b on the transfer station 3 side.
  • the wafer placement unit (TRS) 13b is used to place the wafer W when receiving the wafer W at the transfer station 3 force
  • the wafer placement unit (TRS) 13a is Used to place the wafer W when the processed wafer W is returned to the transfer station 3.
  • the polymer residue after the etching process, the resist film, the sacrificial film, and the like are solubilized in a predetermined chemical solution with a gas containing water vapor and ozone (O 2).
  • Modification processing units (VOS) 15a to 15f for modification are arranged as described above.
  • VOS Modification processing units
  • the shape of the polymer residue after etching and the resist film, sacrificial film, etc. are maintained as they are, and only their chemical properties are solubilized in a predetermined chemical solution. Change.
  • a silylation unit (for silylation treatment for recovering the interlayer insulating film damaged by the modification treatment and the cleaning treatment from damage) SCH) 11a, 1 lb is provided!
  • a cleaning unit (VOS) 15a to 15f is a cleaning unit that removes the modified polymer residues and the like by subjecting the wafer W, which has been processed, to chemical treatment or water washing treatment.
  • CNU) 12a-12d are arranged! /
  • the silylation process was performed with the silylation units (SCH) 11a and l ib at the positions facing the wafer placement units (TRS) 13a and 13b across the main wafer transfer device 14.
  • Hot plate units (HP) 19a to 19d that heat and dry the wafers W that have been processed in the later beta and cleaning processing units (CNU) 12a to 12d are arranged in four layers.
  • cooling plate units (COU 21a, 21b) for cooling the heat-dried wafer W are stacked on the upper side of the wafer mounting unit (TRS) 13a. 13b can be used as a cooling plate unit, and a fan filter unit (FFU) 25 for blowing clean air inside the processing station 2 is provided above the processing station 2.
  • FFU fan filter unit
  • a main wafer transfer device 14 that transfers the wafer W in the processing station 2 is provided at a substantially central portion of the processing station 2.
  • the main wafer transfer device 14 has a wafer transfer arm 14a for transferring the wafer W.
  • the main wafer transfer device 14 is rotatable around the Z axis. Further, the wafer transfer arm 14a can move back and forth in the horizontal direction and can move up and down in the Z direction. With this structure, the main wafer transfer device 14 can access each unit provided in the processing station 2 without moving itself in the X direction, and transfer the wafer W between these units. I am able to do that.
  • the chemical station 5 includes a processing gas supply unit 16 for supplying ozone, water vapor and the like as processing gases to the modification processing units (VOS) 15a to 15f provided in the processing station 2, and a cleaning unit (CNU) 12a.
  • the cleaning liquid supply unit 17 supplies the cleaning liquid to ⁇ 12d
  • the silylating agent supply unit 18 supplies silylating agent, carrier gas, and the like to the silylation processing units (SCH) 11a and ib.
  • This denaturation processing unit (VOS) 15a has a closed chamber 30 that accommodates the wafer W.
  • the chamber 30 is composed of a fixed lower container 41a and a lid 41b that covers the upper surface of the lower container 41a.
  • the lid 4 lb can be moved up and down by a cylinder 43 fixed to the frame 42 of the membrane modification processing unit (VOS) 15a.
  • FIG. 6 shows a state in which the lid 41b is in close contact with the lower container 41a, and a state in which the lid 41b is retracted above the lower container 41a.
  • An O-ring 51 is disposed on the upper surface of the rising portion at the periphery of the lower container 41a.
  • the lid 43b is lowered by driving the cylinder 43, the back surface periphery of the cover body 41b comes into contact with the upper surface of the rising portion of the periphery of the lower container 41a, and the O-ring 51 is compressed and sealed in the chamber 30.
  • a processing space is formed.
  • the lower container 41a is provided with a stage 33 on which the wafer W is placed.
  • Proximity pins 44 for supporting the wafer W are provided at a plurality of locations on the surface of the stage 33.
  • a heater 45a is embedded in the stage 33, and a heater 45b is embedded in the lid 41b, respectively, so that the stage 33 and the lid 41b can be held at predetermined temperatures respectively. The As a result, the temperature of the wafer W is kept constant.
  • claw members 46 for holding the wafer W are provided, for example, at three locations (only two locations are shown in FIG. 6).
  • Wafer transfer arm 14a delivers wafer W to claw member 46.
  • the wafer W is transferred to the proximity pins 44 provided on the stage 33 during the lowering.
  • the lower container 41a is provided with a gas inlet 34a for introducing a processing gas into the interior and a gas outlet 34b for exhausting the processing gas to the outside.
  • the processing gas supply device 16 is connected to the gas inlet 34a, and the exhaust device 32 is connected to the gas outlet 34b.
  • the processing of the wafer W with the processing gas is preferably performed while the inside of the chamber 30 is maintained at a constant positive pressure.
  • the lower container 41a and the lid 41b are pressed by the cylinder 43.
  • the projections 47a and 47b provided on these end faces are simply tightened by the lock mechanism 35.
  • the lock mechanism 35 includes a support shaft 52 and a rotating cylinder that is rotatable by a rotating device 54.
  • the clamping member 57 includes pressing rollers 59a and 59b and a roller holding member 48 that holds the rotating shaft 58.
  • the projecting portions 47a, 47b are provided at four force points at equal intervals, and a gap portion 49 is formed between them.
  • the protrusions 47a and 47b are disposed at overlapping positions. In a state where the clamping member 57 is disposed at the position of the gap portion 49, the force S can move the lid 41b up and down freely.
  • the silylation unit (SCH) 11a includes a chamber 61 that accommodates a wafer W.
  • the chamber 61 includes a fixed lower container 61a and a lid 61b that covers the lower container 61a. It can be raised and lowered by a lifting device (not shown).
  • the lower container 61a is provided with a hot plate 62, and the surrounding force of the hot plate 62 and nitrogen gas containing a silylating agent such as DMSD MA (Dimethylsilyldimethylamine) vapor are supplied into the chamber 61. Yes. DMSDMA is vaporized by vaporizer 63 and carrier into N gas
  • the temperature of the hot plate 62 can be adjusted, for example, in the range of room temperature to 400 ° C., and pins 64 that support the wafer W are provided on the surface thereof. By not placing the wafer W directly on the hot plate 62, contamination of the back surface of the wafer W is prevented.
  • a first seal ring 65 is provided on the upper surface of the outer periphery of the lower container 61a, and the lower surface of the outer periphery of the lid 61b contacts the first seal ring 65 when the lid 61b is pressed against the lower container 61a.
  • a second scenario 66 is provided. The space between these first and second seal rings 65, 66 is Depressurization is possible, and the airtightness of the chamber 61 is ensured by depressurizing this space.
  • An exhaust port 67 for exhausting nitrogen gas containing DMSDMA supplied to the chamber 61 is provided in the substantially central portion of the lid 61b. The exhaust port 67 is evacuated via a pressure adjusting device 68. Connecte
  • liquid DMSDMA gas is vaporized by the vaporizer 63, and N gas is used.
  • the force S supplied as a carrier and supplied to the chamber 61 may be configured to supply the chamber 61 with only the gas S (ie, DMSDMA vapor) vaporized from the DMSDMA.
  • the chamber 61 When supplying DMSDMA into the chamber 61, the chamber 61 is maintained at a predetermined degree of vacuum. Therefore, the DMSDMA gas is introduced into the chamber 61 using the pressure difference between the vaporizer 63 and the chamber 61. Can be done easily.
  • the cleaning unit (CNU) 12a has an annular cup (CP) disposed at the center thereof, and a spin chuck 71 disposed inside the cup (CP).
  • the spin chuck 71 is rotationally driven by a drive motor 72 in a state where the wafer W is fixed and held by vacuum suction.
  • a drain pipe 73 is provided at the bottom of the cup (CP) to discharge cleaning liquid and pure water.
  • the drive motor 72 is disposed in an opening 74a provided in the unit bottom plate 74 so as to be movable up and down, and is coupled to a lift drive mechanism 76 and a lift guide 77 made of, for example, an air cylinder via a cap-shaped flange member 75.
  • a lift drive mechanism 76 and a lift guide 77 made of, for example, an air cylinder via a cap-shaped flange member 75.
  • a cylindrical cooling jacket 78 is attached to the side surface of the drive motor 72, and the flange member 75 is attached so as to cover the upper half of the cooling jacket 78.
  • the elevating drive mechanism 76 lifts the drive motor 72 and the spin chuck 71 upward so that the lower end of the flange member 75 is moved from the unit bottom plate 74. It ’s floating.
  • a denaturing treatment unit (VOS) 15a to 15f Above the cup (CP), a denaturing treatment unit (VOS) 15a to 15f; A cleaning liquid supply mechanism 80 for supplying a predetermined cleaning liquid for dissolving the denatured substance is provided on the surface of the wafer W on which the denatured substance (hereinafter referred to as a denatured substance), for example, a denatured sacrificial film is present.
  • a denatured substance for example, a denatured sacrificial film is present.
  • the cleaning liquid supply mechanism 80 includes a cleaning liquid discharge nozzle 81 that discharges the cleaning liquid onto the surface of the wafer W held by the spin chuck 71, a cleaning liquid supply unit 17 that supplies a predetermined cleaning liquid to the cleaning liquid discharge nozzle 81, and a cleaning liquid. It is attached to a scanarm 82 that holds the discharge nozzle 81 and is movable back and forth in the Y direction, a vertical support member 85 that supports the scan arm 82, and a guide rail 84 that is laid in the X-axis direction on the unit bottom plate 74. And an X-axis drive mechanism 86 that moves the vertical support member 85 in the X-axis direction.
  • the scan arm 82 can be moved in the vertical direction (Z direction) by the Z-axis drive mechanism 87. This allows the cleaning liquid discharge nozzle 81 to be moved to an arbitrary position on the wafer W, and also to move to a predetermined position outside the cup (CP). It can be retracted to the position.
  • the cleaning liquid supply unit 17 includes, as a rinsing liquid, a dissolution removal liquid such as dilute hydrofluoric acid and an amine chemical liquid that dissolves a denatured substance such as a sacrificial film modified by a denaturation treatment unit (VOS) 15a to 15f.
  • a dissolution removal liquid such as dilute hydrofluoric acid
  • an amine chemical liquid that dissolves a denatured substance such as a sacrificial film modified by a denaturation treatment unit (VOS) 15a to 15f.
  • VOS denaturation treatment unit
  • the hot plate unit (HP) 19a used for the beta treatment after the silylation treatment will be described in detail with reference to the schematic cross-sectional view shown in FIG.
  • the hot plate units (HP) 19b to 19d have exactly the same structure.
  • the hot plate unit (HP) 19a includes a processing chamber 91 formed in a substantially cylindrical shape, and a wafer mounting table 92 is provided at the bottom of the processing chamber 91.
  • a heater 93 is embedded in the wafer mounting table 92, whereby the wafer W on the wafer mounting table 92 is subjected to heat treatment such as beta treatment after silylation.
  • a heater power supply 94 is connected to the heater 93.
  • a wafer lift pin (not shown) is provided on the wafer mounting table 93 so as to protrude and retract, and the wafer W is positioned at a predetermined position above the wafer mounting table 92 when the wafer W is loaded and unloaded.
  • a wafer loading / unloading port (not shown) is provided on the side wall 91a of the chamber 91.
  • An air inlet 95 is provided at a position corresponding to the wafer W placed on the stage 92 on the side wall 91a of the chamber 91, and an air outlet 96 is provided at the center of the top wall 91b of the chamber 91. But Is provided.
  • the modification unit (VOS) 15a to 15c and the modification unit (VOS) 15d to 15f described above have a substantially symmetrical structure with respect to the boundary wall 22b, and the silylation unit (SCH) 1 la and the silylation unit (SCH) 1 lb have a nearly symmetrical structure on the boundary wall 22b.
  • the cleaning units (CNU) 12a, 12b and the cleaning units (CNU) 12c, 12d are connected to the boundary wall 22a!
  • FIG. 10 is a flowchart showing the manufacturing process of the semiconductor device by the dual damascene method
  • FIG. 11 is an explanatory diagram for explaining the state of each process shown in the flowchart of FIG.
  • an insulating film 120 is formed on a Si substrate (not shown), and a lower copper wiring 122 is formed above the insulating film 120 via a barrier metal layer 121.
  • the insulating film 120 and the lower copper wiring 122 A wafer W having a stopper film (for example, SiN film, SiC film) 123 formed thereon is formed, and this wafer W is loaded into the SOD device 101, where a low dielectric constant material (A), An interlayer insulating film (hereinafter referred to as a low-k film) 124 made of a low-k material is formed (step 1). As a result, the state shown in FIG. 11A is formed.
  • the wafer W on which the low-k film 124 is formed is carried into the resist coating / developing apparatus 102, where the anti-reflection film 125,
  • the resist film 126 is sequentially formed, and then the wafer W is transported to the exposure apparatus 103, where it is subjected to exposure processing in a predetermined pattern, and the wafer W is returned to the resist coating / developing apparatus 102, in the development processing unit.
  • a predetermined circuit pattern is formed on the resist film 126 by developing the resist film 126 (step 2).
  • the wafer is transferred to the etching apparatus 105, where etching is performed (step 3).
  • a via hole 124a reaching the stopper film 123 is formed in the low-k film 124.
  • the wafer W on which the via hole 124a has been formed is then transferred to the cleaning processing apparatus 104, where it is subjected to chemical processing in any of the cleaning processing units (CNU) 12a to 12d, and the wafer is processed.
  • the resist film 126 and the antireflection film 125 are removed from W (step 4, FIG. 11 (c)).
  • the wafer W is transferred to the resist coating / developing apparatus 102, where an inorganic material (for example, Si) is formed on the surface of the low-k film 124 having the via hole 124a using a sacrificial film coating processing unit.
  • an inorganic material for example, Si
  • Sacrificial film 127 made of an O-based material is formed (step 5).
  • the via hole 124 a is also filled with the sacrificial film 127.
  • a resist film 128 serving as an etching mask is formed on the surface of the sacrificial film 127 in the resist coating processing unit, the resist film 128 is exposed in a predetermined pattern in the exposure device 103, and then the resist film 128 is developed in the development processing unit.
  • Develop (Step 6).
  • a circuit pattern is formed on the resist film 128 as shown in FIG.
  • a groove wider than the width of the via hole 124a is formed in the resist film 128 above the via hole 124a.
  • the wafer W is transferred to the etching apparatus 105, where the low-k film 124 of the wafer W is subjected to an etching process (step 7).
  • a wider trench 124b is formed above the via hornore 124a.
  • the wafer W that has been subjected to the etching process is transferred to the cleaning processing apparatus 104, where the sacrificial film 127 and the resist film 128 are modified (step 8, FIG. 11 (f)), the sacrificial film 127, and the resist film. 128 and the polymer residue are removed (step 9, FIG. 11 (g)).
  • the carrier C containing the wafer after the etching process is placed on the placing table 6, and the lid 10a and the shirter 10 of the carrier C are retracted to the transport station 3 side. As a result, the window 9a is opened. Subsequently, one wafer W at a predetermined position of the carrier C is transferred to the wafer placement unit (TRS) 13b by the wafer transfer pick 7a.
  • TRS wafer placement unit
  • the wafer placed on the wafer placement unit (TRS) 13b is carried into one of the modification processing units (VOS) 15a to 15h by the wafer transfer arm 14a, and the sacrificial film in the above step 8
  • the modification process of 127 and the resist film 128 is performed ((f) in FIG. 11).
  • the lid 41b of the chamber 30 is first withdrawn above the lower container 41a, and then the portion of the claw member 46 provided on the lid 41b for holding the wafer W (horizontal direction) The wafer W so that the wafer W enters a position slightly higher than The held wafer transfer arm 14a is entered. Next, when the wafer transfer arm 14a is lowered, the wafer W is delivered to the claw member 46.
  • the lid 41b After retracting the wafer transfer arm 14a from the modification processing unit (VOS) 15a, the lid 41b is lowered, the lid 41b is brought into close contact with the lower container 41a, and the lock mechanism 35 is operated. Chamber 30 is sealed. The wafer W is transferred from the claw member 46 to the proximity pin 44 while the lid 41b is being lowered.
  • VOS modification processing unit
  • the stage 33 and the lid 41b are held at a predetermined temperature by the heaters 45a and 45b.
  • the stage 33 is held at 100 ° C
  • the lid 41b is held at 110 ° C.
  • stage 33 and the lid 41b are maintained at a predetermined temperature (for example, 110 ° C to 120 ° C) and the temperature distribution of the wafer W becomes substantially constant, first, the processing gas supply device 16 To supply ozone / nitrogen mixed gas (for example, ozone content is 9wt%, flow rate is 4L / min) into chamber 30, chamber 30 is filled with ozone / nitrogen mixed gas, and Then, a predetermined positive pressure, for example, a gauge pressure is adjusted to 0.2 MPa.
  • ozone / nitrogen mixed gas for example, ozone content is 9wt%, flow rate is 4L / min
  • a processing gas in which water vapor is mixed with ozone / nitrogen mixed gas (for example, the amount of water vapor is 16 ml / min in terms of water) is supplied from the processing gas supply device 16 into the chamber 30.
  • the sacrificial film 127 formed on the wafer W by this processing gas is denatured to be easily dissolved in a specific chemical solution, for example, HF, and polymer residues (for example, etching process) adhering to the resist film 128 and the wafer W are modified. Later polymer residues) are also easily dissolved by the chemical solution.
  • the processing gas modifies the sacrificial film 127, the resist film, and the polymer residue.
  • the supply amount of the processing gas to the chamber 30 and the exhaust amount from the chamber 30 are adjusted so that the inside of the chamber 30 has a predetermined positive pressure.
  • the internal pressure of the chamber 30 is the same as the external pressure. This is the case when the internal pressure of chamber 30 is higher than atmospheric pressure. This is because opening the yamba 30 may damage the chamber 30.
  • the locking of the lower container 41a and the lid 41b by the lock mechanism 35 is released, and the lid 4 lb is raised.
  • the lid 41b is raised, the wafer W is held by the claw member 46 and rises together with the lid 41b. Wafer transfer arm 14a is moved into the gap between lower container 41a and lid 41b, and wafer W is transferred from claw member 46 to wafer transfer arm 14a.
  • the sacrificial film 127 and the like are not removed from the wafer W at the time when the modification treatment in any of the modification processing units (VOS) 15a to 15f is completed. Therefore, a dissolution removal process (cleaning process) for removing the sacrificial film 127 and the like from the wafer W is performed (step 9 above).
  • the wafer W is transported onto one spin chuck 71 of the cleaning units (CNU) 12a to 12d, and is adsorbed and held in a substantially horizontal posture.
  • a chemical solution in which a denatured substance such as a sacrificial film 127 can be dissolved is supplied from the cleaning liquid discharge nozzle 81 to the surface of the wafer W to form a paddle, and after a predetermined time has elapsed, the wafer W is rotated to start the chemical from the surface of the wafer W. Shake off. Further, while rotating the wafer W, a chemical solution is supplied to the surface of the wafer W to completely remove the sacrificial film 127 and the like.
  • the resist film 128 and polymer residue are also dissolved and removed by the chemical solution used to remove the sacrificial film 127 and the like.
  • pure water is supplied to the wafer W while the wafer W is rotated by the drive motor 72, the wafer W is washed with water, and the wafer W is rotated at a high speed to perform spin drying.
  • the wafer W may be spin-dried while supplying a drying gas to the wafer W.
  • a damaged portion 130 as shown in FIG. 11G is formed on the surface portion of the low-k film 124.
  • the damaged portion 130 is a portion where the low-k film 124, which was initially hydrophobic, was damaged and hydrophilized by the dissolution and removal treatment of step 9, and the relative dielectric constant of the low-k film 124 was increased.
  • the parasitic capacitance between the wirings increases after the wirings are formed, resulting in problems in electrical characteristics such as signal delay and deterioration in insulation between the trench wirings.
  • the damaged part 130 formed in the low-k film 124 is clearly shown for convenience. The boundary between force S, damaged part 130 and non-damaged part is not always clear.
  • the silylation process is performed after the dissolution removal process in Step 9 (Step 10, (h) in FIG. 11), and the damage of the damaged portion 130 of the low-k film 124 is recovered. .
  • such a damaged portion has a low-k film 124 whose end group is a methyl group (Me) and is hydrophobic during the modification treatment and dissolution removal treatment with water vapor and ozone.
  • This is the part where the methyl group near the side wall of the via hole 124a has decreased due to the reaction with water molecules and the hydroxyl group has increased, which increases the relative dielectric constant (k value). For this reason, it is possible to recover the damage by applying a silinolation treatment to make the surface of the low-k membrane hydrophobic.
  • the wafer W is transferred to one of the silylation units (SCH) 11a and ib and placed on the support pins 64 on the hot plate 62, and a silylating agent, for example, DMSDMA vapor is supplied.
  • a silylating agent for example, DMSDMA vapor is supplied.
  • N gas is introduced into the chamber 61 as a carrier.
  • the temperature of the vaporizer 63 is from room temperature to 50 ° C
  • the flow rate of the silylating agent is from 0 ⁇ 6 to ⁇ ⁇ Og / min
  • the flow rate of N gas (purge gas) is ;! ⁇ 10L / m
  • the processing pressure is 532 to 95976? & (4 to 720 0 ), and the temperature of the hot plate 62 can be set as appropriate from the range of room temperature to 200 ° C.
  • the temperature of the hot plate 62 is set to 100 ° C.
  • a method may be used in which the pressure is supplied until the pressure reaches 55 Torr and the pressure is maintained, for example, held for 3 minutes and then processed.
  • the silylation reaction using DMSDMA is represented by the following formula 1.
  • the silylating agent is not limited to the above DMSDMA, but can be used without limitation as long as it is a substance that causes a silylation reaction S, and has a silazane bond (Si-N bond) in the molecule.
  • silazane bond Si-N bond
  • those having a relatively small molecular structure for example, those having a molecular weight of 260 or less are preferred, and those having a molecular weight of 170 or less are more preferred.
  • DM SDMA HMDS (Hexamethyldisilazane)
  • TMSDMA Dimethylaminotrimet nylsilane
  • TMD3 ⁇ 4 1,3,3-Tetrametnyldisilazane
  • TMSpyrole 1-Trimethylsilylp yrole
  • BSTFA N 0-Bis (trimethylsilyl) trifluoroacetamide
  • BDMADMS Bis (dim
  • TMDS 1,3,3-Tetrametnyldisilazane, 1 SuMA (Dimethylaminotrimethylsilane), and DMSDMA (Dimethylsilyldimethylamine) are preferred.
  • TMDS 1,3,3-Tetrametnyldisilazane, 1 SuMA (Dimethylaminotrimethylsilane), and DMSDMA (Dimethylsilyldimethylamine) are preferred.
  • the chemical structures are shown below.
  • beta processing is performed on the wafer W after such silylation processing by! / Of the hot plate units (HP) 19a to 19d! (Step 11, Fig. 11 (i)).
  • wafer W is loaded from a wafer loading / unloading port (not shown) provided on the side wall 91a of the chamber 91. Then, the wafer W is mounted on the mounting table 92, and the wafer W on the mounting table 92 is heated by supplying power to the heater 93.
  • the heating temperature at this time is preferably higher than the temperature during the silylation treatment because the Si-based by-product needs to be decomposed. Specifically, 150-400 ° C is preferred. 300-360 ° C force S-layer is preferred.
  • such a beta treatment can be performed using silylation units 11a and ib.
  • the wafer W after the beta processing is carried out in this manner is unloaded from the hot plate unit (HP) by the transfer arm 14a and mounted on the wafer mounting unit (TRS) 13a. 7 is accommodated in the carrier C and carried out of the cleaning processing apparatus 104.
  • the wafer W is transferred to the sputtering apparatus 106, where a barrier metal film and a Cu seed layer (that is, a seed layer) are formed on the inner walls of the via hole 124a and the trench 124b, and then the wafer W is electrolyzed. It is transferred to the plating apparatus 107, where copper 131 is embedded as a wiring metal in the via hole 124a and the trench 124b by electrolytic plating (step 12 in FIG. 11). Thereafter, annealing of the copper 131 embedded in the via 124a and the trench 1 24b is performed by heat-treating the wafer W (an annealing apparatus is not shown in FIG. 1). Further, the wafer W is transferred to the CMP apparatus 109, where planarization processing by CMP is performed (step 13). Thereby, a desired semiconductor device is manufactured.
  • a barrier metal film and a Cu seed layer that is, a seed layer
  • the sacrificial film 127 and the like are denatured so as to be solubilized in a predetermined chemical solution, and then the denatured substance is dissolved and removed using such a chemical solution.
  • the damage given to the low-k film 124 is recovered by silylation before the dissolution and removal process, and then further beta treatment is performed.
  • the Si-based by-product that hinders the recovery of the formed k value can be decomposed, and the k value of the low-k film 124 can be sufficiently recovered.
  • the treatment of water vapor and ozone in the modification processing unit may damage the low-k film 124 on which the pattern is formed. Since there is a possibility that pattern peeling may occur when the dissolution removal process is performed using silane, a silylation process may be performed prior to the dissolution removal process to recover such damage to the low-k film 124. Good. In this case, the silylation treatment can be carried out in exactly the same procedure in any of the silylation units 11a and ib as in the silylation treatment after the dissolution removal treatment.
  • a pre-beta treatment may be performed prior to the silylation treatment after the dissolution removal treatment! This heating can remove the water remaining on the wafer W and increase the effect of the silylation treatment.
  • the heating temperature is preferably 200 ° C. or lower. Also, 50 ° C or higher is preferable for effective water removal.
  • This pre-beta treatment may be performed by the hot plate units (HP) 19a to 19d, or may be performed by the silino ray unit 1 la, ib.
  • VOS Denaturation treatment
  • the k value was recovered by the silylation treatment, the force S was found to decrease the leakage current, and then the k value was restored by the beta treatment.
  • the k value recovered by about 0.3 compared to the case of only the silylation treatment.
  • the degas of the substance having a molecular weight of 75 is large, but it can be seen that the degas decreases when the beta treatment is performed, particularly when the beta treatment is performed at 350 ° C.
  • a substance with a molecular weight of 75 is considered to be a Si-based byproduct, and the recovery of the k value due to the beta treatment is presumed to be due to a decrease in this Si-based byproduct.
  • the water content decreased slightly due to the beta treatment, and it is speculated that the decrease in water content contributed somewhat to the recovery of k value!
  • the modification treatment of the sacrificial film or the like is performed using a mixed gas of water vapor and ozone, but the treatment may be performed using only ozone without using water vapor.
  • the reactivity is lower than with water vapor + ozone, but with subsequent chemicals
  • a sacrificial film or the like modified by dissolution and removal treatment can be sufficiently dissolved.
  • the low-k film that can recover damage by silylation treatment is not particularly limited, but porous MSQ that is an SOD film can be used.
  • a SiOC film which is one of inorganic insulating films formed by CVD, can be targeted. This is because a methyl group (one CH 3) is introduced into the Si—O bond of the conventional SiO film, and Si—
  • the SiOC film may be porous. Further, the MSQ insulating film is not limited to a porous film, and may be dense.
  • the force S shown for the example in which the present invention is applied to the manufacturing process of the semiconductor device including the copper wiring by the dual damascene method is not limited thereto, and there is a concern about deterioration of the etching target film. Any treatment that has a removal substance to be denatured is applicable.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Description

明 細 書
基板処理方法および記憶媒体
技術分野
[0001] 本発明は、例えば、デュアルダマシン法等による半導体装置の製造過程において 所定の物質を変性させるための変性処理および変性物質の溶解除去処理を行う基 板処理方法およびそのような方法を実行するためのプログラムを記憶した記憶媒体 に関する。
背景技術
[0002] 半導体デバイスにおレ、ては、微細化による配線間隔の減少力 配線間に大きな容 量を発生させ、信号の伝播速度を低下させることにより動作速度の遅延を招く。この 問題を解決するため、比誘電率 (k値)の低!/、絶縁材料 (Low— k材料)の開発ならび にそれを用いた多層配線の開発がなされている。一方、配線材料として、低抵抗か っ高レ、エレクト口マイグレーション耐性を有する銅が注目されており、銅の溝配線や 接続孔の形成には、シングルダマシン法やデュアルダマシン法が多用されて!/、る。
[0003] 図 1は、デュアルダマシン法により多層銅配線を形成する一連の工程を説明するた めの説明図である。まず、シリコン基板(図示せず)の上の Low— k材料からなる絶縁 膜である低誘電率膜 (Low— k膜) 200に、ノ リアメタル層 201を介して銅からなる下 部配線 202を形成し、エッチングストッパ膜 203を介して層間絶縁膜として Low— k 膜 204を形成し、さらにその表面に反射防止膜(BARC ; Bottom Anti-Reflective Cor ting) 205を形成した後に反射防止膜 205の表面にレジスト膜 206を形成し、次いで レジスト膜 206を所定のパターンで露光し、これを現像することによって、レジスト膜 2 06に回路パターンを形成する(工程 (a) )。
[0004] 次いで、レジスト膜 206をマスクとして Low— k膜 204をエッチングし、ビアホーノレ 20 4aを形成する(工程 (b) )。反射防止膜 205とレジスト膜 206を、薬液処理やアツシン グ処理等によって除去した後に、ビアホール 204aを有する絶縁膜 204の表面に犠 牲膜 207を形成する(工程 (c) )。このときビアホール 204aも犠牲膜 207によって埋 められる。 [0005] 犠牲膜 207の表面にレジスト膜 208を形成して、レジスト膜 208を所定のパターン で露光し、これを現像することによって、レジスト膜 208に回路パターンを形成する( 工程(d) )。その後、レジスト膜 208をマスクとして犠牲膜 207および Low— k膜 204 をエッチング処理することによって、ビアホール 204aの上により幅の広いトレンチ 20 4bが形成される(工程 (e) )。その後、レジスト膜 208と犠牲膜 207を除去することによ つて、絶縁膜 204にビアホール 204aとトレンチ 204bが形成される(工程(f) )。そして 、これらの中に上部配線として銅が埋め込まれる。
[0006] ところで、犠牲膜 207としては Si— O系の無機材料が多用されており、従来のレジス ト膜除去に用いられているアツシング処理では犠牲膜 207を除去することが困難であ る。また、薬液で溶解することも試みられている力 極めて処理速度が遅くなつてしま
5。
[0007] このような犠牲膜を除去する技術として、水蒸気とオゾンを含む処理ガスにより犠牲 膜を所定の薬液に可溶に変性させ、その後、その薬液により犠牲膜を除去する技術 が提案されている(特開 2004— 214388号公報)。
[0008] しかしながら、このような水蒸気とオゾンを含む処理ガスによって液可溶化処理を行 い、その後に薬液による洗浄処理を行うと、 Low— k材料にダメージが生じて比誘電 率が上昇してしまい、層間絶縁膜として Low— k材料を用いた効果が低減してしまう ことが懸念される。
[0009] 一方、このようなダメージを回復させる技術として、特開 2006— 049798号公報に は、エッチングやレジスト膜除去後に、ダメージを受けた部分の表面をシリル化剤で 改質してメチル基等のアルキル基を末端基とするシリル化処理が提案されており、上 記洗浄処理後や変性処理後のダメージを回復させる際にもこの技術を適用すること が考えられる。
[0010] しかしながら、このような洗浄処理や変性処理の後にシリル化処理を行っても、 k値 の回復の程度が十分とは言えない場合がある。
発明の開示
[0011] 本発明の目的は、変性処理およびその後の溶解処理によるダメージにより低誘電 率膜の k値が上昇した場合にも十分に k値を回復させることができる基板処理方法を 提供することにある。
また他の目的は、そのような製造方法を実行するプログラムが記憶された記憶媒体 を提供することにある。
[0012] 本発明の第 1の観点によれば、基板上に形成された低誘電率膜をエッチング処理 して所定パターンを形成することと、前記エッチング処理を終了した後に残存する物 質を所定の液に対して可溶化するように変性させることと、前記所定の液を供給して 前記変性された物質を溶解除去することと、次いで、前記変性された物質の溶解除 去後の低誘電率膜の表面にシリル化剤を供給してシリル化処理することと、前記シリ ル化処理の後、基板をベータすることとを有する基板処理方法が提供される。
[0013] 本発明の第 2の観点によれば、基板上に形成された低誘電率膜の上に犠牲膜を形 成することと、前記犠牲膜の上にエッチングマスクを形成し、前記犠牲膜と前記低誘 電率膜をエッチングして所定パターンを形成することと、前記犠牲膜と前記エツチン グマスクを所定の液に可溶化するように変性させることと、前記所定の液を供給して 前記変性された物質を溶解除去することと、次いで、前記変性された物質の溶解除 去後の低誘電率膜の表面にシリル化剤を供給してシリル化処理することと、前記シリ ル化処理の後、基板をベータすることとを有する基板処理方法が提供される。
[0014] 上記第 1、第 2の観点において、前記残存する物質を変性させた後、前記変性され た物質を溶解除去する前に、前記パターンが形成された低誘電率膜の表面をシリノレ 化処理する工程をさらに有するようにすること力 Sできる。また、前記低誘電率膜として は、ポーラス低誘電率材料が好適である。前記低誘電率膜としては、アルキル基を 末端基として有するものを用いることができる。
[0015] さらに、前記残存する物質の変性は、水蒸気とオゾンを含む処理ガスを供給して行 うこと力 Sできる。また、前記残存する物質の変性は、オゾンを含む処理ガスを供給して 行うこともできる。前記所定の液としては、酸性またはアルカリ性薬液を用いることが できる。
[0016] さらにまた、前記シリル化処理を行うためのシリル化剤としては、分子内にシラザン 結合(Si— N)を有する化合物を用いることができ、前記分子内にシラザン結合を有 する化合物として、 TMDS (1,1,3 , 3-Tetramethyldisilazane)、 TMSDMA(Dimethyla minotrimethylsilane)、および DMSDMA (Dimethylsilyldimethylamine)力、ら選択され たものを用いることができる。
[0017] さらにまた、前記基板のベータは、前記シリル化処理する工程よりも高い温度で行う こと力 S好ましく、具体的には 150〜400°Cで行われることが好ましい。さらにまた、前 記シリル化処理に先立ってベータ処理を行ってもよい。
[0018] 本発明の第 3の観点によれば、被エッチング膜を有し、エッチング処理により被エツ チング膜に所定パターンが形成され、エッチング処理後に残存する物質が所定の液 に対して可溶化するように変性され、さらに前記所定の液により前記変性された物質 が溶解除去された基板に対し、被エッチング膜の表面にシリル化剤を供給してシリル 化処理することと、前記シリル化処理の後、基板をベータすることとを有する基板処理 方法が提供される。
[0019] 本発明の第 4の観点によれば、コンピュータ上で動作し、基板処理装置を制御する ためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、基 板上に形成された低誘電率膜をエッチング処理して所定パターンを形成することと、 前記エッチング処理を終了した後に残存する物質を所定の液に対して可溶化するよ うに変性させることと、前記所定の液を供給して前記変性された物質を溶解除去する ことと、次いで、前記変性された物質の溶解除去後の低誘電率膜の表面にシリル化 剤を供給してシリル化処理することと、前記シリル化処理の後、基板をベータすること とを有する基板処理方法が行われるように、コンピュータに前記基板処理装置を制御 させる記憶媒体が提供される。
[0020] 本発明の第 5の観点によれば、コンピュータ上で動作し、基板処理装置を制御する ためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、犠 牲膜の上にエッチングマスクを形成し、前記犠牲膜と前記低誘電率膜をエッチングし て所定パターンを形成することと、前記犠牲膜と前記エッチングマスクを所定の液に 可溶化するように変性させることと、前記所定の液を供給して前記変性された物質を 溶解除去することと、次いで、前記変性された物質の溶解除去後の低誘電率膜の表 面にシリル化剤を供給してシリル化処理することと、前記シリル化処理の後、基板を ベータすることとを有する基板処理方法が行われるように、コンピュータに前記基板 処理装置を制御させる記憶媒体が提供される。
[0021] 本発明の第 6の観点によれば、コンピュータ上で動作し、基板処理装置を制御する ためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、被 エッチング膜を有し、エッチング処理により被エッチング膜に所定パターンが形成さ れ、エッチング処理後に残存する物質が所定の液に対して可溶化するように変性さ れ、さらに前記所定の液により前記変性された物質が溶解除去された基板に対し、 被エッチング膜の表面にシリル化剤を供給してシリル化処理することと、前記シリル化 処理の後、基板をベータすることとを有する基板処理方法が行われるように、コンビュ ータに前記基板処理装置を制御させる記憶媒体が提供される。
[0022] 本発明によれば、変性処理後の溶解処理の後にシリル化処理し、その後基板をべ ークするので、ダメージを受けて比誘電率 (k値)が低下した低誘電率膜について、そ の k値を十分に回復させることができる。すなわち、溶解処理の後は、低誘電率膜に は水分が含まれており、その水分とシリル化剤とが反応することにより Si系副生成物 が形成される。この Si系副生成物はそれ自体の k値が高いため、シリル化処理により メチル基等のアルキル基を末端基としてダメージを回復させても、結果的に k値が十 分に低下しないのである。特に、ポーラス低誘電率膜の場合には、気孔中に水分が 多量に含まれ、内部にこのような Si系副生成物が入り込むため、このようなことが生じ やすい。そこで、本発明では、ベータ処理により、このような Si系副生成物を分解除 去する。これにより、 k値を上昇させる Si系副生成物が低誘電率膜中に存在しなくなり 、低誘電率膜の k値を十分に回復させることができる。
図面の簡単な説明
[0023] [図 1]従来のデュアルダマシン法により多層銅配線を形成する一連の工程を説明す るための説明図。
[図 2]本発明の一実施形態に基板処理方法が適用される、デュアルダマシン法によ る半導体装置の製造プロセスに用いられるウェハ処理システムの概略構成を示す説 明図。
[図 3]図 2のウェハ処理システムに用いられる洗浄処理装置の概略構造を示す平面 図。 [図 4]図 2のウェハ処理システムに用いられる洗浄処理装置の概略構造を示す正面 図。
[図 5]図 2のウェハ処理システムに用いられる洗浄処理装置の概略構造を示す背面 図。
[図 6]洗浄処理装置に搭載された変性処理ユニットを示す概略断面図。
[図 7]洗浄処理装置に搭載されたシリル化ユニットを示す概略断面図。
[図 8]洗浄処理装置に搭載された洗浄ユニットを示す概略断面図。
[図 9]洗浄処理装置に搭載されたホットプレートユニットを示す概略断面図。
[図 10]本発明の一実施形態に基板処理方法が適用される、デュアルダマシン法によ る半導体装置の製造プロセスを示すフローチャート。
[図 11]図 10のフローチャートに示す各工程の状態を説明するための説明図。
[図 12]Low— k膜のダメージおよびシリル化による回復を説明するための図。
発明を実施するための最良の形態
[0024] 以下、添付図面を参照しながら本発明の実施形態について詳細に説明する。ここ では、デュアルダマシン法により半導体装置を製造する際に本発明を適用した例に ついて説明する。
[0025] 図 2は本発明の一実施形態に基板処理方法が適用される、デュアルダマシン法に よる半導体装置の製造プロセスに用いられるウェハ処理システムの概略構成を示す 説明図である。このウェハ処理システムは、 SOD (Spin On Dielectric)装置 101と、レ ジスト塗布/現像装置 102と、露光装置 103と、洗浄処理装置 104と、エッチング装 置 105と、 PVD装置の 1つであるスパッタ装置 106と、電解メツキ装置 107と、研磨装 置としての CMP装置 109とを備えた処理部 100と、プロセスコントローラ 111、ユーザ 一インターフェース 112、記憶部 113を含むメイン制御部 110を備えている。ここで、 処理部 100の SOD装置 101とスパッタ装置 106と電解メツキ装置 107は、成膜装置 である。なお、処理部 100の装置間でウェハ Wを搬送する方法としては、オペレータ による搬送方法や、図示しなレ、搬送装置による搬送方法が用いられる。
[0026] 処理部 100の各装置は、 CPUを備えたプロセスコントローラ 111に接続されて制御 される構成となっている。プロセスコントローラ 111には、工程管理者が処理部 100の 各装置を管理するためにコマンドの入力操作等を行うキーボードや、処理部 100の 各装置の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフ エース 112と、処理部 100で実行される各種処理をプロセスコントローラ 111の制御 にて実現するための制御プログラムや処理条件データ等が記録されたレシピが格納 された記憶部 113とが接続されて!/、る。
[0027] そして、必要に応じて、ユーザーインターフェース 112からの指示等を受けて、任意 のレシピを記憶部 113から呼び出してプロセスコントローラ 111に実行させることで、 プロセスコントローラ 111の制御下で、処理部 100において所望の各種処理が行わ れる。また、前記レシピは、例えば、 CD-ROM,ハードディスク、フレキシブルデイス ク、不揮発性メモリなどの読み出し可能な記憶媒体に格納された状態のものであって もよく、さらに、処理部 100の各装置間、あるいは外部の装置から、例えば専用回線 を介して随時伝送させてオンラインで利用したりすることも可能である。
なお、メイン制御部 110による全体的な制御は行なわず、あるいは、メイン制御部 1
10による全体的な制御と重畳して、処理部 100の各装置毎にプロセスコントローラ、 ユーザーインターフェースおよび記憶部を含む制御部を個別に配備して制御を行な う構成を採用することもできる。
[0028] 上記 SOD装置 101は、ウェハ Wに薬液を塗布して Low— k膜等の層間絶縁膜や エッチングストツバ膜等をスピンコート法により形成するために用いられる。 SOD装置 101の詳細な構成は図示しないが、 SOD装置 101は、スピンコーターユニットと、塗 布膜が形成されたウェハ Wを熱処理する熱処理ユニットを備えて!/、る。ウェハ処理シ ステムでは、 SOD装置 101に代えて、化学気相蒸着法(CVD ; chemical vapor depos ition)によりウェハ Wに絶縁膜等を形成する CVD装置を用いてもよい。
[0029] 上記レジスト塗布/現像装置 102は、エッチングマスクとして用いられるレジスト膜 や反射防止膜等を形成するために用いられる。レジスト塗布/現像装置 102の詳細 な構成は図示しないが、レジスト塗布/現像装置 102は、ウェハ Wにレジスト液等を 塗布してレジスト膜等をスピンコート成膜するレジスト塗布処理ユニットと、ウェハ Wに 反射防止膜 (BARC)を塗布する BARC塗布処理ユニットと、ウェハ Wに犠牲膜(SL AM)を塗布する犠牲膜塗布処理ユニットと、露光装置 103において所定のパターン で露光されたレジスト膜を現像処理する現像処理ユニットと、レジスト膜が成膜された ウェハ wや露光処理されたウェハ W、現像処理が施されたウェハ Wをそれぞれ熱的 に処理する熱的処理ユニット等を有してレ、る。
[0030] 露光装置 103は、レジスト膜が形成されたウェハ Wに所定の回路パターンを露光 するために用いられる。洗浄処理装置 104は、後に詳細に説明するように、純水や薬 液による洗浄処理、エッチング処理後のポリマー残渣等の変性処理、層間絶縁膜の エッチングによるダメージからの回復処理が行われる。
[0031] エッチング装置 105は、ウェハ W上に形成された層間絶縁膜等にエッチング処理 を施すためのものである。エッチング処理は、プラズマを利用するものであってもよく 、薬液を用いるものであってもよい。スパッタ装置 106は、例えば、拡散防止膜や Cu シードを形成するために用いられる。電解メツキ装置 107では Cuシードが形成された 溝配線等に Cuが埋め込まれ、 CMP装置 109は Cuが埋め込まれた溝配線等の表面 の平坦化処理を行うためのものである。
[0032] 次に、本発明にとって重要な役割を果たす洗浄処理装置 104について詳細に説 明する。図 3は洗浄処理装置 104の概略平面図であり、図 4はその概略正面図であり 、図 5はその概略背面図である。洗浄処理装置 104は、ウェハ Wが収容されたキヤリ ァが他の処理装置等から順次搬入され、逆に洗浄処理装置 104における処理の終 了したウェハ Wを収容したキャリアを次の処理を行う処理装置等へ搬出するためのキ ャリアステーション 4と、洗浄処理や変性処理、回復処理をそれぞれ行う複数の処理 ユニットが設けられた処理ステーション 2と、処理ステーション 2とキャリアステーション 4との間でウェハ Wの搬送を行う搬送ステーション 3と、処理ステーション 2で使用する 薬液や純水、ガス等の製造、調製、貯留を行うケミカルステーション 5とを具備してい
[0033] キャリア Cの内部において、ウェハ Wは略水平姿勢で鉛直方向(Z方向)に一定の 間隔で収容されている。このようなキャリア Cに対するウェハ Wの搬入出はキャリア C の一側面を通して行われ、この側面は蓋体 10a (図 3には図示せず。図 4および図 5 に蓋体 10aが取り外された状態を示す)によって開閉自在となっている。
[0034] 図 3に示すように、キャリアステーション 4は、図中 Y方向に沿って 3箇所にキャリア C を載置できる載置台 6を有している。キャリア Cは蓋体 10aが設けられた側面がキヤリ ァステーション 4と搬送ステーション 3との間の境界壁 8a側を向くようにして載置台 6に 載置される。境界壁 8aにおいてキャリア Cの載置場所に対応する位置には窓部 9aが 形成されており、各窓部 9aの搬送ステーション 3側には窓部 9aを開閉するシャツタ 10 が設けられている。このシャツタ 10はキャリア Cの蓋体 10aを把持する把持手段(図示 せず)を有しており、図 4および図 5に示すように、蓋体 10aを把持した状態で搬送ス テーシヨン 3側に、蓋体 10aを退避させることができるようになつている。
[0035] 搬送ステーション 3に設けられたウェハ搬送装置 7はウェハ Wを保持可能なウェハ 搬送ピック 7aを有している。ウェハ搬送装置 7は搬送ステーション 3の床に Y方向に 延在するように設けられたガイド(図 4および図 5参照) 7bに沿って Y方向に移動可能 である。また、ウェハ搬送ピック 7aは、 X方向にスライド自在であり、かつ、 Z方向に昇 降自在であり、かつ、 X— Y平面内で回転自在( Θ回転)である。
[0036] このような構造により、キャリア Cの内部と搬送ステーション 3とが窓部 9aを介して連 通するようにシャツタ 10を退避させた状態において、ウェハ搬送ピック 7aは、載置台 6に載置された全てのキャリア Cにアクセス可能であり、キャリア C内の任意の高さ位 置にあるウェハ Wをキャリア Cから搬出することができ、逆にキャリア Cの任意の位置 にウェハ Wを搬入することができる。
[0037] 処理ステーション 2は、搬送ステーション 3側に 2台のウェハ載置ユニット (TRS) 13 a, 13bを有している。例えば、ウェハ載置ユニット(TRS) 13bは搬送ステーション 3 力、らウェハ Wを受け入れる際にウェハ Wを載置するために用いられ、ウェハ載置ュ ニット (TRS) 13aは処理ステーション 2において所定の処理が終了したウェハ Wを搬 送ステーション 3に戻す際にウェハ Wを載置するために用いられる。
[0038] 処理ステーション 2の背面側には、エッチング処理後のポリマー残渣ゃレジスト膜、 犠牲膜等を水蒸気とオゾン (O )とを含むガスにより所定の薬液に対して可溶化する
3
ように変性させる変性処理ユニット(VOS) 15a〜15fが配置されている。この変性処 理ユニット(VOS) 15a〜15fでは、エッチング処理後のポリマー残渣ゃレジスト膜、 犠牲膜等の形状はそのままに維持されつつ、その化学的性質のみが所定の薬液に 可溶化するように変化する。 [0039] 変性処理ユニット(VOS) 15a, 15dの上には、変性処理および洗浄処理等によつ てダメージを受けた層間絶縁膜をダメージ等から回復させるためにシリル化処理する シリル化ユニット(SCH) 11a, 1 lbが設けられて!/、る。
[0040] 処理ステーション 2の正面側には、変性処理ユニット(VOS) 15a〜15fにおける処 理が終了したウェハ Wに薬液処理や水洗処理を施して、変性したポリマー残渣等を 除去する洗浄ユニット (CNU) 12a〜 12dが配置されて!/、る。
[0041] 処理ステーション 2において、主ウェハ搬送装置 14を挟んでウェハ載置ユニット(T RS) 13a, 13bと対向する位置には、シリル化ユニット(SCH) 11a, l ibでシリル化処 理した後のベータや、洗浄処理ユニット(CNU) 12a〜; 12dでの処理を終えたウェハ Wの加熱乾燥を行うホットプレートユニット(HP) 19a〜19dが 4段に積み重ねられて 配置されている。さらにまた、ウェハ載置ユニット (TRS) 13aの上側には、加熱乾燥 処理されたウェハ Wを冷却するクーリングプレートユニット(COU 21a, 21bが積み 重ねられている。なお、ウェハ載置ユニット(TRS) 13bは、クーリングプレートユニット として用いることが可能である。処理ステーション 2の上部には処理ステーション 2の 内部に清浄な空気を送風するファンフィルターユニット(FFU) 25が設けられている。
[0042] 処理ステーション 2の略中央部には、処理ステーション 2内においてウェハ Wを搬送 する主ウェハ搬送装置 14が設けられている。主ウェハ搬送装置 14は、ウェハ Wを搬 送するウェハ搬送アーム 14aを有している。主ウェハ搬送装置 14は Z軸周りに回転 自在である。また、ウェハ搬送アーム 14aは水平方向で進退自在であり、かつ Z方向 に昇降自在である。このような構造により、主ウェハ搬送装置 14は、それ自体を X方 向に移動させることなぐ処理ステーション 2に設けられた各ユニットにアクセスするこ とができ、これら各ユニット間でウェハ Wを搬送することができるようになつている。
[0043] ケミカルステーション 5には、処理ステーション 2に設けられた変性処理ユニット(VO S) 15a〜15fへ処理ガスとしてオゾンや水蒸気等を供給する処理ガス供給部 16と、 洗浄ユニット(CNU) 12a〜12dへ洗浄液を供給する洗浄液供給部 17と、シリル化 処理ユニット(SCH) 11a, l ibにシリル化剤やキャリアガス等を供給するシリル化剤 供給部 18とを有している。
[0044] 次に、変性処理ユニット (VOS) 15aの構造について、図 6に示す概略断面図を参 照しながら詳細に説明する。なお、他の変性処理ユニット (VOS) 15b〜; 15fも全く同 様の構造を有している。この変性処理ユニット(VOS) 15aは、ウェハ Wを収容する密 閉式のチャンバ 30を有しており、チャンバ 30は固定された下部容器 41aと、下部容 器 41aの上面を覆う蓋体 41bから構成され、蓋体 4 lbは膜変性処理ユニット (VOS) 15aのフレーム 42に固定されたシリンダ 43によって昇降自在である。図 6は蓋体 41b を下部容器 41aに密接させた状態と、蓋体 41bは下部容器 41aの上方に待避した状 態を示している。
[0045] 下部容器 41a周縁の立起部の上面には Oリング 51が配置されている。シリンダ 43 を駆動して蓋体 41bを降下させると、蓋体 41bの裏面周縁が下部容器 41a周縁の立 起部の上面に当接するとともに、 Oリング 51が圧縮されてチャンバ 30内に密閉された 処理空間が形成される。
[0046] 下部容器 41aにはウェハ Wを載置するステージ 33が設けられており、このステージ 33の表面には、ウェハ Wを支持するプロキシミティピン 44が複数箇所に設けられて いる。
[0047] ステージ 33の内部にはヒータ 45aが、蓋体 41bにはヒータ 45bがそれぞれ埋設され ており、ステージ 33と蓋体 41bをそれぞれ所定温度で保持することができるようにな つて!/、る。これによりウェハ Wの温度が一定に保持される。
[0048] 蓋体 41bの裏面には、ウェハ Wを保持する爪部材 46が、例えば 3箇所(図 6では 2 箇所のみ図示)に設けられている。ウェハ搬送アーム 14aはこの爪部材 46に対してゥ ェハ Wの受け渡しを行う。爪部材 46がウェハ Wを保持した状態で蓋体 41bを降下さ せると、その降下途中でウェハ Wは、ステージ 33に設けられたプロキシミティピン 44 に受け渡しされる。
[0049] チャンバ 30では、処理ガスを内部に導入するガス導入口 34aおよび処理ガスを外 部へ排気するガス排出口 34bが下部容器 41aに設けられている。処理ガス供給装置 16はガス導入口 34aに接続され、ガス排出口 34bには排気装置 32が接続されてい
[0050] ウェハ Wの処理ガスによる処理は、チャンバ 30の内部を一定の陽圧に保持して行 うことが好ましい。このために下部容器 41aと蓋体 41bとをシリンダ 43により押圧力す るだけでなぐこれらの端面に設けられた突起部 47a, 47bどうしをロック機構 35によ つて締め付ける。
[0051] このロック機構 35は、支持軸 52と、回転装置 54によって回転自在にされた回転筒
55と、回転筒 55に固定された円板 56と、円板 56の周縁に設けられた挟持部材 57と を有している。挟持部材 57は、押圧ローラ 59a, 59bと、回転軸 58を保持するローラ 保持部材 48とを有している。
[0052] 突起部 47a, 47bは、等間隔に 4力所に設けられており、これらの間には間隙部 49 が形成されている。突起部 47a, 47bはそれぞれ重なる位置に配置される。この間隙 部 49の位置に挟持部材 57が配置されている状態では、蓋体 41bの昇降を自由に行 うこと力 Sでさる。
[0053] 回転筒 55とともに円板 56を所定角度回転させると、押圧ローラ 59bは突起部 47b の上面で静止し、押圧ローラ 59aは突起部 47aの下側で静止する。なお、他の変性 処理ユニットも全く同様の構造を有して!/、る。
[0054] 次に、シリル化ユニット(SCH) 11aについて、図 7に示す概略断面図を参照しなが ら詳細に説明する。なお、シリル化ユニット(SCH) l ibも全く同様の構造を有してい る。シリル化ユニット(SCH) 11aは、ウェハ Wを収容するチャンバ 61を備えており、チ ヤンバ 61は、固定された下部容器 61aと、下部容器 61aを覆う蓋体 61bから構成され 、蓋体 61bは図示しない昇降装置により昇降自在である。下部容器 61aにはホットプ レート 62が設けられており、ホットプレート 62の周囲力、らシリル化剤、例えば DMSD MA (Dimethylsilyldimethylamine)の蒸気を含む窒素ガスがチャンバ 61内に供給さ れるようになっている。 DMSDMAは気化器 63によって気化され、 Nガスにキャリア
2
されてチャンバ 61に供給される。
[0055] ホットプレート 62は、例えば、室温〜 400°Cの範囲で温度調節が可能であり、その 表面にはウェハ Wを支持するピン 64が設けられている。ウェハ Wをホットプレート 62 に直接載置しないことで、ウェハ Wの裏面の汚染が防止される。下部容器 61aの外 周部上面には第 1シールリング 65が設けられており、蓋体 61bの外周部下面には、 蓋体 61bを下部容器 61aに押し付けた際に第 1シールリング 65と接触する第 2シー ノレリング 66が設けられている。これら第 1および第 2シールリング 65, 66間の空間は 減圧可能となっており、この空間を減圧することにより、チャンバ 61の気密性が確保 される。蓋体 61bの略中心部には、チャンバ 61に供給された DMSDMAを含む窒素 ガスを排気するための排気口 67が設けられており、この排気口 67は圧力調整装置 6 8を介して、真空ポンプ 69に接続されている。
[0056] なお、図 7では、液体の DMSDMAガスを気化器 63により気化させ、 Nガスにより
2 キャリアさせてチャンバ 61に供給するようにした力 S、 DMSDMAを気化させたガス(つ まり DMSDMA蒸気)のみをチャンバ 61に供給する構成としてもよい。 DMSDMA をチャンバ 61内に供給する際には、チャンバ 61内は所定の真空度に保持されてい るので、気化器 63とチャンバ 61の圧力差を利用して、 DMSDMAガスをチャンバ 61 に導入することは容易に行うことができる。
[0057] 次に、洗浄ユニット(CNU) 12aについて、図 8に示す概略断面図を参照しながら 詳細に説明する。なお、他の洗浄ユニット(CNU) 12b〜12dについても全く同様の 構造を有している。この洗浄ユニット(CNU) 12aは、その中央部に環状のカップ(CP )が配置され、カップ(CP)の内側にはスピンチャック 71が配置されている。スピンチ ャック 71は真空吸着によってウェハ Wを固定保持した状態で駆動モータ 72によって 回転駆動される。カップ (CP)の底部には洗浄液、純水を排出するドレイン配管 73が 設けられている。
[0058] 駆動モータ 72は、ユニット底板 74に設けられた開口 74aに昇降移動可能に配置さ れ、キャップ状のフランジ部材 75を介して例えばエアシリンダからなる昇降駆動機構 76および昇降ガイド 77と結合されている。駆動モータ 72の側面には、筒状の冷却ジ ャケット 78が取り付けられ、フランジ部材 75は、この冷却ジャケット 78の上半部を覆う ように取り付けられている。
[0059] 薬液等をウェハ Wに供給する際には、フランジ部材 75の下端 75aは、開口 74aの 周縁付近でユニット底板 74に密着し、これによつてユニット内部が密閉される。スピン チャック 71とウェハ搬送アーム 14aとの間でウェハ Wの受け渡しが行われるときは、 昇降駆動機構 76が駆動モータ 72およびスピンチャック 71を上方へ持ち上げることで フランジ部材 75の下端がユニット底板 74から浮くようになつている。
[0060] カップ(CP)の上方には、変性処理ユニット(VOS) 15a〜; 15fのいずれかで変性さ れた物質(以下変性物質という)、例えば変性された犠牲膜が存在するウェハ Wの表 面に、当該変性物質を溶解する所定の洗浄液を供給する洗浄液供給機構 80を備え ている。
[0061] 洗浄液供給機構 80は、スピンチャック 71に保持されたウェハ Wの表面に洗浄液を 吐出する洗浄液吐出ノズル 81と、洗浄液吐出ノズル 81に所定の洗浄液を送液する 洗浄液供給部 17と、洗浄液吐出ノズル 81を保持し、 Y方向に進退自在なスキャンァ ーム 82と、スキャンアーム 82を支持する垂直支持部材 85と、ユニット底板 74の上で X軸方向に敷設されたガイドレール 84に取り付けられ、垂直支持部材 85を X軸方向 へ移動させる X軸駆動機構 86とを有している。スキャンアーム 82は Z軸駆動機構 87 によって上下方向(Z方向)に移動可能であり、これにより洗浄液吐出ノズル 81をゥェ ハ W上の任意の位置に移動させ、またカップ(CP)外の所定位置に退避させることが できるようになつている。
[0062] 洗浄液供給部 17は、変性処理ユニット (VOS) 15a〜; 15fで変性された犠牲膜等の 変性物質を溶解する例えば希フッ酸、アミン系薬液等の溶解除去液と、リンス液とし て用いられる純水とを選択的に洗浄液吐出ノズル 81へ送液することができるようにな つている。
[0063] 次に、シリル化処理後のベータ処理に用いられるホットプレートユニット(HP) 19aに ついて、図 9に示す概略断面図を参照しながら詳細に説明する。なお、ホットプレート ユニット(HP) 19b〜; 19dも全く同様の構造を有している。このホットプレートユニット( HP) 19aは、略円筒状に形成された処理チャンバ 91を備えており、その内部の底部 には、ウェハ載置台 92が設けられている。ウェハ載置台 92にはヒータ 93が埋設され ており、これによりウェハ載置台 92上のウェハ Wにシリル化後のベータ処理等の加 熱処理が施される。ヒータ 93にはヒータ電源 94が接続されている。ウェハ載置台 93 には図示しないウェハリフトピンが突没可能に設けられており、ウェハ Wの搬入出の 際等にウェハ Wをウェハ載置台 92の上方の所定位置に位置される。なお、チャンバ 91の側壁 91aにはウェハ搬入出口(図示せず)が設けられている。
[0064] チャンバ 91の側壁 91aの載置台 92上に載置されたウェハ Wに対応する位置には 、空気導入口 95が設けられ、チャンバ 91の天壁 91bの中央には、空気排出口 96が 設けられている。
[0065] なお、上述した変性処理ユニット(VOS) 15a〜; 15cと変性処理ユニット(VOS) 15d 〜; 15fとは境界壁 22bについて略対称な構造を有しており、シリル化ユニット(SCH) 1 laとシリル化ユニット(SCH) 1 lbは境界壁 22bにつ!/、て略対称な構造を有して!/ヽ る。同様に、洗浄ユニット(CNU) 12a, 12bと洗浄ユニット(CNU) 12c, 12dとが境 界壁 22aにつ!/、て略対称な構造を有して!/、る。
[0066] 次に、本発明の一実施形態の基板処理方法が適用される、デュアルダマシン法に よる半導体装置の製造プロセスについて説明する。
図 10はデュアルダマシン法による半導体装置の製造プロセスを示すフローチャート 、図 11は図 10のフローチャートに示す各工程の状態を説明するための説明図であ
[0067] まず、 Si基板(図示せず)上に絶縁膜 120が形成され、その中の上部にバリアメタ ル層 121を介して下部銅配線 122が形成され、絶縁膜 120および下部銅配線 122 の上にストッパ膜 (例えば、 SiN膜、 SiC膜) 123が形成されているウェハ Wを形成し ておき、このウェハ Wを SOD装置 101に搬入して、そこでストッパ膜 123上に低誘電 率材料 (Low— k材料)からなる層間絶縁膜 (以下、 Low— k膜と記す) 124を形成す る(ステップ 1)。これにより図 11の(a)の状態が形成される。
[0068] 次に Low— k膜 124が形成されたウェハ Wを、レジスト塗布/現像装置 102に搬入 して、そこで、レジスト塗布処理ユニットを用いて Low— k膜 124上に反射防止膜 125 、レジスト膜 126を順次形成し、次いで、ウェハ Wを露光装置 103に搬送して、そこで 所定のパターンで露光処理し、さらに、ウェハ Wをレジスト塗布/現像装置 102に戻 して、現像処理ユニットにおいてレジスト膜 126を現像処理することによって、レジスト 膜 126に所定の回路パターンを形成する(ステップ 2)。引き続きウェハをエッチング 装置 105に搬送して、そこでエッチング処理を行う(ステップ 3)。これにより、図 11の( b)に示すように、ストッパ膜 123に達するビアホール 124aが Low— k膜 124に形成さ れる。
[0069] このビアホール 124aが形成されたウェハ Wは、次に洗浄処理装置 104に搬送され て、洗浄処理ユニット(CNU) 12a〜12dのいずれかにおいて薬液処理され、ウェハ Wからレジスト膜 126および反射防止膜 125が除去される(ステップ 4、図 11の(c) )。
[0070] 続いてウェハ Wは、レジスト塗布/現像装置 102に搬送されて、そこで犠牲膜塗布 処理ユニットを用いて、ビアホール 124aを有する Low— k膜 124の表面に無機系材 料 (例えば、 Si— O系材料)からなる犠牲膜 127を形成する(ステップ 5)。このとき、ビ ァホール 124aも犠牲膜 127によって埋められる。続いて、レジスト塗布処理ユニット において犠牲膜 127の表面にエッチングマスクとなるレジスト膜 128を形成し、レジス ト膜 128を露光装置 103において所定のパターンで露光し、次いで現像処理ュニッ トにおいてレジスト膜 128を現像する(ステップ 6)。これによつて、図 11の(d)に示す ように、レジスト膜 128に回路パターンが形成される。ここで、レジスト膜 128には、ビ ァホール 124aの幅よりも広い溝がビアホール 124aの上方位置に形成される。
[0071] 次いで、ウェハ Wをエッチング装置 105に搬送し、そこでウェハ Wの Low— k膜 12 4にエッチング処理を施す(ステップ 7)。これによつて図 11の(e)に示すように、ビア ホーノレ 124aの上方に、より幅の広いトレンチ 124bが形成される。 Low— k膜 124の 上に犠牲膜 127を形成しておくことによって、 Low— k膜 124においてエッチングさ れた部分の底面を平坦な形態とすることができる。
[0072] エッチング処理の終了したウェハ Wは、洗浄処理装置 104に搬送され、そこで犠牲 膜 127とレジスト膜 128の変性処理 (ステップ 8、図 11の(f) )と、犠牲膜 127とレジスト 膜 128とポリマー残渣の除去処理が行われる(ステップ 9、図 11の(g) )。
[0073] 具体的には、まず、エッチング処理が終了したウェハの収容されたキャリア Cが載置 台 6に載置され、キャリア Cの蓋体 10aとシャツタ 10を搬送ステーション 3側に退避さ せることによって窓部 9aが開かれる。続いてウェハ搬送ピック 7aによって、キャリア C の所定位置にある 1枚のウェハ Wがウェハ載置ユニット (TRS) 13bへ搬送される。
[0074] そして、ウェハ載置ユニット (TRS) 13bに載置されたウェハをウェハ搬送アーム 14 aによって変性処理ユニット(VOS) 15a〜; 15hのいずれかに搬入し、上記ステップ 8 の、犠牲膜 127とレジスト膜 128の変性処理を行う(図 11の(f) )。
[0075] この場合に、まずチャンバ 30の蓋体 41bを下部容器 41aの上方に退避させた状態 とし、その後、蓋体 41bに設けられた爪部材 46のウェハ Wを保持する部分(水平方 向に突出した部分)よりも僅かに高い位置へウェハ Wが進入するように、ウェハ Wを 保持したウェハ搬送アーム 14aを進入させる。次いで、ウェハ搬送アーム 14aを下方 へ降下させると、ウェハ Wは爪部材 46に受け渡される。
[0076] ウェハ搬送アーム 14aを変性処理ユニット (VOS) 15aから退避させた後に蓋体 41 bを降下させて、蓋体 41bを下部容器 41aに密着させ、さらにロック機構 35を動作さ せて、チャンバ 30を密閉状態とする。蓋体 41bを降下させる途中で、ウェハ Wは爪部 材 46からプロキシミティピン 44へ受け渡される。
[0077] ヒータ 45a, 45bにてステージ 33、蓋体 41bを所定の温度に保持する。例えば、ス テージ 33を 100°Cに保持し、蓋体 41bを 110°Cに保持する。
[0078] ステージ 33および蓋体 41bが所定温度(例えば、 110°C〜; 120°C)に保持され、か つ、ウェハ Wの温度分布がほぼ一定となったら、最初に処理ガス供給装置 16からォ ゾン/窒素混合ガス(例えば、オゾン含有量が 9wt%で、流量が 4L/分)のみをチヤ ンバ 30内に供給して、チャンバ 30の内部がオゾン/窒素混合ガスで充満され、かつ 、所定の陽圧、例えばゲージ圧で 0. 2MPaとなるように調節する。
[0079] その後、オゾン/窒素混合ガスに水蒸気を混合させた処理ガス(例えば、水蒸気量 が水換算で 16ml/分)を、処理ガス供給装置 16からチャンバ 30内に供給する。この 処理ガスによってウェハ Wに形成されている犠牲膜 127は特定の薬液、例えば HF に溶解され易い性質へと変性され、レジスト膜 128とウェハ Wに付着しているポリマ ー残渣 (例えば、エッチング処理後に生ずるポリマー残渣)もその薬液により溶解され やすくなる。このように処理ガスは、犠牲膜 127、レジスト膜、ポリマー残渣をそれぞれ 変性させる。チャンバ 30への処理ガスの供給量とチャンバ 30からの排気量は、チヤ ンバ 30内が所定の陽圧となるように調整される。
[0080] ウェハ Wの処理ガスによる処理が終了したら、処理ガスの供給を停止して、処理ガ ス供給装置 16からチャンバ 30内に窒素ガスを供給し、チャンバ 30内を窒素ガスでパ ージする。このパージ処理時には、その後にチャンバ 30を開いたときに、排気装置 3 2からオゾン/窒素混合ガスが逆流してオゾン/窒素混合ガスがチャンバ 30から排 出されないように、排気装置 32内からもオゾン/窒素混合ガスを完全に排出する。
[0081] 窒素ガスによるパージ処理が終了した後には、チャンバ 30の内圧が外気圧と同じ であることを確認する。これは、チャンバ 30の内部圧力が大気圧よりも高い状態でチ ヤンバ 30を開くと、チャンバ 30が損傷するおそれがあるからである。チャンバ 30の内 圧確認後、ロック機構 35による下部容器 41aと蓋体 41bの締め付けを解除し、蓋体 4 lbを上昇させる。蓋体 41bを上昇させる際に、ウェハ Wは爪部材 46に保持されて蓋 体 41bとともに上昇する。ウェハ搬送アーム 14aを下部容器 41aと蓋体 41bとの隙間 に進入させて、ウェハ Wを爪部材 46からウェハ搬送アーム 14aに受け渡す。
[0082] 変性処理ユニット (VOS) 15a〜15fのいずれかにおける変性処理が終了した時点 では、犠牲膜 127等はウェハ Wから除去されていない。そこでウェハ Wから犠牲膜 1 27等を除去するための溶解除去処理 (洗浄処理)を行う(上記ステップ 9)。
[0083] この溶解除去処理を行う際には、洗浄ユニット(CNU) 12a〜12dのいずれかに搬 入し、そこで犠牲膜 127等を溶解可能な所定の薬液 (例えば、希フッ酸、アミン系薬 液)によって犠牲膜 127等の溶解除去処理が行われる(上記ステップ 9、図 11の(g) )
[0084] この溶解除去処理を行う際には、洗浄ユニット(CNU) 12a〜; 12dの一つのスピン チャック 71上にウェハ Wを搬送し、略水平姿勢に吸着保持させ、洗浄液供給機構 8 0の洗浄液吐出ノズル 81からウェハ Wの表面に犠牲膜 127等の変性物質が溶解可 能な薬液を供給してパドルを形成し、所定時間が経過した後にウェハ Wを回転させ てウェハ Wの表面から薬液を振り切る。さらにウェハ Wを回転させながらウェハ Wの 表面に薬液を供給して犠牲膜 127等を完全に除去する。犠牲膜 127等の除去に使 用される薬液により、レジスト膜 128やポリマー残渣も溶解除去される。薬液による処 理の後には、駆動モータ 72によりウェハ Wを回転させながらウェハ Wに純水を供給 してウェハ Wを水洗処理し、さらにウェハ Wを高速回転させてスピン乾燥を行う。ゥェ ハ Wのスピン乾燥はウェハ Wに乾燥ガスを供給しながら行ってもよい。
[0085] この処理の際には、 Low— k膜 124の表面部分に図 11の(g)に示すようなダメージ 部 130が形成される。このダメージ部 130は最初疎水性であった Low— k膜 124がス テツプ 9の溶解除去処理によりダメージを受けて親水化した部分であり、 Low— k膜 1 24の比誘電率を増大させてしまい、配線形成後、配線間の寄生容量が増大するた め、信号遅延や溝配線どうしの間の絶縁性が低下する等の電気特性上の問題が生 ずる。なお、 Low— k膜 124に形成されたダメージ部 130を便宜上明確に示している 力 S、ダメージ部 130と非ダメージ部の境界は必ずしも明確なものではない。
[0086] このような場合に、ステップ 9の溶解除去処理の後に、シリル化処理を行い(ステツ プ 10、図 11の(h) )、 Low— k膜 124のダメージ部 130のダメージを回復する。
[0087] このようなダメージ部は、図 12に示すように、末端基がメチル基(Me)であり疎水性 である Low— k膜 124が、水蒸気とオゾンによる変性処理および溶解除去処理の際 に水分子と反応してビアホール 124aの側壁近傍におけるメチル基が減少し、水酸基 が増加した部分であり、これにより比誘電率 (k値)が上昇してしまう。このため、シリノレ 化処理を施して、 Low— k膜表面を疎水性にすることによりダメージを回復させる。
[0088] シリル化処理においては、ウェハ Wをシリル化ユニット(SCH) 11a, l ibの一方に 搬送し、ホットプレート 62上の支持ピン 64に載置し、シリル化剤、例えば DMSDMA の蒸気を Nガスにキャリアさせてチャンバ 61内に導入する。シリル化処理の条件は、
2
シリル化剤の種類に応じて選択すればよぐ例えば、気化器 63の温度は室温〜 50 °C、シリル化剤流量は 0· 6〜; ί · Og/min、 Nガス(パージガス)流量は;!〜 10L/m
2
in、処理圧カは532〜95976?& (4〜720丁0 )、ホットプレート62の温度は室温〜 200°Cなどの範囲から適宜設定できる。シリル化剤として DMSDMAを用いる場合 は、例えば、ホットプレート 62の温度を 100°Cとし、チャンバ 61内圧力を 5Torr ( = 6 66Pa)に減圧し、その後 DMSDMA蒸気を Nガスにキャリアさせてチャンバ 61内圧
2
力が 55Torrになるまで供給し、その圧力を維持しながら、例えば 3分間保持し、処理 する方法が挙げられる。 DMSDMAを用いたシリル化反応は、下記化 1式で示され
[0089] [化 1]
Figure imgf000021_0001
シリル化剤としては、以上の DMSDMAに限らず、シリル化反応を起こす物質であ れば特に制限なく使用可能である力 S、分子内にシラザン結合(Si— N結合)を有する 化合物群の中で比較的小さな分子構造を持つもの、例えば分子量が 260以下のも のが好ましぐ分子量 170以下のものがより好ましい。具体的には、例えば、前記 DM SDMAのほ力、、 HMDS (Hexamethyldisilazane)、 TMSDMA (Dimethylaminotrimet nylsilane)、 TMD¾ (1, 1,3,3-Tetrametnyldisilazane)、 TMSpyrole (1-Trimethylsilylp yrole)、 BSTFA (N,0-Bis(trimethylsilyl)trifluoroacetamide)、 BDMADMS (Bis(dim ethylamino)dimethylsilane)等を用いることが可能である。これらの中では、 TMDS (1, 1,3,3- Tetrametnyldisilazaneノ、 1 SuMA (Dimethylaminotrimethylsilane)、および DMSDMA (Dimethylsilyldimethylamine)が好ましい。これらの化学構造を以下に示 す。
[化 2]
CH3
Figure imgf000023_0001
HMDS B S T F A
H
Figure imgf000023_0002
DM S DMA B DMADM S
CHa一
Figure imgf000023_0003
TMS DMA
TMS p y r o I e
CHa CHs
H-Si-NH-Si-H
CHs CHs
TMD S このようなシリル化処理によるダメージ回復によって k値はある程度低下する力 所 望のレベルまで到達しないことが多い。その原因について検討した結果、 Low— k膜 124として現在多用されているポーラス材料を用いた場合に、変性処理および溶解 除去処理において Low— k膜 124が水分を含んだ状態となり(図 11の(f)、(g)参照 )、この水分とシリル化処理の際に供給されるシリル化剤とが反応して Si系副生成物 が形成されるためであることが判明した。すなわち、このようにして形成された Si系副 生成物は、通常、 W直が高ぐこれが表面および内部に形成されるため、シリル化処 理によりメチル基等のアルキル基を末端基としてダメージを回復させても、結果的に k 値が十分に回復しない。
[0093] そこで、本実施形態では、このようなシリル化処理の後のウェハ Wに対してホットプ レートユニット(HP) 19a〜 19dの!/、ずれかにお!/、て、ベータ処理を施す(ステップ 11 、図 11の(i) )。これにより Low— k膜 124中の Si系副生成物が分解除去され、 k値を 上昇させる Si系副生成物が Low— k膜 124中に存在しなくなり、 Low— k膜 124の k 値を十分に回復させることができる。
[0094] ホットプレートユニット(HP) 19a〜19dのいずれかにおいてベータ処理を行う際に は、まず、チャンバ 91の側壁 91aに設けられたウェハ搬入出口(図示せず)からゥェ ハ Wを搬入して載置台 92に載置し、ヒータ 93に給電して載置台 92上のウェハ Wを 加熱する。この際の加熱温度は、上記 Si系副生成物が分解される必要があることか ら、シリル化処理の際の温度よりも高いことが好ましい。具体的には 150〜400°Cが 好ましぐ 300〜360°C力 S—層好ましい。なお、このようなベータ処理は、シリル化ュ ニット 11a, l ibで ί亍うよう ίこしてもよレヽ。
[0095] このようにしてベータ処理を行った後のウェハ Wは、搬送アーム 14aによってホット プレートユニット (HP)から搬出されてウェハ載置ユニット (TRS) 13aに載置され、ゥ ェハ搬送装置 7によりキャリア Cに収容され、洗浄処理装置 104から搬出される。
[0096] その後、ウェハ Wをスパッタ装置 106へ搬送して、そこでビアホール 124aおよびト レンチ 124bの内壁にバリアメタル膜および Cuシード層(つまり、メツキシード層)を形 成し、次いで、ウェハ Wを電解メツキ装置 107に搬送して、そこで電解メツキによりビ ァホール 124aおよびトレンチ 124bに配線金属として銅 131を埋め込む(ステップ 12 、図 11の )。その後、ウェハ Wを熱処理することによってビア 124aおよびトレンチ 1 24bに埋め込まれた銅 131のァニール処理を行い(ァニール装置は図 1に示さず)、 さらにウェハ Wを CMP装置 109へ搬送し、そこで CMP法による平坦化処理が行わ れる (ステップ 13)。これにより所望の半導体装置が製造される。
[0097] このように犠牲膜 127等を除去するために、犠牲膜 127等を所定の薬液に対して 可溶化するように変性させ、その後そのような薬液を用いて変性物質を溶解除去す る手法を採用する場合に、溶解除去処理までに Low— k膜 124に与えられたダメー ジをシリル化処理により回復させ、その後さらにベータ処理を行うので、シリル化によ り Low— k膜 124に形成される k値の回復を妨げる Si系副生成物を分解させることが でき、十分に Low— k膜 124の k値の回復を図ることができる。
[0098] なお、変性処理ユニット(VOS)における水蒸気とオゾンの処理によって、パターン が形成された Low— k膜 124にダメージが入ることがあり、そのようなダメージが入つ た状態でその後の薬液を用いた溶解除去処理を行うとパターンはがれが生じるおそ れがあるので、溶解除去処理に先立ってシリル化処理を行って、 Low— k膜 124のこ のようなダメージを回復するようにしてもよい。この場合のシリル化処理は、上記溶解 除去処理後のシリル化処理と同様、シリル化ユニット 11a, l ibのいずれかにおいて 全く同様の手順で行うことができる。
[0099] また、上記溶解除去処理後のシリル化処理に先立って、プリベータ処理を行っても よ!/、。この加熱によりウェハ Wに残存して!/、る水分を除去してシリル化処理の効果を 高めること力 Sできる。この場合の加熱温度は 200°C以下であることが好ましい。また、 水分除去を有効に行うためには 50°C以上が好ましい。このプリベータ処理は、ホット プレートユニット(HP) 19a〜; 19dでおこなってもよレヽし、シリノレイ匕ユニット 1 la, l ibで 行ってもよい。
[0100] 次に、本発明の効果を確認した実験について説明する。ここでは Low— k膜 124と してポーラス Low— k膜 (k値:約 2· 5)を使用し、表 1に示すように、何も処理を行わ ない場合(initial ; No. 1)、シリル化処理を行わずに、変性処理 (VOS)と溶解除去処 理 (Wet)のみを行った場合(No. 2)、変性処理 (VOS)と溶解除去処理 (Wet)を行 つた後シリル化処理(LKR)を行った場合(No. 3)、変性処理 (VOS)、溶解除去処 理 (Wet)およびシリル化処理(LKR)を行った後、 250°Cでベータ処理(Bake)を行 つた場合(No. 4)、変性処理 (VOS)、溶解除去処理 (Wet)およびシリル化処理(L KR)を行った後、 350°Cでベータ処理(Bake)を行った場合(No. 5)について、室 温での k値、 1MVでのリーク電流、 H Oのデガス、分子量 75の物質のデガスを測定
2
した。その結果を表 1に併記する。
[0101] なお、各処理の条件は以下の通りとした。
変性処理 (VOS) : 105°C、 1分
溶解除去処理 (Wet):有機アルカリ系薬液 1分
シリル化処理(LKR) : 150°C、 150秒
ベータ処理(Bake):大気圧下、 30分
[0102] 表 1に示すように、シリル化処理を行うことにより k値の回復、リーク電流の低下が見 られる力 S、その後、ベータ処理を行うことにより、 k値の回復が見られた。特に、ベータ 処理を 350°Cで行うことにより、シリル化処理のみの場合と比較して k値が 0. 3程度 回復したことが確認された。また、シリル化処理後には分子量 75の物質のデガスが 多いが、ベータ処理を行った場合、特に 350°Cでのベータ処理を行った場合に、そ のデガスが減少していることがわかる。分子量 75の物質は Si系副生成物であると考 えられ、ベータ処理による k値の回復は、この Si系副生成物が減少することによるもの と推測される。また、ベータ処理により水分も若干低下しており、水分減少も k値回復 に多少寄与して!/ヽるものと推測される。
[0103] [表 1]
Figure imgf000026_0001
なお、本発明は、上記実施形態に限定されることなぐ種々変形可能である。たとえ ば、上記実施形態では、犠牲膜等の変性処理を水蒸気とオゾンとの混合ガスにより 行ったが、水蒸気を用いずにオゾンのみの処理であってもよい。オゾンによって処理 する場合には、水蒸気 +オゾンの場合よりも反応性は低いが、その後の薬液による 溶解除去処理により変性した犠牲膜等を十分に溶解可能である。
[0105] また、シリル化処理によってダメージ回復を図ることができる Low— k膜は、特に限 定されるものではないが、 SOD膜であるポーラス MSQを用いることができる。そのほ 、、例えば、 CVDで形成される無機絶縁膜の 1つである SiOC系膜を対象とすること もできる。これは従来の SiO膜の Si— O結合にメチル基(一 CH )を導入して、 Si—
2 3
CH結合を混合させたもので、 Black Diamond (Applied Materials社)、 Coral (Novellu
3
s社)、 Aurora (ASM社)等がこれに該当する。 SiOC系膜はポーラス(多孔質)であつ てもよい。また、 MSQ系の絶縁膜はポーラスなものに限定されず、緻密質であっても よい。
[0106] さらに、上記実施形態ではデュアルダマシン法による銅配線を含む半導体装置の 製造プロセスに本発明を適用した例について示した力 S、これに限らず、エッチング対 象膜の劣化が懸念され、変性すべき除去物質が存在する処理であれば適用可能で ある。

Claims

請求の範囲
[1] 基板上に形成された低誘電率膜をエッチング処理して所定パターンを形成すること と、
前記エッチング処理を終了した後に残存する物質を所定の液に対して可溶化する ように変性させることと、
前記所定の液を供給して前記変性された物質を溶解除去することと、
次いで、前記変性された物質の溶解除去後の低誘電率膜の表面にシリル化剤を 供給してシリル化処理することと、
前記シリル化処理の後、基板をベータすることと
を有する基板処理方法。
[2] 前記残存する物質を変性させた後、前記変性された物質を溶解除去する前に、前 記パターンが形成された低誘電率膜の表面にシリル化剤を供給してシリル化処理す ることをさらに有する、請求項 1に記載の基板処理方法。
[3] 前記低誘電率膜は、ポーラス低誘電率材料からなる、請求項 1に記載の基板処理 方法。
[4] 前記低誘電率膜は、アルキル基を末端基として有する、請求項 1に記載の基板処 理方法。
[5] 前記残存する物質の変性は、水蒸気とオゾンを含む処理ガスを供給して行う、請求 項 1に記載の基板処理方法。
[6] 前記残存する物質の変性は、オゾンを含む処理ガスを供給して行う、請求項 1に記 載の基板処理方法。
[7] 前記所定の液は、酸性またはアルカリ性薬液である、請求項 1に記載の基板処理 方法。
[8] 前記シリル化処理を行うためのシリル化剤は、分子内にシラザン結合(Si— N)を有 する化合物である、請求項 1に記載の基板処理方法。
[9] 前記分子内にシラザン結合を有する化合物は、 TMDS (1,1,3,3-Tetramethyldisila zane)、 TMSDMA (Dimethylaminotrimethylsilane)、および DMSDMA (Dimethylsil yldimethylamine)力も選択されたものである、請求項 8に記載の基板処理方法。
[10] 前記基板のベータは、前記シリル化処理の際の温度よりも高い温度で行う、請求項
1に記載の基板処理方法。
[11] 前記基板のベータは、 150〜400°Cで行う、請求項 10に記載の基板処理方法。
[12] 前記変性された物質の溶解除去後のシリル化処理に先立ってベータ処理を行うこ とをさらに有する、請求項 1に記載の基板処理方法。
[13] 基板上に形成された低誘電率膜の上に犠牲膜を形成することと、
前記犠牲膜の上にエッチングマスクを形成し、前記犠牲膜と前記低誘電率膜をェ ツチングして所定パターンを形成することと、
前記犠牲膜と前記エッチングマスクを所定の液に可溶化するように変性させることと 前記所定の液を供給して前記変性された物質を溶解除去することと、
次いで、前記変性された物質の溶解除去後の低誘電率膜の表面にシリル化剤を 供給してシリル化処理することと、
前記シリル化処理の後、基板をベータすることと
を有する基板処理方法。
[14] 前記残存する物質を変性させた後、前記変性された物質を溶解除去する前に、前 記パターンが形成された低誘電率膜の表面にシリル化剤を供給してシリル化処理す ることをさらに有する、請求項 13に記載の基板処理方法。
[15] 前記低誘電率膜は、ポーラス低誘電率材料からなる、請求項 13記載の基板処理 方法。
[16] 前記低誘電率膜は、アルキル基を末端基として有する、請求項 13に記載の基板処 理方法。
[17] 前記残存する物質の変性は、水蒸気とオゾンを含む処理ガスを供給して行う、請求 項 13に記載の基板処理方法。
[18] 前記残存する物質の変性は、オゾンを含む処理ガスを供給して行う、請求項 13に 記載の基板処理方法。
[19] 前記所定の液は、酸性またはアルカリ性薬液である、請求項 13に記載の基板処理 方法。
[20] 前記シリル化処理を行うためのシリル化剤は、分子内にシラザン結合(Si— N)を有 する化合物である、請求項 13に記載の基板処理方法。
[21] 前記分子内にシラザン結合を有する化合物は、 TMDS (1,1,3,3-Tetramethyldisila zane)、 TMSDMA (Dimethylaminotrimethylsilane)、および DMSDMA (Dimethylsil yldimethylamine)力も選択されたものである、請求項 20に記載の基板処理方法。
[22] 前記基板のベータは、前記シリル化処理の際の温度よりも高い温度で行う、請求項
13に記載の基板処理方法。
[23] 前記基板のベータは、 150〜400°Cで行う、請求項 22に記載の基板処理方法。
[24] 前記変性された物質の溶解除去後のシリル化処理に先立ってベータ処理を行うこ とをさらに有する、請求項 13に記載の基板処理方法。
[25] 被エッチング膜を有し、エッチング処理により被エッチング膜に所定パターンが形 成され、エッチング処理後に残存する物質が所定の液に対して可溶化するように変 性され、さらに前記所定の液により前記変性された物質が溶解除去された基板に対 し、被エッチング膜の表面にシリル化剤を供給してシリル化処理することと、
前記シリル化処理の後、基板をベータすることと
を有する基板処理方法。
[26] コンピュータ上で動作し、基板処理装置を制御するためのプログラムが記憶された 記憶媒体であって、
前記プログラムは、実行時に、
基板上に形成された低誘電率膜をエッチング処理して所定パターンを形成すること と、
前記エッチング処理を終了した後に残存する物質を所定の液に対して可溶化する ように変性させることと、
前記所定の液を供給して前記変性された物質を溶解除去することと、
次いで、前記変性された物質の溶解除去後の低誘電率膜の表面にシリル化剤を 供給してシリル化処理することと、
前記シリル化処理の後、基板をベータすることと
を有する基板処理方法が行われるように、コンピュータに前記基板処理装置を制御 させる、記憶媒体。
[27] コンピュータ上で動作し、基板処理装置を制御するためのプログラムが記憶された 記憶媒体であって、
前記プログラムは、実行時に、
コンピュータ上で動作し、基板処理装置を制御するためのプログラムが記憶された記 '慮媒体であって、
前記プログラムは、実行時に、
犠牲膜の上にエッチングマスクを形成し、前記犠牲膜と前記低誘電率膜をエツチン グして所定パターンを形成することと、
前記犠牲膜と前記エッチングマスクを所定の液に可溶化するように変性させることと 前記所定の液を供給して前記変性された物質を溶解除去することと、
次いで、前記変性された物質の溶解除去後の低誘電率膜の表面にシリル化剤を 供給してシリル化処理することと、
前記シリル化処理の後、基板をベータすることと
を有する基板処理方法が行われるように、コンピュータに前記基板処理装置を制御 させる、記憶媒体。
[28] コンピュータ上で動作し、基板処理装置を制御するためのプログラムが記憶された 記憶媒体であって、
前記プログラムは、実行時に、
コンピュータ上で動作し、基板処理装置を制御するためのプログラムが記憶された記 '慮媒体であって、
前記プログラムは、実行時に、
被エッチング膜を有し、エッチング処理により被エッチング膜に所定パターンが形 成され、エッチング処理後に残存する物質が所定の液に対して可溶化するように変 性され、さらに前記所定の液により前記変性された物質が溶解除去された基板に対 し、被エッチング膜の表面にシリル化剤を供給してシリル化処理することと、
前記シリル化処理の後、基板をベータすることと を有する基板処理方法が行われるように、コンピュータに前記基板処理装置を制御 させる、記憶媒体。
PCT/JP2007/067206 2006-09-07 2007-09-04 Procédé de traitement de substrat et support de stockage WO2008029800A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE112007000177T DE112007000177T5 (de) 2006-09-07 2007-09-04 Substratbearbeitungsverfahren und Speichermedium
JP2008533160A JPWO2008029800A1 (ja) 2006-09-07 2007-09-04 基板処理方法および記憶媒体
US12/086,298 US20090286399A1 (en) 2006-09-07 2007-09-04 Substrate Processing Method and Storage Medium

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006242524 2006-09-07
JP2006-242524 2006-09-07

Publications (1)

Publication Number Publication Date
WO2008029800A1 true WO2008029800A1 (fr) 2008-03-13

Family

ID=39157227

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2007/067206 WO2008029800A1 (fr) 2006-09-07 2007-09-04 Procédé de traitement de substrat et support de stockage

Country Status (5)

Country Link
US (1) US20090286399A1 (ja)
JP (2) JPWO2008029800A1 (ja)
DE (1) DE112007000177T5 (ja)
TW (1) TWI381446B (ja)
WO (1) WO2008029800A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013145925A (ja) * 2013-04-26 2013-07-25 Tokyo Electron Ltd 処理装置
JP2013207220A (ja) * 2012-03-29 2013-10-07 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
DE102008035815A1 (de) 2008-07-31 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verbessern der strukturellen Integrität und Definieren kritischer Abmessungen von Metallisierungssystemen von Halbleiterbauelementen unter Anwendung von ALD-Techniken
KR20110125651A (ko) * 2009-03-10 2011-11-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
JP5538128B2 (ja) * 2010-08-09 2014-07-02 東京エレクトロン株式会社 排気方法およびガス処理装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004214388A (ja) * 2002-12-27 2004-07-29 Tokyo Electron Ltd 基板処理方法
JP2006086411A (ja) * 2004-09-17 2006-03-30 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2006114719A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7083991B2 (en) * 2002-01-24 2006-08-01 Novellus Systems, Inc. Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
JP5057647B2 (ja) 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
JP4903374B2 (ja) 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
KR101063591B1 (ko) * 2004-10-27 2011-09-07 인터내셔널 비지네스 머신즈 코포레이션 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
US7488689B2 (en) * 2004-12-07 2009-02-10 Tokyo Electron Limited Plasma etching method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004214388A (ja) * 2002-12-27 2004-07-29 Tokyo Electron Ltd 基板処理方法
JP2006086411A (ja) * 2004-09-17 2006-03-30 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2006114719A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013207220A (ja) * 2012-03-29 2013-10-07 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP2013145925A (ja) * 2013-04-26 2013-07-25 Tokyo Electron Ltd 処理装置

Also Published As

Publication number Publication date
TWI381446B (zh) 2013-01-01
US20090286399A1 (en) 2009-11-19
DE112007000177T5 (de) 2008-10-23
JP2012195613A (ja) 2012-10-11
JPWO2008029800A1 (ja) 2010-01-21
TW200822222A (en) 2008-05-16

Similar Documents

Publication Publication Date Title
KR101049491B1 (ko) 기판 처리 방법 및 컴퓨터 판독 가능한 기억 매체
JP5019741B2 (ja) 半導体装置の製造方法および基板処理システム
JP5057647B2 (ja) 半導体装置の製造方法および半導体装置の製造装置
JP5100057B2 (ja) 半導体装置の製造方法
JP5452894B2 (ja) 基板処理方法、基板処理装置および記憶媒体
US7799703B2 (en) Processing method and storage medium
JP2012195613A (ja) 基板処理方法および記憶媒体
JP5247999B2 (ja) 基板処理方法およびコンピュータ読取可能な記憶媒体
KR100870806B1 (ko) 반도체 디바이스의 제조 방법
JP2004214388A (ja) 基板処理方法
JP4318930B2 (ja) 基板処理方法
JP2002043305A (ja) 成膜装置及び成膜方法

Legal Events

Date Code Title Description
ENP Entry into the national phase

Ref document number: 2008533160

Country of ref document: JP

Kind code of ref document: A

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07806661

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 12086298

Country of ref document: US

RET De translation (de og part 6b)

Ref document number: 112007000177

Country of ref document: DE

Date of ref document: 20081023

Kind code of ref document: P

WWE Wipo information: entry into national phase

Ref document number: 112007000177

Country of ref document: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07806661

Country of ref document: EP

Kind code of ref document: A1