TWI381446B - Substrate processing methods and memory media - Google Patents

Substrate processing methods and memory media Download PDF

Info

Publication number
TWI381446B
TWI381446B TW096133247A TW96133247A TWI381446B TW I381446 B TWI381446 B TW I381446B TW 096133247 A TW096133247 A TW 096133247A TW 96133247 A TW96133247 A TW 96133247A TW I381446 B TWI381446 B TW I381446B
Authority
TW
Taiwan
Prior art keywords
film
treatment
substrate processing
substrate
denatured
Prior art date
Application number
TW096133247A
Other languages
English (en)
Other versions
TW200822222A (en
Inventor
Yasushi Fujii
Kazuki Kosai
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200822222A publication Critical patent/TW200822222A/zh
Application granted granted Critical
Publication of TWI381446B publication Critical patent/TWI381446B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous

Description

基板處理方法及記憶媒體
本發明係關於例如在藉由雙鑲嵌法等之半導體裝置的製造過程中,用以使特定物質變性之變性處理,及進行變性物質的溶解除去處理之基板處理方法,以及記憶有用以執行該方法之程式的記憶媒體。
在半導體裝置中,基於微細化之配線間隔的減少,使配線間產生大的電容,且使訊號的傳播速度降低,導致動作速度的延遲。為了解決此問題,乃進行低介電常數(k值)之絕緣材料(Low-k材料)的開發及使用其之多層配線的開發。另一方面,作為配線材料,具有低阻抗且高電子遷移耐性的銅受到注目,於銅的溝配線或連接孔之形成上,多數使用單鑲嵌法或雙鑲嵌法。
第1圖係說明藉由雙鑲嵌法來形成多層銅配線之一連串的製程之說明圖。首先,於矽基板(未圖示出)之上的由Low-k材料所形成之絕緣膜的低介電質膜(Low-k膜)200,介由阻障金屬層201來形成由銅所形成的下部配線202,且介由蝕刻阻擋膜203形成Low-k膜204作為層間絕緣膜,進而於其表面形成反射防止膜(BARC:Bottom Anti-Reflective Coating)205後,於反射防止膜205的表面形成抗蝕膜206,接著,以特定的圖案來使抗蝕膜206曝光,介由使其顯影,於抗蝕膜206形成電路圖案(製程(a))。
接著,將抗蝕膜206當成遮罩來蝕刻Low-k膜204,形成引洞204a(製程(b))。藉由藥液處理或灰化處理等來除去反射防止膜205與抗蝕膜206後,於具有引洞204a之絕緣膜204的表面形成犧牲膜207(製程(c))。此時,引洞204a也藉由犧牲膜207而被填埋。
於犧牲膜207的表面形成抗蝕膜208,以特定的圖案來使抗蝕膜208曝光,藉由使其顯影,於抗蝕膜208形成電路圖案(製程(d))。之後,將抗蝕膜208當成遮罩而蝕刻處理犧牲膜207及Low-k膜204,於引洞204a之上形成寬度更寬之溝槽204b(製程(e))。之後,藉由除去抗蝕膜208與犧牲膜207,於絕緣膜204形成引洞204a與溝槽204b(製程(f))。然後,於此等之中填埋銅作為上部配線。
且說,作為犧牲膜207多數使用Si-O系的無機材料,在以往之抗蝕膜除去所使用的灰化處理中,難於除去犧牲膜207。另外,雖也嘗試以藥液來溶解,但是處理速度極慢。
作為除去此種犧牲膜之技術,藉由包含水蒸氣與臭氧之處理氣體來使犧牲膜變性而可溶於特定的藥液,之後,藉由該藥液來除去犧牲膜之技術被提出(日本專利特開2004-214388號公報)。
但是,藉由此種包含水蒸氣與臭氧之處理氣體來進行藥液可溶化處理,之後,再進行藉由藥液之洗淨處理時,會於Low-k材料產生損傷,介電常數提高,有作為層間絕緣膜而使用Low-k材料之效果降低之顧慮。
另一方面,作為恢復此種損傷之技術,於日本專利特開2006-049798號公報中,有提出:於蝕刻或抗蝕膜除去後,以矽烷化劑來將受到損傷的部分予以改質,且將甲基等之烷基當成末端基之矽烷化處理,於使前述洗淨處理後或變性處理後之損傷恢復時,認為也可以使用此種技術。
但是,即使於此種洗淨處理或變性處理後進行矽烷化處理,有時k值之恢復程度並無法很充分。
本發明之目的在於提供:基於變性處理及其後之溶解處理之損傷,即使在介電質膜的k值上昇之情形時,也可以充分使k值恢復之基板處理方法。
另外其他目的在於提供:記憶有執行該種製造方法之程式的記憶媒體。
如依據本發明之第1觀點,係提供一種基板處理方法,為具有:將形成於基板上之低介電質膜予以蝕刻處理來形成特定圖案之程序;及以對於特定的液體可溶化之方式,使結束前述蝕刻處理後所殘存的物質變性之程序;及供給前述特定的液體來溶解除去前述被變性的物質之程序;及接著,對前述被變性的物質之溶解除去後的低介電質膜的表面供給矽烷化劑予以矽烷化處理之程序;及前述矽烷化處理後,烘烤基板之程序。
如依據本發明之第2觀點,係提供一種基板處理方法,為具有:於形成於基板上之低介電質膜之上形成犧牲膜之程序;及於前述犧牲膜之上形成蝕刻遮罩,蝕刻前述犧牲膜與前述低介電質膜並形成特定圖案之程序;及以可溶化於特定的液體之方式,使前述犧牲膜與前述蝕刻遮罩變性之程序;及供給前述特定的液體並溶解除去前述被變性的物質之程序;及接著,對前述被變性之物質的溶解除去後之低介電質膜的表面供給矽烷化劑予以矽烷化處理之程序;及前述矽烷化處理後,烘烤基板之程序。
於前述第1、第2觀點中,可以進一步具有:在使前述殘留的物質變性後,且溶解除去前述被變性的物質前,將形成有前述圖案之低介電質膜的表面予以矽烷化處理之程序。另外,作為前述低介電質膜,以多孔質低介電常數材料為佳。作為前述低介電質膜,可以使用具有烷基為末端基者。
進而,前述殘留之物質的變性,可以供給包含水蒸氣與臭氧的處理氣體來進行。另外,前述殘留之物質的變性,也可以供給包含臭氧的處理氣體來進行。另外,作為前述特定的液體,係可以使用酸性或鹼性藥液。
進而作為用以進行前述矽烷化處理之矽烷化劑,可以使用分子內具有矽氨烷結合(Si-N)之化合物,作為於前述分子內具有矽氨烷結合之化合物,可以使用由:TMDS(1,1,3,3-Tetramethyldisilazane)、TMSDMA(Dimethylaminotrimethylsilane)、及DMSDMA(Dimethylsilyldimethylamine)所選擇者。
進而前述基板的烘烤,以比前述矽烷化處理之程序還高的溫度來進行為佳,具體而言,以150~400℃來進行為佳。進而也可以在前述矽烷化處理之前進行烘烤處理。
如依據本發明之第3觀點,係提供一種基板處理方法,為具有:具有被蝕刻膜,且藉由蝕刻處理於被蝕刻膜形成有特定圖案,蝕刻處理後所殘存的物質被變性成對於特定的液體可溶化,進而對於藉由前述特定的液體,前述被變性的物質被溶解除去後之基板,於被蝕刻膜的表面供給矽烷化劑予以矽烷化處理之程序;及前述矽烷化處理後,烘烤基板之程序。
如依據本發明之第4觀點,係提供一種記憶媒體,係記憶有:於電腦上動作,且用以控制基板處理裝置之程式之記憶媒體,前述程式,於執行時,係以進行具有:將形成於基板上之低介電質膜予以蝕刻處理來形成特定圖案之程序;及以對於特定的液體可溶化之方式,使結束前述蝕刻處理後所殘存的物質變性之程序;及供給前述特定的液體來溶解除去前述被變性的物質之程序;及接著,對前述被變性的物質之溶解除去後的低介電質膜的表面供給矽烷化劑予以矽烷化處理之程序;及前述矽烷化處理後,烘烤基板之程序之基板處理方法之方式,讓電腦來控制前述基板處理裝置。
如依據本發明之第5觀點,係提供一種記憶媒體,為記憶有:於電腦上動作,且用以控制基板處理裝置之程式之記憶媒體,前述程式,於執行時,係以進行具有:於犧牲膜之上形成蝕刻遮罩,蝕刻前述犧牲膜與前述低介電質膜並形成特定圖案之程序;及以可溶化於特定的液體之方式,使前述犧牲膜與前述蝕刻遮罩變性之程序;及供給前述特定的液體並溶解除去前述被變性的物質之程序;及接著,對前述被變性之物質的溶解除去後之低介電質膜的表面供給矽烷化劑予以矽烷化處理之程序;及前述矽烷化處理後,烘烤基板之程序之基板處理方法之方式,讓電腦來控制前述基板處理裝置。
如依據本發明之第6觀點,係提供一種記憶媒體,為記憶有:於電腦上動作,且用以控制基板處理裝置之程式之記憶媒體,前述程式,於執行時,係以進行具有:具有被蝕刻膜,且藉由蝕刻處理於被蝕刻膜形成有特定圖案,蝕刻處理後所殘存的物質被變性成對於特定的液體可溶化,進而對於藉由前述特定的液體,前述被變性的物質被溶解除去後之基板,於被蝕刻膜的表面供給矽烷化劑予以矽烷化處理之程序;及前述矽烷化處理後,烘烤基板之程序之基板處理方法之方式,讓電腦來控制前述基板處理裝置。
如依據本發明,於變性處理後之溶解處理後,予以矽烷化處理,之後,將基板予以烘烤,針對受到損傷而介電常數(k值)降低的低介電質膜,可以使其k值充分地恢復。即溶解處理後,低介電質膜含有水分,藉由該水分與矽烷化劑反應,得以形成Si系副生成物。此Si系副生成物,其本身的k值高,藉由矽烷化處理,即使以甲基等之烷基為末端基而使損傷恢復,結果而言,k值無法充分降低。特別是在多孔質低介電質膜之情形時,氣孔中含有大量的水分,內部存在此種Si系副生成物,此種情形容易產生。因此,在本發明中,藉由烘烤處理,來分解除去此種Si系副生成物。藉此,使k值上昇之Si系副生成物不會存在於低介電質膜中,可以充分地使低介電質膜的k值恢復。
以下,一面參照所附圖面一面詳細說明本發明之實施形態。此處,針對藉由雙鑲嵌法來製造半導體裝置時適用本發明之例子來做說明。
第2圖係表示於本發明之一實施形態適用基板處理方法之藉由雙鑲嵌法的半導體裝置之製造製程所使用的晶圓處理系統的概略構成說明圖。此晶圓處理系統,係具備:SOD(Spin On Dielectric)裝置101;及抗蝕劑塗佈/顯影裝置102;及曝光裝置103;及洗淨處理裝置104;及蝕刻裝置105;及PVD裝置之一的濺鍍裝置106;及電解電鍍裝置107;及具備作為研磨裝置之CMP裝置109的處理部100;及包含製程控制器111、使用者介面112、記憶部113之主控制部110。此處,處理部100的SOD裝置101及濺鍍裝置106及電解電鍍裝置107係屬薄膜形成裝置。另外,在處理部100之裝置間作為搬運晶圓W的方法,係使用:藉由操作者之搬運方法或藉由未圖示出之搬運裝置的搬運方法。
處理部100的各裝置係為與具備CPU之製程控制器111連接而被控制的構成。於製程控制器111連接有:由程序管理者用以管理處理部100之各裝置,進行指令的輸入操作等之鍵盤、或使處理部100的各裝置之開動狀況可見化而顯示之顯示器等所形成的使用者介面112;及儲存有記錄了以製程控制器111之控制來實現在處理部100所被執行的各種處理之控制程式或處理條件資料等之處理程序的記憶部113。
然後,因應需要,接受來自使用者介面112之指示等,從記憶部113呼叫任意的處理程序,使製程控制器111執行之,在製程控制器111的控制下,於處理部100中進行所期望的各種處理。另外,前述處理程序例如可以是儲存於CD-ROM、硬碟、軟碟、非揮發性記憶體等之可以讀出的記憶媒體的狀態者,進而,也可以從處理部100的各裝置間或外部的裝置,例如透過專用線路來隨時傳送在線上利用。
另外,不進行藉由主控制部110之整體性控制,或者與藉由主控制部110的整體性控制重疊,採用每一處理部100之各裝置個別地配備包含製程控制器、使用者介面及記憶部之控制部來進行控制之構成亦可。
前述SOD裝置101係對晶圓W塗佈藥液,且藉由旋轉塗佈法來形成Low-k膜等之層間絕緣膜或蝕刻阻止膜等所使用。SOD裝置101的詳細構成雖未圖示出,但是SOD裝置101係具備:旋轉塗佈機單元;及將形成有塗佈膜之晶圓W予以熱處理之熱處理單元。在晶圓處理系統中,也可以代替SOD裝置101而使用藉由化學氣相沉積法(CVD:chemical vapor deposition)於晶圓W形成絕緣膜等之CVD裝置。
前述抗蝕劑塗佈/顯影裝置102係用以形成作為蝕刻遮罩使用的抗蝕劑膜或反射防止膜等所使用。抗蝕劑塗佈/顯影裝置102的詳細構成雖未圖示出,但是抗蝕劑塗佈/顯影裝置102係具備:對晶圓W塗佈抗蝕劑液體等來旋轉塗佈形成抗蝕劑膜等之抗蝕劑塗佈處理單元;及於晶圓W塗佈反射防止膜(BARC)之BARC塗佈處理單元;及於晶圓W塗佈犧牲膜(SLAM)之犧牲膜塗佈處理單元;及將在曝光裝置103中被以特定圖案所曝光之抗蝕劑膜予以顯影處理之顯影處理單元;及將形成有抗蝕劑膜之晶圓W或被曝光處理之晶圓W、被施以顯影處理之晶圓W分別予以熱處理之熱處理單元等。
曝光裝置103係被使用於對形成有抗蝕劑膜之晶圓W予以曝光成特定的電路圖案用。洗淨處理裝置104係如之後詳細說明般,進行:藉由純水或藥液之洗淨處理、蝕刻處理後之聚合物殘渣等之變性處理、由基於層間絕緣膜之蝕刻所導致的損傷之恢復處理。
蝕刻裝置105係用以對被形成於晶圓W上之層間絕緣膜等施以蝕刻處理者。蝕刻處理可以是利用電漿者,也可以是使用藥液者。濺鍍裝置106例如係被使用於形成擴散防止膜或Cu晶種層。在電解電鍍裝置107中,於形成有Cu晶種層之溝配線等填埋Cu,CMP裝置109為進行填埋有Cu之溝配線等的表面之平坦化處理者。
接著,詳細說連對於本發明而言達成重要任務之洗淨處理裝置104。第3圖係洗淨處理裝置104的概略平面圖,第4圖係其概略正面圖,第5圖係其概略背面圖。洗淨處理裝置104係具備:收容有晶圓W之載體從其他的處理裝置等被依序搬入,反之將收容有洗淨處理裝置104中之處理結束的晶圓W朝進行下一處理的處理裝置等搬出之載體工作站4;及設置有分別進行洗淨處理或變性處理、恢復處理之複數個處理單元之處理工作站2;及在處理工作站2與載體工作站4之間進行晶圓W的搬運之搬運工作站3;及進行在處理工作站2所使用的藥液或純水、氣體等之製造、調製、儲存等之化學工作站5。
在載體C的內部中,晶圓W係以略微水平姿勢等在垂直方向(Z方向)以一定間隔被收容。晶圓W對於此種載體C之搬入搬出,係通過載體C的一側面來進行,此側面係藉由蓋體10a(未圖示出於第3圖,第4及第5圓係表示蓋體10a被拆除之狀態)而可以開關自如。
如第3圖所示般,載體工作站4係沿著圖中Y方向,於3處具有可以載置載體C之載置台6。載體C係以設置有蓋體10a之側面朝向載體工作站4與搬運工作站3之間的境界壁8a側之方式而被載置於載置台6。在境界壁8a中,於對應載體C之載置場所的位置形成有窗部9a,於各窗部9a的搬運工作站3側設置有開關窗部9a之擋門10。此擋門10係具有把持載體C的蓋體10a之把持手段(未圖示出),如第4圖及第5圖所示般,成為在保持蓋體10a的狀態下,可以使蓋體10a退避於搬運工作站3側。
設置於搬運工作站3之晶圓搬運裝置7,係具有可以保持晶圓W之晶圓搬運尖部7a。晶圓搬運裝置7係可以沿著在搬運工作站3之地板延伸存在於Y方向而設置的導軌(參照第4及第5圖)7b在Y方向移動。另外,晶圓搬運尖部7a係可以在X方向滑動自如,且可以在Z方向昇降自如,並且在X-Y平面內可以旋轉自如(θ旋轉)。
藉由此種構造,在載體C的內部與搬運工作站3介由窗部9a而連通之方式使擋門10退避之狀態下,晶圓搬運尖部7a係可以存取載置於載置台6之全部的載體C,能夠從載體C將位於載體C內的任意高度之位置的晶圓W搬出,反之,可以將晶圓W搬入載體C的任意位置。
處理工作站2係於搬運工作站3側具有2台的晶圓載置單元(TRS)13a、13b。例如晶圓載置單元(TRS)13b係被使用於從搬運工作站3接受晶圓W時用以載置晶圓W,晶圓載置單元(TRS)13a係被使用於將在處理工作站2中結束特定的處理之晶圓W送返搬運工作站3時用以載置晶圓W。
於處理工作站2的背面側配置有:藉由包含水蒸氣與臭氧(O3)之氣體來使蝕刻處理後之聚合物殘渣或抗蝕劑膜、犧牲膜等變性為對於特定的藥液可溶化之變性處理單元(VOS)15a~15f。在此變性處理單元(VOS)15a~15f中,蝕刻處理後之聚合物殘渣或抗蝕劑膜、犧牲膜等之形狀可以一面原樣地維持,只有其化學性質變化為對特定的藥液可溶化。
於變性處理單元(VOS)15a、15d之上設置有:為了使基於變性處理及洗淨處理等而受到損傷之層間絕緣膜從損傷等予以回覆,而予以矽烷化處理之矽烷化單元(SCH)11a、11b。
於處理工作站2的正面側配置有:對變性處理單元(VOS)15a~15f中之處理結束的晶圓W施以藥液處理或水洗處理,且除去變性的聚合物殘渣等之洗淨單元(CNU)12a~12d。
在處理工作站2中,於夾住主晶圓搬運裝置14而與晶圓載置單元(TRS)13a、13b相對的位置,4段重疊配置有進行結束以矽烷化單元(SCH)11a、11b予以矽烷化處理後之烘烤、或洗淨單元(CNU)12a~12d之處理的晶圓的加熱乾燥之電熱板單元(HP)19a~19d。進而於晶圓載置單元(TRS)13a之上側重疊有將被加熱乾燥處理之晶圓W予以冷卻之冷卻板單元(COL)21a、21b。另外,晶圓載置單元(TRS)13b也可以作為冷卻板單元使用。於處理工作站2的上部設置有對處理工作站2的內部吹送潔淨空氣之風扇過濾單元(FFU)25。
於處理工作站2的略中央部設置有在處理工作站2內搬運晶圓W之主晶圓搬運裝置14。主晶圓搬運裝置14係具有搬運晶圓W之晶圓搬運臂14a。主晶圓搬運裝置14係可在Z軸周圍旋轉自如。另外,晶圓搬運臂14a係在水平方向可以進退自如,且在Z方向可以昇降自如。藉由此種構造,主晶圓搬運裝置14可使其本身不在X方向移動,而存取設置於處理工作站2之各單元,成為可以在此等各單元間搬運晶圓W。
化學工作站5係具有:對設置於處理工作站2之變性處理單元(VOS)15a~15f供給臭氧或水蒸氣等作為處理氣體之處理氣體供給部16;及對洗淨單元(CNU)12a~12d供給洗淨液之洗淨液供給部17;及對矽烷化單元(SCH)11a、11b供給矽烷化劑或載體氣體等之矽烷化劑供給部18。
接著,一面參照第6圖所示之概略剖面圖一面詳細說明變性處理單元(VOS)15a的構造。另外,其他的變性處理單元(VOS)15b~15f也具有完全相同的構造。此變性處理單元(VOS)15a係具有收容晶圓W之密閉式腔體30,腔體30係由:被固定的下部容器41a;及覆蓋下部容器41a的上面之蓋體41b所構成,蓋體41b係藉由被固定於變性處理單元(VOS)15a的機架42之氣缸43而可以昇降自如。第6圖係表示使蓋體41b密接下部容器41a之狀態;及蓋體41b退避於下部容器41a的上方之狀態。
於下部容器41a周緣的立起部的上面配置有O型環51。驅動氣缸43而使蓋體41b下降時,蓋體41b的背面周緣抵接於下部容器41a周緣的立起部的上面,並且O型環51被壓縮而形成被密閉於腔體30內的處理空間。
於下部容器41a設置有載置晶圓W之工作台33,於此工作台33的表面,支撐晶圓W的近接銷44被設置於複數處所。
於工作台33的內部埋設有加熱器45a,且於蓋體41b埋設有加熱器45b,可以分別在特定溫度保持工作台33與蓋體41b。藉此,晶圓W的溫度被保持為一定。
於蓋體41b的背面例如3處所設置有保持晶圓W的爪構件46(第6圖中,只圖示2處)。晶圓搬運臂14a係對此爪構件46進行晶圓W的交接。爪構件46在已保持晶圓W之狀態下,如使蓋體41b下降時,在其下降中途,晶圓W被交付給設置於工作台33之近接銷44。
在腔體30中,於蓋體41b設置有:將處理氣體導入內部之氣體導入口34a及將處理氣體排出外部之氣體排出口34b。處理氣體供給裝置16係被連接於氣體導入口34a,排氣裝置32則與氣體排出口34b連接。
晶圓W之藉由處理氣體的處理,以將腔體30的內部保持為一定的正壓來進行為佳。因此,不單藉由氣缸43來按壓下部容器41a與蓋體41b,也藉由鎖緊機構35來將設置於彼等之端面的突起部47a、47b彼此予以鎖緊。
此鎖緊機構35係具有:支撐軸52;及藉由旋轉裝置54而可以旋轉自如之旋轉筒55;及被固定於旋轉筒55之圓板56;及設置於圓板56的周緣之夾持構件57。夾持構件57係具有:按壓輥輪59a、59b;及保持旋轉軸58之輥輪保持構件48。
突起部47a、47b係等間隔地設置於4處,於彼等之間形成有間隙部49。突起部47a、47b係分別被配置於重疊位置。在此間隙部49的位置配置有夾持構件57之狀態下,可以自由地進行蓋體41b的昇降。
連同旋轉筒55而將圓板56旋轉特定角度時,按壓輥輪59b在突起部47b的上面靜止,按壓輥輪59a在突起部47a的下側靜止。另外,其他的變性處理單元也具有完全相同的構造。
接著,一面參照第7圖所示之概略剖面圖來詳細說明矽烷化單元(SCH)11a。另外,矽烷化單元(SCH)11b也具有完全相同的構造。矽烷化單元(SCH)11a係具備收容晶圓W之腔體61,腔體61係由:被固定的下部容器61a;及覆蓋下部容器61a之蓋體61b所構成,蓋體61b係藉由未圖示出之昇降裝置而可以昇降自如。於下部容器61a設置有電熱板62,從電熱板62的周圍對腔體61內供給矽烷化劑,例如包含DMSDMA(Dimethylsilyldimethylamine)的蒸汽之氮氣。DMSDMA係藉由氣化器63而被氣化,被N2 氣體所載送而被供給至腔體61。
電熱板62例如可以在室溫~400℃的範圍進行溫度調節,於其表面設置有支撐晶圓W之銷64。藉由將晶圓W不直接載置於電熱板62,得以防止晶圓W的背面之污染。於下部容器61a的外周部上面設置有第1密封65,於蓋體61b的外周部下面設置有第2密封66,於將蓋體61b按壓於下部容器61a時與第1密封65接觸。此等第1及第2密封65、66間的空間係可以減壓,藉由將此空間予以減壓,得以確保腔體61的氣密性。於蓋體61b的略中心部設置有用以將供給至腔體61之包含DMSDMA之氮氣予以排氣的排氣口67,此排氣口67係介由壓力調整裝置68而與真空泵69連接。
另外,在第7圖中,雖作成藉由氣化器63而使液體的DMSDMA氣體氣化,藉由N2 氣體予以載送而供給至腔體61,但是也可以作成只將使DMSDMA氣化之氣體(即DMSDMA蒸汽)供給至腔體61之構成。再將DMSDMA供給至腔體61內時,腔體61內係被保持為特定的真空度,所以可以容易地進行利用氣化器63與腔體61的壓力差,而將DMSDMA氣體導入腔體61。
接著,一面參照第8圖所示之概略剖面圖一面詳細地說明洗淨單元(CNU)12a。其他的洗淨單元(CNU)12b~12d也具有完全相同的構造。此洗淨單元(CNU)12a係於其中央部配置有環狀的蓋(CP),於蓋(CP)的內側配置有旋轉夾頭71。旋轉夾頭71係在藉由真空吸附而將晶圓W予以固定保持之狀態下,藉由驅動電動機72而被旋轉驅動。於蓋(CP)的底部設置有將洗淨液、純水予以排出之排水配管73。
驅動電動機72係可以昇降移動地被配置於設置在單元底板74之開口74a,介由罩狀的凸緣構件75與例如由氣缸所形成的昇降驅動機構76及昇降導軌77結合。於驅動電動機72的側面安裝有筒狀的冷卻水套78,凸緣構件75係以覆蓋此冷卻水套78的上半部之方式而被安裝。
在將藥液等供給至晶圓W時,凸緣構件75的下端75a係在開口74a的周緣附近與單元底板74密接,藉此,單元內部被密閉。在旋轉夾頭71與晶圓搬運臂14a之間進行晶圓W的交接時,藉由昇降驅動機構76將驅動電動機72及旋轉夾頭71朝上方舉起,凸緣構件75的下端從單元底板74而浮起。
於蓋(CP)的上方具備:對存在被以變性處理單元(VOS)15a~15f之其一所變性的物質(以下稱為變性物質),例如被變性的犧牲膜之晶圓W的表面供給溶解該變性物質之特定的洗淨液之洗淨液供給機構80。
洗淨液供給機構80係具有:對被保持於旋轉夾頭71之晶圓W的表面吐出洗淨液之洗淨液吐出噴嘴81;及對洗淨液吐出噴嘴81傳送特定的洗淨液之洗淨液供給部17;及保持洗淨液吐出噴嘴81且可以於Y方向進退自如之掃瞄臂82;及支撐掃瞄臂82之垂直支撐構件85;及被安裝於在單元底板74之上被設置於X軸方向之導軌84,且使垂直支撐構件85朝X軸方向移動之X軸驅動機構86。掃瞄臂82係藉由87可以在上下方向(Z方向)移動,藉此,使洗淨液吐出噴嘴81移動至晶圓W上之任意位置,另外,也可以使退避於蓋(CP)外的特定位置。
洗淨液供給部17係可以選擇性地將溶解被以變性處理單元(VOS)15a~15f所變性的犧牲膜等之變性物質,例如稀氟酸、胺系藥液等之溶解除去液;及作為沖洗液使用之純水送往洗淨液吐出噴嘴81。
接著,一面參照第9圖所示之概略剖面圖一面詳細說明矽烷化處理後之烘烤處理所使用之電熱板單元(HP)19a。另外,電熱板單元(HP)19b~19d也具有完全同樣的構造。此電熱板單元(HP)19a係具備形成為略圓筒狀的處理腔體91,於其內部的底部設置有晶圓載置台92。於晶圓載置台92埋設有加熱器93,藉此,對晶圓載置台92上的晶圓W施以矽烷化後之烘烤處理等之加熱處理。於加熱器93連接有加熱器電源94。於晶圓載置台93設置有可以突出沒入之未圖示出的晶圓舉高銷,於晶圓之搬入搬出時,使晶圓W位於晶圓載置台92之上方的特定位置。另外,於腔體91的側壁91a設置有晶圓搬入搬出口(未圖示出)。
於對應被載置於腔體91的側壁91a之載置台92上的晶圓W之位置,設置有空氣導入口95,於腔體91的天壁91b的中央設置有空氣排出口96。
另外,前述之變性處理單元(VOS)15a~15c與變性處理單元(VOS)15d~15f係具有針對境界壁22b為略對稱的構造,矽烷化單元(SCH)11a與矽烷化單元(SCH)11b係具有針對境界壁22b為略對稱之構造。同樣地,洗淨單元(CNU)12a、12b與洗淨單元(CNU)12c、12d係具有針對境界壁22a為略對稱的構造。
接著,說明適用本發明之一實施形態的基板處理方法之藉由雙鑲嵌法的半導體裝置之製造製程。
第10圖係表示藉由雙鑲嵌法的半導體裝置之製造製程之流程圖,第11圖係說明第10圖的流程圖所示之各程序的狀態之說明圖。
首先,形成:於Si基板(未圖示出)上形成絕緣膜120,於其中的上部介由阻障金屬層121形成下部銅配線122,於絕緣膜120及下部銅配線122之上形成有阻擋膜(例如SiN膜、SiC膜)123之晶圓W;將此晶圓W搬入SOD裝置101,於該處,在阻擋膜123上形成由低介電常數材料(Low-k材料)所形成的層間絕緣膜(以下,記為Low-k膜)124(步驟1)。藉此,形成第11之(a)圖的狀態。
接著,將形成有Low-k膜124之晶圓W搬入抗蝕劑塗佈/顯影裝置102,於該處,使用抗蝕劑塗佈處理單元於Low-k膜124上依序形成反射防止膜125、抗蝕劑膜126,接著,將晶圓W搬運至曝光裝置103,於該處,藉由特定的圖案予以曝光處理,進而將晶圓W送返抗蝕劑塗佈/顯影裝置102,於顯影處理單元中,藉由將抗蝕劑膜126予以顯影處理,於抗蝕劑膜126形成特定的電路圖按(步驟2)。接著,將晶圓搬運至蝕刻裝置105,於該處進行蝕刻處理(步驟3)。藉此,如第11之(b)圖所示般,於Low-k膜124形成到達阻擋膜123之引洞124a。
形成有此引洞124a之晶圓W,接著被搬運至洗淨處理裝置104,於洗淨單元(CNU)12a~12d之其一被藥液處理,抗蝕劑膜126及反射防止膜125從晶圓W被除去(步驟4,第11之(c)圖)。
接著,晶圓W被搬運至抗蝕劑塗佈/顯影裝置102,於該處使用犧牲膜塗佈處理單元,於具有引洞124a之Low-k膜124的表面形成由無機系材料(例如Si-O系材料)所形成之犧牲膜127(步驟5)。此時,引洞124a也藉由犧牲膜127而被埋住。接著,在抗蝕劑塗佈處理單元中,於犧牲膜127的表面形成成為蝕刻遮罩之抗蝕劑膜128,在曝光裝置103中以特定的圖案將抗蝕劑膜128予以曝光,接著,在顯影處理單元中,將抗蝕劑膜128予以顯影(步驟6)。藉此,如第11之(d)圖所示般,於抗蝕劑膜128形成電路圖案。此處,於抗蝕劑膜128比引洞124a的寬度還寬的溝被形成於引洞124a的上方位置。
接著,將晶圓W搬運至蝕刻裝置105,於該處對晶圓W的Low-k膜124施以蝕刻處理(步驟7)。藉此,如第11之(e)圖所示般,於引洞124a的上方形成寬度更寬的溝槽124b。藉由於Low-k膜124之上形成犧牲膜127,可以使在Low-k膜124中被蝕刻之部分的底面成為平坦的形態。
蝕刻處理結束的晶圓W被搬運至洗淨處理裝置104,於該處進行犧牲膜127與抗蝕劑膜128之變性處理(步驟8、第11之(f)圖);及犧牲膜127與抗蝕劑膜128與聚合物殘渣之除去處理(步驟9,第11之(g)圖)。
具體而言,首先收容有結束蝕刻處理之晶圓的載體C被載置於載置台6,且藉由使載體C的蓋體10a與擋門10退避於搬運工作台3側,窗部9a被打開。接著,藉由晶圓搬運尖部7a,位於載體C的特定位置之1片晶圓被搬運至晶圓載置單元(TRS)13b。
然後,藉由晶圓搬運臂14a將被載置於晶圓載置單元(TRS)13b之晶圓搬入變性處理單元(VOS)15a~15h之其一,進行前述步驟8之犧牲膜127與抗蝕劑膜128之變性處理(第11之(f)圖)。
在此情形時,首先作成使腔體30的蓋體41b退避於下部容器41a的上方之狀態,之後,以使晶圓W進入比設置於蓋體41b之爪構件46的保持晶圓W的部分(突出水平方向的部分)還稍微高的位置之方式,使進入已保持晶圓W的晶圓搬運臂14a。接著,使晶圓搬運臂14a朝下方下降時,則晶圓被交給爪構件46。
於使晶圓搬運臂14a從變性處理單元(VOS)15a退避後,使蓋體41b下降,使蓋體41b密接於下部容器41a,進而使鎖住機構35動作,使腔體30成為密閉狀態。在使蓋體41b下降的中途,晶圓W係從爪構件46倍交付給近接銷44。
以加熱器45a、45b將工作台33、蓋體41b保持在特定的溫度。例如,將工作台33保持在100℃,將蓋體41b保持在110℃。
工作台33及蓋體41b一被保持在特定溫度(例如110℃~120℃),並且晶圓W的溫度分佈幾乎成為一定時,首先從處理氣體供給裝置16只將臭氧/氮氣混合氣體(例如臭氧含有量9wt%、流量4L/分鐘)供給至腔體30內,腔體30的內部被臭氧/氮氣混合氣體所充滿,並且調節成特定的正壓,例如錶壓成為0.2MPa。
之後,將於臭氧/氮氣混合氣體混合有水蒸氣的處理氣體(例如水蒸氣量以水換算為16ml/分鐘)從處理氣體供給裝置16供給至腔體30內。藉由此處理氣體而形成於晶圓W的犧牲膜127,被變性成容易溶解於特定的藥液,例如HF之性質,抗蝕劑膜128與附著於晶圓W之聚合物殘渣(例如蝕刻處理後所產生的聚合物殘炸)也藉由此藥液而變得被容易被溶解。如此,處理氣體分別使犧牲膜127、抗蝕劑膜、聚合物殘炸變性。對腔體30的處理氣體之供給量與從腔體30之排氣量,係被調整為腔體30內成為特定的正壓。
晶圓W之藉由處理氣體的處理一結束,則停止處理氣體的供給,從處理氣體供給裝置16對腔體30內供給氮氣,以氮氣沖洗腔體30內。此沖洗處理時,以之後打開腔體30時,臭氧/氮氣混合氣體不會從排氣裝置32逆流,而使得臭氧/氮氣混合氣體從腔體30被排出之方式,使臭氧/氮氣混合氣體完全從排氣裝置32內排出。
藉由氮氣之沖洗處理結束後,確認腔體30的內壓要與外氣壓力相同。此係由於腔體30的內部壓力在比大氣壓力還高的狀態下,如打開腔體30時,腔體30會有受到損傷之虞的關係。腔體30的內壓確認後,解除藉由鎖住機構35之下部容器41a與蓋體41b的鎖緊,且使蓋體41b上昇。於使蓋體41b上昇時,晶圓W被保持於爪構件46,與蓋體41b一同地上昇。使晶圓搬運臂14a進入下部容器41a與蓋體41b之間隙,將晶圓W從爪構件46交付至晶圓搬運臂14a。
在變性處理單元(VOS)15a~15f之其一中的變性處理結束之時間點,犧牲膜127等並未被從晶圓W除去。因此,進行用以從晶圓W除去犧牲膜127等之溶解除去處理(洗淨處理)(前述步驟9)。
於進行此溶解除去處理時,搬入洗淨單元(CNU)12a~12d之其一,於該處藉由可以溶解犧牲膜127等之特定的藥液(例如稀氟酸、胺系藥液)來進行犧牲膜127等之溶解除去處理(前述步驟9,第製程控制器111之(g)圖)。
於進行此溶解除去處理時,將晶圓W搬運至洗淨單元(CNU)12a~12d之一個旋轉夾頭71上,使吸附保持於略水平姿勢,從洗淨液供給機構80的洗淨液圖尺屋噴嘴81對晶圓W的表面供給可以溶解犧牲膜127等之變性物質的藥液,形成液滴,於經過特定時間後,使晶圓W旋轉,從晶圓W的表面甩掉藥液。進而一面使晶圓W旋轉一面對晶圓W的表面供給藥液,將犧牲膜127等完全除去。藉由犧牲膜127等的除去所使用的藥液,抗蝕劑膜128或聚合物殘渣也被溶解除去。於藉由藥液的處理之後,藉由驅動電動機72使晶圓W一面旋轉一面對晶圓W供給純水,將晶圓W予以水洗處理,進而使晶圓W高速地旋轉來進行旋轉乾燥。晶圓W的旋轉乾燥,也可以對晶圓W供給乾燥氣體來進行。
在此處理時,於Low-k膜124的表面部分形成有第11之(g)圖所是的損傷部130。此損傷部130係最初為疏水性之Low-k膜124藉由步驟9的溶解除去處理而受到損傷成為親水性的部分,使Low-k膜124的介電常數曾大,於配線形成後,配線間的寄生電容增加,會產生訊號延遲或溝配線彼此之間的絕緣性降低等之電氣特性上的問題。另外,為了方便雖將形成於Low-k膜124的損傷部130予以明確地顯示,但是損傷部130與非損傷部之境界不一定很明確。
在此種情形時,於步驟9之溶解除去處理後,進行矽烷化處理(步驟10,第11之(h)圖),使Low-k膜124的損傷部130之損傷恢復。
此種損傷部係如第12圖所示般,末端基為甲基(Me)且係疏水性之Low-k膜124,於藉由水蒸氣與臭氧之變性處理及溶解除去處理時,與水分子反應,引洞124a之側壁附近中之甲基減少,且氫酸基增加的部分,藉此,介電常數(k值)上昇。因此,施以矽烷化處理,藉由使Low-k膜表面成為疏水性來使損傷恢復。
在矽烷化處理中,將晶圓W搬運至矽烷化單元(SCH)11a、11b之一方,且載置於電熱板62上的支撐銷64,使矽烷化劑,例如DMSDMA之蒸汽載於N2 氣體並導入腔體61內。矽烷化處理的條件可以因應矽烷化劑的種類來選擇,例如可以由:氣化器63溫度為室溫~50℃,矽烷化劑流量為0.6~1.0g/min,N2 氣體(沖洗氣體)流量為1~10L/min,處理壓力為532~9576Pa(4~720Torr),電熱板62的溫度為室溫~200℃等之範圍來適當地設定。作為矽烷化劑使用DMSDMA之情形時,可舉出:將電熱板62的溫度設定為100℃,將腔體61內壓力減壓為5Torr(=666Pa),之後,使DMSDMA蒸汽載於N2 氣體,供給至腔體61內壓力成為55Torr為止,一面維持該壓力,例如保持3分鐘來進行處理之方法。使用DMSDMA之矽烷化反應,係以下述化學式1所表示。
作為矽烷化劑,並不限定於以上之DMSDMA,只要是可以引起矽烷化反應的物質,並無特別限定都可以使用,以分子內具有矽氨烷結合(Si-N結合)之化合物群中具有比較小的分子構造者,例如分子量為260以下者為佳,以分子量170以下者更佳。具體而言,例如在前述DMSDMA之外,也可以使用:HMDS(Hexamethyldisilazane)、TMSDMA(Dimethylaminotrimethylsilane)、TMDS(1,1,3,3-Tetramethyldisilazane)、TMS pyrole(1-Trimethylsilylpyrole)、BSTFA(N,0-Bis(trimethylsilyl)trifluoroacetamide)、BDMADMS(Bis(dimethylamino)dimethylsilane)等。在此等之中,以TMDS(1,1,3,3-Tetramethyldisilazane)、TMSDMA(Dimethylaminotrimethylsilane)、及DMSDMA(Dimethylsilyldimethylamine)為佳。將此等之化學構造表示如下。
藉由此種矽烷化處理之損傷恢復,k值雖某種程度地降低,但是多數不會達到所期望的水準。針對其原因所做的檢討之結果,瞭解到係由於在作為Low-k膜Low-k膜124使用現在廣被使用之多孔質材料之情形時,於變性處理及溶解除去處理中,Low-k膜124成為含有水分之狀態(參照第11之(f)、(g)圖),此水分與矽烷化處理時所被供給的矽烷化劑反應,而形成Si系副生成物。即如此所形成的Si系副生成物,通常其k值高,此係形成於表面及內部,即使藉由矽烷化處理,以甲基等之烷基為末端基來使損傷恢復,結果k值也無法充分恢復。
因此,在本實施形態中,對於此種矽烷化處理後之晶圓W,於電熱板單元(HP)19a~19d之其一中,施以烘烤處理(步驟11,第11之(i)圖)。藉此,Low-k膜124中的Si系副生成物被分解除去,使k值上昇之Si系副生成物不存在於Low-k膜124中,所以可以使Low-k膜124的k值充分地恢復。
於電熱板單元(HP)19a~19d之其一中進行烘烤處理時,首先,從設置於腔體91的側壁91a之晶圓搬入搬出口(未圖示出)搬入晶圓W,且載置於載置台92,對加熱器93供電來加熱載置台92上的晶圓W。此時的加熱溫度,需要前述Si系副生成物被分解,以比矽烷化處理時的溫度還高為佳。具體而言,150~400℃為佳,以300~360℃更佳。另外,此種烘烤處理,也可以在矽烷化單元11a、11b中進行。
如此進行烘烤處理後之晶圓W,係藉由晶圓搬運臂14a而從電熱板單元(HP)被搬出,並載置於晶圓載置單元(TRS)13a,藉由晶圓搬運裝置7被收容於載體C,並從洗淨處理裝置104被搬出。
之後,將晶圓W搬運至濺鍍裝置106,於該處在引洞124a及溝槽124b的內壁形成阻障金屬膜及Cu晶種層(即電鍍晶種層),接著,將晶圓W搬運至電解電鍍裝置107,於該處,藉由電解電鍍於引洞124a及溝槽124b填埋銅131作為配線金屬(步驟12,第11之(j)圖)。之後,藉由將晶圓W予以熱處理,進行填埋於引洞124a及溝槽124b之銅131的退火處理(退火裝置未圖示出於第1圖),進而,將晶圓W搬運至CMP裝置109,於該處進行藉由CMP法之平坦化處理(步驟13)。藉此,得以製造所期望的半導體裝置。
如此為了除去犧牲膜127,在採用:使犧牲膜127等變性為對於特定的藥液成為可溶化,之後,使用該種藥液,來溶解除去變性物質之手法的情形時,直到溶解除去處理為止,藉由矽烷化處理來使對Low-k膜124所造成之損傷恢復,之後,進而進行烘烤處理,可以使妨礙藉由矽烷化而形成於Low-k膜124之k值的恢復之Si系副生成物分解,能夠充分地謀求Low-k膜124的k值之恢復。
另外,藉由變性處理單元(VOS)中之水蒸氣與臭氧的處理,會有對形成有圖案之Low-k膜124造成損傷之情形,在有該種損傷之狀態下,如進行之後之使用藥液的溶解除去處理時,圖案會有剝離之虞,所以在溶解除去處理之前,先進行矽烷化處理,使Low-k膜124之此種損傷恢復亦可。此情形之矽烷化處理,係與前述溶解除去處理後之矽烷化處理相同,可以在矽烷化處理單元11a、11b之其一中,以完全相同的步驟來進行。
另外,在前述溶解除去處理後之矽烷化處理之前,來進行預先烘烤處理亦可。藉由此加熱,來除去殘存於晶圓W之水分,可以提高矽烷化處理的效果。在此情形之加熱溫度,以200℃以下為佳。另外,為了有效地進行水分除去,以50℃以上為佳。此預先烘烤處理,係可以在電熱板單元(HP)19a~19d中進行,也可以在矽烷畫單元11a、11b中進行。
接著,說明確認本發明的效果之實驗。此處作為Low-k膜124係使用多孔質Low-k膜(k值:約2.5),如表1所示般,針對不進行任何處理之情形時(initial;No.1)、不進行矽烷化處理,只進行變性處理(VOS)與溶解除去處理(Wet)之情形(No.2)、進行變性處理(VOS)與溶解除去處理(Wet)後也進行矽烷化處理(LKR)之情形(No.3)、進行變性處理(VOS)、溶解除去處理(Wet)及矽烷化處理(LKR)後,以250℃進行烘烤處理(Bake)之情形(No.4)、進行變性處理(VOS)、溶解除去處理(Wet)及矽烷化處理(LKR)後,以350℃進行烘烤(Bake)之情形(No.5),測定在室溫之k值、1MV之洩漏電流、H2O之除去氣體、分子量75之物質的除去氣體。將其結果併記於表1。
另外,各處理的條件係如下述。
變性處理(VOS):105℃、1分鐘溶解除去處理(Wet):有機鹼系藥液、1分鐘矽烷化處理(LKR):150℃、150秒烘烤處理(Bake):大氣壓下、30分鐘
如表1所示般,藉由進行矽烷化處理,雖可以見到k值之恢復、洩漏電流的降低,但是之後,藉由進行烘烤處理,可以見到k值的恢復。特別是,藉由以350℃來進行烘烤處理,與只是矽烷化處理的情形相比,確認到k值恢復0.3之程度。另外,在矽烷化處理後,雖然分子量75的物質之除去氣體很多,但是在進行完烘烤處理之情形時,特別是在進行完350℃的烘烤處理之情形,知道其除去氣體減少。分子量75的物質被認為是Si系副生成物,藉由烘烤處理之k值的恢復,推測係由於此Si系副生成物減少所導致者。另外,藉由烘烤處理水分也稍微降低,推測水分減少也多少有助於k值恢復。
另外,本發明並不限定於前述實施形態,可有種種變形之可能。例如在前述實施形態中,雖係藉由水蒸氣與臭氧的混合氣體來進行犧牲膜等之變性處理,但是不使用水蒸氣,而只以臭氧來處理亦可。藉由臭氧來處理之情形時,雖然反應性比起水蒸氣+臭氧的情形來得低,但是藉由其之後的藥液的溶解除去處理,可以充分地溶解變性過的犧牲膜等。
另外,藉由矽烷化處理可以謀求損傷恢復之Low-k膜,並不特別限定,可以使用SOD膜之多孔質MSQ。此外,例如也可以CVD所形成的無機絕緣膜之一的SiOC系膜為對象。此係於以往的SiO2 膜之Si-O結合導入甲基(-CH3 ),使混合Si-CH3 結合者,Black Diamond(Applied Material公司)、Coral(Novellus公司)、Aurora(ASM公司)等係相當於此種。SiOC系膜也可以是多孔質。另外,MSQ系之絕緣膜並不限定於多孔質者,也可以是緻密質者。
進而,在前述實施形態中,雖針對於包含藉由雙鑲嵌法之銅配線的半導體裝置之製造製程,試用本發明之例子來表示,但是並不限定於此,只要是擔心蝕刻對象膜之劣化,應變性之除去物質存在的處理,都可以適用。
2...處理工作站
3...搬運工作站
4...載體工作站
5...化學工作站
6...載置台
7...晶圓搬運裝置
8a...境界壁
9a...窗部
10...擋門
10a...蓋體
11a、11b...矽烷化單元(SCH)
12a~12d...洗淨處理單元(CNU)
13a、13b...晶圓載置單元(TRS)
15a~15f...變性處理單元(VOS)
100...處理部
101...SOD裝置
102...抗蝕劑塗佈/顯影裝置
103...曝光裝置
104...洗淨處理裝置
105...蝕刻裝置
106...濺鍍裝置
107...電解電鍍裝置
109...CMP裝置
110...主控制部
111...製程控制器
112...使用者介面
113...記憶部
第1圖係用以說明藉由以往之雙鑲嵌法來形成多層銅配線之一連串的程序之說明圖。
第2圖係表示於本發明之一實施形態適用基板處理方法之藉由雙鑲嵌法的半導體裝置之製造製程所使用的晶圓處理系統之概略構成說明圖。
第3圖係表示第2圖的晶圓處理系統所使用之洗淨處理裝置的概略構造平面圖。
第4圖係表示第2圖的晶圓處理系統所使用之洗淨處理裝置的概略構造正面圖。
第5圖係表示第2圖的晶圓處理系統所使用之洗淨處理裝置的概略構造背面圖。
第6圖係表示搭載於洗淨處理裝置之變性處理單元的概略剖面圖。
第7圖係表示搭載於洗淨處理裝置之矽烷化單元的概略剖面圖。
第8圖係表示搭載於洗淨處理裝置之洗淨單元的概略剖面圖。
第9圖係表示搭載於洗淨處理裝置之電熱板單元的概略剖面圖。
第10圖係表示於本發明之一實施形態適用基板處理方法之藉由雙鑲嵌法的半導體裝置之製造製程的流程圖。
第11圖係用以說明第10圖的流程圖所示之各程序的狀態說明圖。
第12圖係用以說明Low-k膜之損傷及藉由矽烷化之恢復圖。

Claims (26)

  1. 一種基板處理方法,其特徵為具有:將形成於基板上之低介電質膜予以蝕刻處理來形成特定圖案之程序;以對於特定的液體可溶化之方式,使結束前述蝕刻處理後所殘存的物質變性之程序;供給前述特定的液體來溶解除去前述被變性的物質之程序;接著,對前述被變性的物質之溶解除去後的低介電質膜的表面供給矽烷化劑予以矽烷化處理之程序;前述矽烷化處理後,烘烤基板之程序;及在使前述殘存的物質變性後,且溶解除去前述被變性的物質前,對形成有前述圖案之低介電質膜的表面供給矽烷化劑予以矽烷化處理之程序。
  2. 如申請專利範圍第1項所記載之基板處理方法,其中前述低介電質膜,係由多孔質低介電質材料所形成。
  3. 如申請專利範圍第1項所記載之基板處理方法,其中前述低介電質膜,係具有烷基作為末端基。
  4. 如申請專利範圍第1項所記載之基板處理方法,其中前述殘存之物質的變性,係供給包含水蒸氣與臭氧之處理氣體來進行。
  5. 如申請專利範圍第1項所記載之基板處理方法,其 中前述殘存之物質的變性,係供給包含臭氧之處理氣體來進行。
  6. 如申請專利範圍第1項所記載之基板處理方法,其中前述特定的液體,係酸性或鹼性藥液。
  7. 如申請專利範圍第1項所記載之基板處理方法,其中,用以進行前述矽烷化處理之矽烷化劑,係於分子內含有矽氨烷結合(Si-N)之化合物。
  8. 如申請專利範圍第7項所記載之基板處理方法,其中,於前述分子內具有矽氨烷結合之化合物,係由:TMDS(1,1,3,3-Tetramethyldisilazane)、TMSDMA(Dimethylaminotrimethylsilane)、及DMSDMA(Dimethylsilyldimethylamine)所選擇者。
  9. 如申請專利範圍第1項所記載之基板處理方法,其中前述基板之烘烤,係於比前述矽烷化處理時的溫度更高的溫度下進行。
  10. 如申請專利範圍第9項所記載之基板處理方法,其中前述基板的烘烤,係於150~400℃進行。
  11. 如申請專利範圍第1項所記載之基板處理方法,其中進一步具有:在前述被變性之物質的溶解除去後之矽烷化處理前,進行烘烤處理之程序。
  12. 一種基板處理方法,其特徵為具有:於形成於基板上之低介電質膜之上形成犧牲膜之程序;於前述犧牲膜之上形成蝕刻遮罩,蝕刻前述犧牲膜與 前述低介電質膜並形成特定圖案之程序;以可溶化於特定的液體之方式,使前述犧牲膜與前述蝕刻遮罩變性之程序;及供給前述特定的液體並溶解除去前述被變性的物質之程序;及接著,對前述被變性之物質的溶解除去後之低介電質膜的表面供給矽烷化劑予以矽烷化處理之程序;及前述矽烷化處理後,烘烤基板之程序;及在使前述殘存的物質變性後,且溶解除去前述被變性的物質前,對形成有前述圖案之低介電質膜的表面供給矽烷化劑予以矽烷化處理之程序。
  13. 如申請專利範圍第12項所記載之基板處理方法,其中前述低介電質膜,係由多孔質低介電質材料所形成。
  14. 如申請專利範圍第12項所記載之基板處理方法,其中前述低介電質膜,係具有烷基作為末端基。
  15. 如申請專利範圍第12項所記載之基板處理方法,其中前述殘存之物質的變性,係供給包含水蒸氣與臭氧之處理氣體來進行。
  16. 如申請專利範圍第12項所記載之基板處理方法,其中前述殘存之物質的變性,係供給包含臭氧之處理氣體來進行。
  17. 如申請專利範圍第12項所記載之基板處理方法,其中前述特定的液體,係酸性或鹼性藥液。
  18. 如申請專利範圍第12項所記載之基板處理方法, 其中,用以進行前述矽烷化處理之矽烷化劑,係於分子內含有矽氨烷結合(Si-N)之化合物。
  19. 如申請專利範圍第18項所記載之基板處理方法,其中,於前述分子內具有矽氨烷結合之化合物,係由:TMDS(1,1,3,3-Tetramethyldisilazane)、TMSDMA(Dimethylaminotrimethylsilane)、及DMSDMA(Dimethylsilyldimethylamine)所選擇者。
  20. 如申請專利範圍第12項所記載之基板處理方法,其中前述基板之烘烤,係於比前述矽烷化處理時的溫度更高的溫度下進行。
  21. 如申請專利範圍第20項所記載之基板處理方法,其中前述基板的烘烤,係於150~400℃進行。
  22. 如申請專利範圍第12項所記載之基板處理方法,其中進一步具有:在前述被變性之物質的溶解除去後之矽烷化處理前,進行烘烤處理之程序。
  23. 一種基板處理方法,其特徵為具有:具有被蝕刻膜,且藉由蝕刻處理於被蝕刻膜形成有特定圖案,蝕刻處理後所殘存的物質被變性成對於特定的液體可溶化,在使前述殘存的物質變性後,且溶解除去前述被變性的物質前,對形成有前述圖案之被蝕刻膜的表面供給矽烷化劑予以矽烷化處理,進而對於藉由前述特定的液體,前述被變性的物質被溶解除去後之基板,於被蝕刻膜的表面供給矽烷化劑予以矽烷化處理之程序;及前述矽烷化處理後,烘烤基板之程序。
  24. 一種記憶媒體,係記憶有:於電腦上動作,且用以控制基板處理裝置之程式之記憶媒體,其特徵為:前述程式,於執行時,係以進行具有:將形成於基板上之低介電質膜予以蝕刻處理來形成特定圖案之程序;以對於特定的液體可溶化之方式,使結束前述蝕刻處理後所殘存的物質變性之程序;在使前述殘存的物質變性後,且溶解除去前述被變性的物質前,對形成有前述圖案之低介電質膜的表面供給矽烷化劑予以矽烷化處理之程序;供給前述特定的液體來溶解除去前述被變性的物質之程序;接著,對前述被變性的物質之溶解除去後的低介電質膜的表面供給矽烷化劑予以矽烷化處理之程序;及前述矽烷化處理後,烘烤基板之程序之基板處理方法之方式,讓電腦來控制前述基板處理裝置。
  25. 一種記憶媒體,係記憶有:於電腦上動作,且用以控制基板處理裝置之程式之記憶媒體,其特徵為:前述程式,於執行時,係以進行具有:於犧牲膜之上形成蝕刻遮罩,蝕刻前述犧牲膜與前述低介電質膜並形成特定圖案之程序;及以可溶化於特定的液體之方式,使前述犧牲膜與前述蝕刻遮罩變性之程序;及在使前述殘存的物質變性後,且溶解除去前述被變性 的物質前,對形成有前述圖案之前述犧牲膜與低介電質膜的表面供給矽烷化劑予以矽烷化處理之程序。 供給前述特定的液體並溶解除去前述被變性的物質之程序;及接著,對前述被變性之物質的溶解除去後之低介電質膜的表面供給矽烷化劑予以矽烷化處理之程序;及前述矽烷化處理後,烘烤基板之程序之基板處理方法之方式,讓電腦來控制前述基板處理裝置。
  26. 一種記憶媒體,係記憶有:於電腦上動作,且用以控制基板處理裝置之程式之記憶媒體,其特徵為:前述程式,於執行時,係以進行具有:具有被蝕刻膜,且藉由蝕刻處理於被蝕刻膜形成有特定圖案,蝕刻處理後所殘存的物質被變性成對於特定的液體可溶化,在使前述殘存的物質變性後,且溶解除去前述被變性的物質前,對形成有前述圖案之被蝕刻膜的表面供給矽烷化劑予以矽烷化處理,進而對於藉由前述特定的液體,前述被變性的物質被溶解除去後之基板,於被蝕刻膜的表面供給矽烷化劑予以矽烷化處理之程序;及前述矽烷化處理後,烘烤基板之程序之基板處理方法之方式,讓電腦來控制前述基板處理裝置。
TW096133247A 2006-09-07 2007-09-06 Substrate processing methods and memory media TWI381446B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006242524 2006-09-07

Publications (2)

Publication Number Publication Date
TW200822222A TW200822222A (en) 2008-05-16
TWI381446B true TWI381446B (zh) 2013-01-01

Family

ID=39157227

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096133247A TWI381446B (zh) 2006-09-07 2007-09-06 Substrate processing methods and memory media

Country Status (5)

Country Link
US (1) US20090286399A1 (zh)
JP (2) JPWO2008029800A1 (zh)
DE (1) DE112007000177T5 (zh)
TW (1) TWI381446B (zh)
WO (1) WO2008029800A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
DE102008035815A1 (de) * 2008-07-31 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verbessern der strukturellen Integrität und Definieren kritischer Abmessungen von Metallisierungssystemen von Halbleiterbauelementen unter Anwendung von ALD-Techniken
JP5705751B2 (ja) * 2009-03-10 2015-04-22 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード low−kシリル化用の環式アミノ化合物
JP5538128B2 (ja) * 2010-08-09 2014-07-02 東京エレクトロン株式会社 排気方法およびガス処理装置
JP5898549B2 (ja) * 2012-03-29 2016-04-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP5535368B2 (ja) * 2013-04-26 2014-07-02 東京エレクトロン株式会社 処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050158884A1 (en) * 2002-01-24 2005-07-21 Gaynor Justin F. Method Of In-Situ Treatment of Low-K Films With a Silylating Agent After Exposure to Oxidizing Environments".
JP2006114719A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004214388A (ja) * 2002-12-27 2004-07-29 Tokyo Electron Ltd 基板処理方法
JP5057647B2 (ja) 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
JP4903374B2 (ja) 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP2006086411A (ja) * 2004-09-17 2006-03-30 Dainippon Screen Mfg Co Ltd 基板処理装置
KR101063591B1 (ko) * 2004-10-27 2011-09-07 인터내셔널 비지네스 머신즈 코포레이션 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
US7488689B2 (en) * 2004-12-07 2009-02-10 Tokyo Electron Limited Plasma etching method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050158884A1 (en) * 2002-01-24 2005-07-21 Gaynor Justin F. Method Of In-Situ Treatment of Low-K Films With a Silylating Agent After Exposure to Oxidizing Environments".
JP2006114719A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法

Also Published As

Publication number Publication date
WO2008029800A1 (fr) 2008-03-13
DE112007000177T5 (de) 2008-10-23
JP2012195613A (ja) 2012-10-11
US20090286399A1 (en) 2009-11-19
TW200822222A (en) 2008-05-16
JPWO2008029800A1 (ja) 2010-01-21

Similar Documents

Publication Publication Date Title
KR100810163B1 (ko) 반도체 장치의 제조 방법, 기판 처리 시스템 및 기록 매체
KR101049491B1 (ko) 기판 처리 방법 및 컴퓨터 판독 가능한 기억 매체
JP5057647B2 (ja) 半導体装置の製造方法および半導体装置の製造装置
JP5100057B2 (ja) 半導体装置の製造方法
US8187981B2 (en) Substrate processing method, substrate processing system, and computer-readable storage medium
JP5452894B2 (ja) 基板処理方法、基板処理装置および記憶媒体
JP4800235B2 (ja) 処理方法
TWI381446B (zh) Substrate processing methods and memory media
JP5247999B2 (ja) 基板処理方法およびコンピュータ読取可能な記憶媒体
KR100870806B1 (ko) 반도체 디바이스의 제조 방법
JP2004214388A (ja) 基板処理方法
JP2004252054A (ja) 基板処理方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees