WO2008011329A2 - Method and system for isolated and discretized process sequence integration - Google Patents

Method and system for isolated and discretized process sequence integration Download PDF

Info

Publication number
WO2008011329A2
WO2008011329A2 PCT/US2007/073368 US2007073368W WO2008011329A2 WO 2008011329 A2 WO2008011329 A2 WO 2008011329A2 US 2007073368 W US2007073368 W US 2007073368W WO 2008011329 A2 WO2008011329 A2 WO 2008011329A2
Authority
WO
WIPO (PCT)
Prior art keywords
processing
substrate
mask
modules
site
Prior art date
Application number
PCT/US2007/073368
Other languages
English (en)
French (fr)
Other versions
WO2008011329A3 (en
Inventor
Tony P. Chiang
Richard R. Endo
James Tsung
Original Assignee
Intermolecular, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/672,478 external-priority patent/US7867904B2/en
Application filed by Intermolecular, Inc. filed Critical Intermolecular, Inc.
Priority to CNA2007800265640A priority Critical patent/CN101490834A/zh
Priority to JP2009520905A priority patent/JP5389645B2/ja
Priority to KR1020097001016A priority patent/KR101412398B1/ko
Priority to EP07840397A priority patent/EP2044623A4/en
Publication of WO2008011329A2 publication Critical patent/WO2008011329A2/en
Publication of WO2008011329A3 publication Critical patent/WO2008011329A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips

Definitions

  • Embodiments of the present invention provide a method and a system for processing a semiconductor substrate. Several inventive embodiments of the present invention are described below.
  • a system for processing a semiconductor substrate includes a mainframe having a plurality of modules attached thereto.
  • the modules include processing modules, storage modules, and transport mechanisms.
  • the processing modules may include combinatorial processing modules and conventional processing modules, such as surface preparation, thermal treatment, etch and deposition modules, hi one embodiment, at least one of the modules stores multiple masks.
  • the multiple masks enable in-situ variation of spatial location and geometry across a sequence of processes and/or multiple layers of a substrate to be processed in another one of the modules.
  • the system includes a processing module configured to process site isolated regions of a first level of a substrate surface and change feature sizes across multiple levels disposed over each other on the substrate surface while maintaining a controlled environment.
  • the controlled environment is maintained within a frame area enclosing the plurality of processing modules.
  • the negative environment avoided may include air, moisture and particulate contaminants.
  • the successive processing occurs without incurring a vacuum break.
  • a method for processing a substrate in a cluster tool without breaking vacuum is provided. The method initiates with processing the substrate in a chamber with a mask having a first feature set. Then, the substrate is processed in the chamber with a mask having a second feature set. In one embodiment, a mask with a first feature set is used for the initial processing operation and then the mask is removed from the chamber and replaced with a mask having the second feature set.
  • a method for combinatorial processing of a substrate is provided. The method initiates with processing the substrate in a conventional manner.
  • a first site-isolated deposition over a discrete region of the substrate is performed under vacuum.
  • a second site- isolated deposition over the discrete region of the substrate is performed without breaking vacuum.
  • the second site-isolated deposition covers an area that is larger than the area covered by the first site isolated deposition.
  • a third site-isolated deposition over the discrete region of the substrate without breaking vacuum is then performed.
  • the third site-isolated deposition covers an area that is smaller than the area covered by the second site isolated deposition.
  • This embodiment provides an example where the size relationship between the site isolated deposition regions is specified as the second site isolated region covers an area that is larger than the first region and the third site isolated region covers an area that is smaller than the second region.
  • the second site isolated region is of a different size than the first site isolated region or the first, second, and third site isolated regions are all different sizes. It should be noted that site isolated regions may have different geometries and/or sizes.
  • Figure 1 is a flow chart diagram illustrating methodology for combinatorial process sequence integration in accordance with one embodiment of the invention.
  • FIG. 2 is a simplified schematic diagram illustrating an integrated high productivity combinatorial (HPC) deposition system in accordance with one embodiment of the invention.
  • Figure 3 is an alternative embodiment for an integrated high productivity combinatorial (HPC) deposition system illustrated in Figure 2.
  • HPC high productivity combinatorial
  • Figure 4 is a simplified schematic diagram illustrating the library module in accordance with one embodiment of the invention.
  • Figures 5 A-I - 5A-3 and 5B- 1 - 5B-3 illustrate exemplary mask patterns that may be stored within the library modules of Figure 4.
  • Figure 5C is a simplified schematic diagram of a feature capable of being defined during site isolation processing where the feature has variable spatial definition across multiple layers of the substrate in accordance with one embodiment of the invention.
  • Figures 6A and 6B illustrate HPC modules having shutter garages in accordance with one embodiment of the invention.
  • Figures 7 A through 7D illustrate various configurations that may be applied through orientation of the shutters of the shutter garages of Figures 6A and 6B in accordance with one embodiment of the invention.
  • Figure 8 is a flow chart diagram illustrating the method operations for combinatorial processing of a substrate in accordance with one embodiment of the invention.
  • the tools described herein may be utilized in order to analyze processes, process sequences, as well as materials in a combinatorial manner over a single substrate.
  • the embodiments described herein enable spatial definition of desired materials and processes with variable geometry within a given processing layer and their sequencing across multiple processing layers of a given substrate without exposure of the substrate to a deleterious environment during or between such processing.
  • the avoidance of a deleterious environment is achieved by not breaking vacuum, i.e., the environment that the substrate is exposed to remains under controlled environmental conditions that includes maintaining a vacuum state.
  • the maintenance of a vacuum state includes the condition where the vacuum may vary, but the vacuum is not broken, i.e., the pressure does not transition from a vacuum state to a positive pressure such as might be evident in the room containing the cluster tool.
  • combinatorial process sequence integration can be used to optimize a semiconductor manufacturing process.
  • the process sequence integration allows distinct processes and accompanying materials to be evaluated as opposed to solely material evaluation.
  • a cluster tool having a plurality of modules where one of the modules is a combinatorial processing chamber configured to perform site isolated processing steps is provided. The site isolated processing may be performed in a serial manner where one site of a substrate is processed at a time.
  • one or more group(s) of sites on the substrate may be processed in a parallel manner.
  • Each such group of sites can, in turn, be serially processed, i.e., in a sequential manner.
  • a conventional processing module e.g., a deposition chamber, may be included in the cluster tool where all or substantially all of a substrate is processed in parallel, which may be referred to as processing in a conventional manner in some embodiments described herein.
  • processing in a conventional manner in some embodiments described herein.
  • regions of the substrate may be processed serially, in parallel, or some combination of serial processing and parallel processing within a given substrate layer or between substrate layers.
  • the order of the processing operations, as well as the material combinations, is capable of being evaluated under the embodiments described herein.
  • the tools described herein also avoid exposure of the substrate to a negative environment.
  • a negative environment may include air, oxygen, moisture, particulate contamination, etc. Basically, any environmental condition that adversely affects the process or materials in the processing operation may be considered a component of a negative environment.
  • the process sequence integration may be evaluated along with different materials.
  • the frame environment which may also be referred to as a frame area environment, is maintained under vacuum conditions so that a vacuum break is avoided when switching masks of a processing chamber or transferring a substrate between the chambers.
  • the embodiments include the ability to test the structure being built in-situ after each processing step. Thus, the impact of different materials used for the structure and/or the sequence of steps to build the structure can be evaluated to determine an optimum process and structure.
  • the embodiments below describe a system and method that enables consideration of, or combination of, a process integration library with a material library.
  • the embodiments avoid a vacuum break between steps and accommodate the geometry necessary to vary between process operations.
  • the varying geometry includes but is not limited to varying feature size, shape, location, distribution, orientation, number, etc., between or within the steps.
  • the controlled environment inside and outside the process modules avoids any exposure to a negative environment.
  • FIG. 1 is a flow chart diagram illustrating a methodology for combinatorial process sequence integration in accordance with one embodiment of the invention.
  • a substrate is provided.
  • the substrate from operation 100 may be processed in a conventional manner as specified in operation 120 or may be processed in a discretized manner as specified in operation 110.
  • the discretized processing occurs through a high productivity combinatorial (HPC) deposition system described in further detail with reference to Figures 2 and 3 in accordance with one embodiment of the invention.
  • HPC high productivity combinatorial
  • the substrate processed in the aforementioned combinatorial fashion can optionally be previously processed in a conventional manner as illustrated in operation 120 or subsequently processed in a conventional manner as illustrated in operation 130.
  • multiple discretized processing operations may occur subsequent to or prior to any conventional processing operations.
  • processing operations refer to process operations where a substrate or substantial portion of the substrate is processed in a uniform manner, such as is performed through commercially available deposition, etch, cleaning, and other semiconductor processing tools used in the manufacturing of semiconductor chips.
  • the operations described herein enable combinatorial processing and combinatorial process sequence integration approaches to be employed in desired segments of the process flow required to build an end device, e.g., an integrated circuit, etc.
  • the process regions such as devices or portions of devices created can then be tested for a property of interest using conventional methods for analysis as specified in operation 140. It should be appreciated that the testing process of operation 140 can occur at numerous steps within the flow chart of Figure 1.
  • testing can occur in order to gather information on the individual process sequences and the sequence integration, hi addition, testing may occur after a sequence of processes.
  • the testing occurs in-situ in one embodiment.
  • testing is optional between each of the operations of Figure 1 and may or may not take place.
  • many alternative combinations/permutations are achievable through the design/system described herein.
  • exemplary embodiments specifically mentioned are not meant to be limiting.
  • FIG. 2 is a simplified schematic diagram illustrating an integrated high productivity combinatorial (HPC) deposition system in accordance with one embodiment of the invention.
  • HPC deposition system includes a frame 400 supporting a plurality of processing modules.
  • frame 400 may be a unitary frame in accordance with one embodiment.
  • any suitable structure configured to support the modules described herein and allow for the transportation of substrates between the plurality of modules may be utilized with the embodiments described herein.
  • frame 400 may be a plurality of separate pieces integrated together.
  • Load lock/factory interface 402 provides access into the plurality of modules of the HPC deposition system.
  • load lock/factory interface 402 may include a FOUP.
  • Robot 414 provides for the movement of substrates (and masks) between the modules and for the movement into and out of the load lock 402.
  • Module 404 may be an orientation/degassing module in accordance with one embodiment. That is, module 404 may align a substrate in one embodiment. It should be appreciated that through notches or other markings on the substrate, module 404 may perform this alignment function in order to consistently place a substrate in the plurality of modules.
  • module 404 may serve as a degas module where before (or after) any processing, such as the deposition processes described herein, the substrate may degas in module 404.
  • Module 406 may be a clean module in accordance with one embodiment of the invention.
  • the cleaning performed by module 406 may be a plasma based or a non-plasma based process, hi one embodiment the cleaning can be a vapor based process.
  • the cleaning may be a dry process, but is not limited to dry cleaning processes, as wet cleaning processes used in semiconductor processing may also be incorporated. Any of the known cleaning processes commonly used in semiconductor manufacturing operations may be performed in module 406. For example, an argon containing sputter clean or a hydrogen containing reactive clean can take place through module 406.
  • Module 408 is referred to as a library module in accordance with one embodiment of the invention.
  • a plurality of masks also referred to as processing masks, are stored.
  • the masks may be used in the combinatorial processing modules in order to apply a certain pattern to a substrate being processed in those modules. Further details of the library module 408 are provided with reference to Figure 4. It should be appreciated that library module 408 and the masks contained therein enable the features to spatially vary across layers of substrates being processed. Furthermore, the site isolation processing is capable of being performed with spatial definition across multiple layers without incurring a vacuum break during the processing in the high productivity combinatorial (HPC) deposition system described herein.
  • HPC high productivity combinatorial
  • Module 410 includes a HPC physical vapor deposition module in accordance with one embodiment of the invention.
  • Module 410 includes shutter garages 410a and 410b. Moveable shutters within shutter garages 410a and 410b can move in a planar direction in order to partially block off a mask supplied to module 410 from module 408. That is, a mask from library module 408 is supplied to module 410 by robot 414.
  • Shutter garages 410a and 410b include shutters that are capable of moving in a planar direction to cover a portion of the mask provided to module 410. Of course, the entire process mask may be exposed. The function of the shutter garages 410a and 410b is further described in more detail with regard to Figures 5 through 7.
  • HPC module 410 is capable of executing techniques, methodologies, processes, test vehicles, synthetic procedures, technology, or combinations thereof used for the simultaneous, parallel, or rapid serial: (i) design, (ii) synthesis, (iii) processing, (iv) process sequencing, (v) process integration, (vi) device integration, (vii) analysis, or (viii) characterization of more than two (2) compounds, compositions, mixtures, processes, or synthesis conditions, or the structures derived from such.
  • test vehicles include, but are not limited to, physical, electrical, photolytic, and/or magnetic characterization devices such as test structures or chips, used in the design, process development, manufacturing process qualification, and manufacturing process control of integrated circuit devices.
  • Module 412 is a conventional deposition module in accordance with one embodiment of the invention.
  • Module 412 may include a module configured to perform conventional physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma enhanced atomic layer deposition (PEALD), rapid thermal processing (RTP), etc., processes in accordance with one embodiment of the invention.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • PEALD plasma enhanced atomic layer deposition
  • RTP rapid thermal processing
  • HPC module 410 may perform site isolated processing
  • module 412 will perform parallel processing across an entire substrate (e.g., wafer) under conventional techniques.
  • Figure 2 illustrates a specific configuration of the modules, this configuration is not meant to be limiting.
  • any combination of modules may be incorporated in the HPC deposition system as long as a HPC deposition module, such as module 410 is included.
  • a HPC deposition module such as module 410
  • numerous configurations of the processing system of Figure 2 are possible.
  • the functionality provided by the library module 408 may be provided through a load lock module dedicated to the storage of the process masks in one embodiment.
  • a controller may control the operations and the processes referred to herein. That is, a recipe for a certain process is programmed into the memory of a controller and the controller executes the recipe by manipulating valves, power supplies, robots, and other physical devices of the modules of the cluster tool to achieve the desired functionality.
  • the controller may be part of a computing system having a graphical user interface for viewing the process, process results of an in-situ testing, as well as modifying the recipe.
  • the computing device will include a central processing unit (CPU), a memory, a bus for communication between the memory and the CPU, as well as input/output capability and a display.
  • a centralized controller i.e., computing device 411, may control the processes of the HPC system.
  • each module may have a controller in communication with centralized computing device 411.
  • controllers may be local to some modules while other modules may be controlled through centralized computing device 411.
  • the environment within frame 400 is controlled to provide an environment that is not deleterious to the processing operation being performed.
  • the environment may operate in a controlled inert environment.
  • oxygen may be pumped out of the environment and replaced with an inert gas.
  • gases that can be pumped in to replace oxygen may be, for example, argon, nitrogen, and other inert gasses that will not negatively react with the substrate processing operations, hi this embodiment the oxygen is removed to a level sufficient to avoid any oxidation of processed substrates prior and/or between subsequent processing, hi another embodiment, the environment within frame 400 is maintained at a vacuum, hi this embodiment, the pressure within the module may be maintained between about 1 Torr and about 10 '10 Torr. It should be appreciated that the environment may be initially pumped down to a certain vacuum level and then as process gases are injected into the respective chambers a vacuum state is maintained. Furthermore, by pumping down initially to a low pressure, such as about 10 "6 to 10 "10 Torr, any contaminants present are essentially removed. As the unitary mainframe is airtight in one embodiment, the environment is controlled and protected from any contaminants breaching the frame environment.
  • the environment may be maintained at a positive pressure in another embodiment, and it should be appreciated that the actual ranges provided are exemplary and not meant to be limiting as control of the environment is maintained according to the processing operations being performed.
  • control may be utilized to control the moisture, humidity, particulate matter, temperature, pressure, and any other property of the environment so as to enable the movement of substrates and masks between modules and through the frame environment without having any deleterious effects being introduced to the substrates, masks, processes being performed on the substrates, and/or structures defined by these processes.
  • FIG. 3 is an alternative embodiment for an integrated high productivity combinatorial (HPC) deposition system illustrated in Figure 2.
  • HPC high productivity combinatorial
  • two main frames 400-1 and 400-2 are coupled together (also known as a unitary cluster tool with two main modules, see, e.g., U.S. Patent Nos. 5,186,718 and 6,977,014) in order to provide a tool having a plurality of processing modules in accordance with one embodiment of the invention.
  • Mainframe 400-1 has clustered therearound, in one exemplary embodiment, load lock 402, an orientation/degas module 404, clean module 406, library module 408-1, and HPC module 410- 1.
  • Robot 414-1 provides for the transition and movement of substrates and/or masks between the processing modules and for ingress and egress out of the cluster tool.
  • Modules 500-1 and 500-2 provide pass through capability between the systems associated with mainframe 400-1 and mainframe 400-2.
  • modules 500-1 and 500-2 may provide orientation capability for substrates and/or masks being transferred between the two mainframes 400-1 and 400-2.
  • Mainframe 400-2 has a plurality of processing modules clustered therearound.
  • the modules include conventional processing (e.g., deposition, surface preparation, treatment, etc.) module 412-1 and conventional processing module 412-2.
  • HPC module 410-2 and HPC module 410-3 are also provided as processing modules.
  • Module 408-2 is a library module holding a plurality of processing masks in accordance with one embodiment of the invention.
  • the conventional processing modules can include physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plasma enhanced atomic layer deposition (PEALD), ion- induced atomic layer deposition (II-ALD), radical enhanced atomic layer deposition (REALD), etc. and related modules.
  • the conventional processing modules can also include thermal, laser, UV, IR, microwave, e-beam, ion, and other forms of treatment modules known in the art.
  • At least one of the HPC modules is configured to perform physical vapor deposition (PVD).
  • the at least one of the HPC modules is configured to perform at least one of chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), atomic layer deposition (PEALD), ion-induced atomic layer deposition (II-ALD), radical enhanced atomic layer deposition (REALD), thermal treatment, laser treatment, UV treatment, IR treatment, microwave treatment, e-beam treatment, and ion treatment.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • ALD atomic layer deposition
  • PEALD atomic layer deposition
  • II-ALD ion-induced atomic layer deposition
  • REALD radical enhanced atomic layer deposition
  • Figure 3 is provided to show an alternative embodiment in which a plurality of main frames are integrated in order to provide for further processing combinations and permutations. It should be appreciated that any number of main frames may be integrated together in order to provide additional processing modules to support a varied amount of processing operations.
  • the masks provided therein enable the accommodation of different geometries so that features may be defined across layers during the processing of substrates without the need for a vacuum break.
  • one library module could provide mask sets to the entire deposition system with the use of modules 500-1 and 500-2 as pass through chambers.
  • the embodiments described herein not only allow for multiple materials to be tested but the sequence of steps, i.e., process sequence, may also be included in the testing matrix.
  • the system described herein allows for combinatorial processing and non-combinatorial processing across layers of a substrate so that not only different materials may be incorporated and evaluated, but also the processes and process sequence may be modified in order to determine an optimum process sequence.
  • the process sequence may include the order of processing steps and the corresponding operating conditions for those steps, e.g., temperature, pressure, gas flow, gas species, gas ratios, power, time, duty cycle, frequency, etc. as is the case for physical vapor deposition (PVD) and any other processing parameter associated with semiconductor processing operations.
  • PVD physical vapor deposition
  • the masks provided by library modules 408-1 and 408-2 may be oriented within the library modules themselves, or within orientation modules 500-1 or 500-2.
  • Figure 3 is one exemplary figure of numerous configurations offered through the combination of modules of the embodiments described herein.
  • FIG 4 is a simplified schematic diagram illustrating the library module in accordance with one embodiment of the invention.
  • Library module 408 includes a plurality of masks 600 stored therein.
  • Masks 600 may rest on corresponding shelves 602 in accordance with one embodiment of the invention.
  • masks 600 may rest on numerous other structures configured to support the mask within the library module in accordance with one embodiment of the invention. That is, the masks may rest on any suitable structure that enables a robot to access masks 600.
  • the structure is suitable for a clean environment and is compatible with the mask material.
  • Module 408 is capable of rotational movement and vertical movement in accordance with one embodiment of the invention.
  • any suitable motor can provide the vertical height control as well as the rotation around shaft 604.
  • Masks 600 stored in library module 408 are moved into and out of modules, such as the combinatorial processing module.
  • Masks 600 have various pattern and feature sizes included thereon, e.g., as illustrated in Figures 5A- 1 -5A-3 and 5B- 1 - 5B-3.
  • a load lock module may substitute as a library module in one embodiment.
  • Figures 5A- 1 -5A-3 and 5B- 1 - 5B-3 illustrate exemplary mask patterns that may be stored within the library modules of Figure 4. It should be appreciated that the mask patterns shown are exemplary and not meant to be limiting as any number of different mask patterns varying in geometrical and/or feature size capability may be employed depending on the process requirements. Mask patterns may include, but are not limited to, variations in a number of openings, opening size, opening shape, opening orientation, opening location, and opening distribution, etc. [0034] In Figure 5 A-I, a mask pattern having a number of rows is provided. The pattern for Figure 5 A-2 includes a pattern having a number of columns across a substrate.
  • the pattern of Figure 5A-3 includes a number of circles throughout the mask, hi addition, it should be appreciated that manipulation of the shutters in the shutter garage mentioned above may be used to expose a portion of the mask illustrated if Figure 5A- 1 through 5A-3.
  • a shutter may block a portion of the circles so that the mask may be modifiable through the shutter position.
  • numerous other patterns and shapes/geometries are capable of being captured on a mask, and the three patterns illustrated in Figures 5A- 1 through 5A-3 are exemplary and not meant to be limiting, hi one embodiment, the pattern of a feature on a single mask may vary in size on different portions of the mask.
  • Figures 5B- 1 through 5B-3 illustrate a combination of transferable masks in accordance with one embodiment of the invention, hi Figures 5B- 1 through 5B-3, a metal mask is initially used to define the first metal layer 620 of Figure 5C.
  • the insulator mask of Figure 5B-2 is then used to define the insulator layer 622 of Figure 5C.
  • the metal mask of Figure 5B-3 is used to define the top metal layer 624 of Figure 5C. This results in the pattern across layers of the substrate being processed as illustrated in Figure 5C to define a functional metal-insulator-metal capacitor structure.
  • the insulator area of layer 622 is made larger relative to the metal 1 area of layer 620 and the metal 2 area of layer 624 is made smaller relative to the insulator area of layer 622 in order to guarantee that there is proper electrical isolation between the metal 1 and metal 2 areas.
  • Figure 5C is a simplified schematic diagram of a feature capable of being defined during site isolation processing where the feature has variable spatial definition across multiple layers of or multiple process steps performed on the substrate in accordance with one embodiment of the invention.
  • Figure 5C illustrates a metal-insulator-metal structure that may function as a memory element.
  • Metal layer 624 is disposed over insulator layer 622, which in turn is disposed over metal layer 620.
  • spatial variation provided between each of the layers (620 to 622 and 622 to 624) to provide isolation between each layer and between the top and bottom electrodes to prevent any short circuiting.
  • a gradient approach cannot achieve this geometry.
  • the mask patterns of Figures 5B-1 - 5B-3 achieve the structure of Figure 5C and the ability to move the masks into and out of the HPC module enables this processing. Furthermore, the interfaces between the structure defined by layers 620, 622, and 624 may be evaluated, as opposed to gradient techniques, where this evaluation is not possible.
  • the masks can be moved into and out of the combinatorial module from the library module, and the environment within the frame area avoids any vacuum breaks or exposure to a negative environment so that the process sequence can be evaluated without deleterious perturbations of physical, mechanical, chemical, electrical, optical, magnetic, and/or any combinations thereof, properties of interest.
  • the different masks within the library module and the ability to move these masks into and out of processing chambers enable variations to be introduced into the process sequence while defining actual structures of integrated circuits. That is, any semiconductor structure, such as the MIM of Figure 6C, or a trench, via, transistor, capping layers, barrier layers, adhesion layers, etc., are achievable for evaluation.
  • the processing operations may combine combinatorial processing and conventional processing, as well as combinations of the two.
  • a single mask may be used to process a fraction of the area of the substrate in a sequential fashion.
  • one quarter regions of the substrate may be processed sequentially with the same mask.
  • the four quarter regions are being processed serially, however, the sub-regions defining each quarter region are being processed in parallel.
  • FIG. 6A and 6B illustrates HPC modules having shutter garages in accordance with one embodiment of the invention.
  • processing module 410 includes shutter garage 410a.
  • Shutter garage 410a may contain a fixed or a movable shutter. That is, shutter garage 410a may be configured to hold or house a fixed shutter to block half or some other fixed portion, of a mask (or substrate) within processing module 410. Alternatively, shutter garage 410a may be configured to hold a movable shutter to block any portion or none of a mask (or substrate) within processing module 410.
  • processing module 410 is a high productivity combinatorial deposition module described above in accordance with one embodiment of the invention.
  • processing module 410 has a single shutter garage 410a.
  • Figure 6B illustrates processing module 410 having a plurality of shutter garages 410a and 410b.
  • shutter garages 410a and 410b may store fixed or movable shutters as mentioned with reference to Figure 6A.
  • the shutter garages of Figure 6B are positioned opposing each other one shutter garage may be at a 90 degree angle relative to another shutter garage in one embodiment of the invention.
  • the shutter garages may be disposed in any orientation or combination of orientations off of the processing module.
  • fixed masks may be transferred into the HPC modules, e.g., from the library module.
  • the mask can reside in the shutter garage thereby eliminating the need for a library module in one embodiment where the process allows for such.
  • multiple patterns and geometries may be defined on the mask and through the rotation of the mask, the site isolated processing with spatial variation across layers is achievable.
  • a single mask may include half of the pattern of Figure 5B- 1 and half of the pattern of Figure 5B-2.
  • the site isolated processing with spatial variation as embodied in Figure 5C is achieved.
  • the rotation of the mask may occur through the robot or a suitable mechanism disposed within the shutter garage. It should be appreciated that the substrate may be rotated or moved independent of the masks also to achieve the site isolated processing with spatial variation.
  • FIGs 7A through 7D illustrate various configurations that may be applied through orientation of the shutters housed within the shutter garages of Figures 6A and 6B in accordance with one embodiment of the invention.
  • movable shutter 900 is blocking a portion of substrate 902.
  • Movable shutter 900 may move in a planar direction which is substantially parallel to the plane of substrate 902. This planar direction is illustrated by arrow 904.
  • two shutters are used in order to block multiple portions of substrate 902.
  • Shutters 900-1 and 900-2 each block corresponding ends of substrate 902, thereby leaving a middle portion of substrate 902 exposed.
  • Shutters 900-1 and 900-2 again move in a direction illustrated by arrows 904.
  • shutters 900-1 and 900-2 may be fixed in positions.
  • Figures 7 A and 7B illustrate the movement of shutters to limit exposure of a substrate
  • the shutters may also be used to limit the exposure of a mask disposed over a substrate.
  • Figures 7C and 7D illustrate the shutter configured to block a portion of a mask disposed over a substrate.
  • shutter 900 blocks a portion of mask 906.
  • shutters 900-1 and 900-2 block portions of mask 906. It should be appreciated that mask 906 may be rotated within the processing module and if the mask has various patterns defined thereon, the various patterns may be applied through rotation of the mask in accordance with one embodiment of the invention. In another embodiment, the substrate itself can be rotated by rotating for example the pedestal or substrate support on which the substrate sits.
  • FIG 8 is a flow chart diagram illustrating the method operations for combinatorial processing of a substrate in accordance with one embodiment of the invention.
  • a conventional processing technique such as a surface preparation, surface treatment, deposition or etch technique commonly performed on a substrate through commercially available equipment may be performed here.
  • operation 950 is optional.
  • the substrate can be provided with the blanket deposition, or any other processing operation, previously performed.
  • the method then advances to operation 952 where a first site isolated deposition over a discrete region of the substrate is performed under vacuum conditions.
  • the first site isolated deposition covers a first area of the substrate.
  • the HPC deposition system described with regard to Figures 2 and 3 may achieve this site isolated processing.
  • the method then advances to operation 954 where a second site isolated deposition is performed over a discrete region of the substrate without breaking the vacuum.
  • the second site isolated deposition covers a second area that is larger than the first area.
  • the process sequence integration is occurring where operation 952 lays down a first layer and operation 954 lays a second layer over the first layer.
  • the second site isolated deposition covers the entire first area and some additional area past the first area.
  • a blanket deposition operation may be performed in operation 954 as this operation would provide the insulating layer with spatial variation.
  • the method of Figure 8 then advances to operation 956 where a third site isolated deposition is performed over the discrete region of the substrate without breaking vacuum.
  • the third site isolated deposition covers a third area that is smaller than the second area, such that the third site isolated deposition is isolated from the first site isolated deposition by the second site isolated deposition. It should be appreciated that by maintaining vacuum the substrate is not exposed to any oxidation conditions and the processing occurs in the same system.
  • the embodiment of Figure 8 yields the structure of Figure 5C in one embodiment. In this embodiment, there is isolation between the metal and insulator layers and isolation between the top and the bottom metal electrodes. It should be appreciated that testing of the materials and the process sequence may be performed between each of the method operations described above.
  • the order of operations discussed for Figure 8 is not meant to be limiting. Consequently, a blanket step could be optional and even performed elsewhere, e.g., the blanket wafers may be purchased from a vendor.
  • the blanket deposition processes can occur between any of the operations described above, which in turn enables another technique for providing an insulating layer with spatial variation.
  • the embodiment of Figure 8 is exemplary and not meant to be limiting. In another embodiment, the configuration of two features across one layer is different. [0044]
  • the embodiments described herein provide for combinatorial wafer processing and site isolation processing with adjustable spatial definition across multiple layers without the need for a vacuum break to be introduced during the processing.
  • the multiple masks and the ability to remove and replace the masks in a processing module or rotate the masks or wafers as described without exposing the process components to a deleterious environment enables variation of spatial location and geometry across a sequence of processes of a substrate being processed.
  • the elimination of the need for a vacuum break avoids oxidation, exposure to air, moisture, contamination or exposure to a non-clean or otherwise deleterious environment.
  • the embodiments described above further enable in-situ variation of feature geometry and location across multiple layers of a substrate. Accordingly, variation over a process sequence may be tested as well as the materials and processes used for that sequence to more efficiently arrive at an optimum overall process sequence integration.
  • the testing of the process sequence may occur in stages where a relatively large group of materials, processes and process sequence integration candidates are systematically narrowed to a relatively small group of materials, processes and process sequence integration candidates.
  • the small group of materials, processes and process sequence integration candidates is then evaluated in a small scale processing environment, e.g., combinatorial processing of a single wafer while mimicking processing conditions on a large scale, to identify a relatively small group of best possible combinations of the materials, processes and process sequence integration candidates.
  • Additional claims not specifically mentioned in the numbered claims below include a method for processing a substrate in a cluster tool without breaking vacuum, which includes the method operations of processing the substrate in a chamber with a mask having a first feature set; removing the mask having the first feature set from the chamber; providing the mask having the second feature set to the chamber; and processing the substrate in the chamber with a mask having a second feature set.
  • the first feature set and the second feature sets are different.
  • the first feature set and the second feature sets are selected from the group consisting of an opening size, an opening shape, an opening orientation, an opening number, an opening location, and an opening distribution.
  • the method may also include transitioning a shutter to switch between the first feature set and the second feature set.
  • the method operation of processing the substrate in the chamber with a mask having a first feature set includes successively performing site isolated processing of portions of the substrate with the mask having the first feature set, wherein the successively performing includes a processing sequence selected from a group consisting of serial processing, parallel processing , and some combination of serial and parallel processing, and wherein the processing sequence includes both a combinatorial process operation and a conventional process operation.
  • the method also includes testing a functional structure in-situ resulting from the processing; storing the mask having the second feature set outside of the chamber when processing the substrate in the chamber with the mask having the first feature set; and storing the mask having the first feature set outside of the chamber when processing the substrate in the chamber with the mask having the second feature set.
  • Additional claims include a system for processing a substrate wherein the system includes a unitary mainframe having a plurality of modules attached thereto; and a transport mechanism disposed within the unitary mainframe, the transport mechanism configured to transfer the substrate between the plurality of modules, wherein at least one of the plurality of modules stores multiple masks, the multiple masks enabling in-situ variation of feature sizes across multiple layers of the substrate, the multiple masks further enabling in-situ variation of spatial location and geometry across a sequence of processes applied to the substrate.
  • each of the multiple masks define different geometric patterns.
  • one of the multiple masks includes a first region defining a first pattern and a second region defining a second pattern.
  • the system includes a support structure for one of the multiple masks in the at least one of the plurality of processing modules wherein the support structure is rotatable around an axis, wherein the support structure is vertically adjustable.
  • the remaining one of the plurality of modules is a combinatorial module configured to perform site isolated processing of the substrate.
  • the combinatorial module includes moveable shutters configured to block a portion of one of the multiple masks disposed within the combinatorial module.
  • the system includes a deposition module configured to perform a conventional deposition operation on a surface of the substrate, wherein the transport mechanism is configured to transport the substrate between the deposition module and the remaining one of the plurality of modules while avoiding a vacuum break.
  • the process sequence integration is performed while maintaining a controlled environment.
  • multiple combinatorial modules are provided.
  • Yet another set of claims includes a semiconductor processing system having a plurality of processing modules clustered around a frame, wherein at least one of the plurality of processing modules is configured to store a plurality of masks, each of the plurality of masks having corresponding mask patterns defined thereon, the plurality of processing modules including a combinatorial processing module configured to cycle between the plurality of masks to perform processing operations on successive planar levels of a substrate wherein the plurality of masks and the substrate remain in a controlled environment defined within a frame area.
  • the combinatorial processing module includes moveable shutters configured to block a portion of a mask placed into the combinatorial processing module.
  • the combinatorial processing module is configured to cycle between the plurality of masks by interchanging masks between the at least one of the plurality of processing modules and the combinatorial processing module.
  • the controlled environment controls one or more of an amount of oxygen, an amount of moisture, and an amount of particulate contamination and wherein the frame area encloses the plurality of processing modules.
  • the plurality of processing modules may include a conventional deposition module configured to deposit a layer of material across a surface of the substrate and wherein the system includes a transport mechanism located within a central area of the frame, the transport mechanism having access to each of the plurality of processing modules.
  • the system is integrated with another system having another plurality of processing modules clustered around a frame, the another plurality of processing modules including another combinatorial processing module.
  • the combinatorial processing module is configured to perform a processing operation selected from a group consisting of physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plasma enhanced atomic layer deposition (PEALD), ion-induced atomic layer deposition (II-ALD), radical enhanced atomic layer deposition (REALD), thermal treatment, laser treatment, ultraviolet (UV) treatment, infrared (IR) treatment , microwave treatment, electron-beam treatment, and ion treatment in another embodiment.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • ALD atomic layer deposition
  • PEALD plasma enhanced atomic layer deposition
  • II-ALD ion-induced atomic layer deposition
  • REALD
  • Still yet another set of claims includes a deposition system for combinatorial processing that includes a unitary main frame configured to receive at least one substrate; a plurality of processing modules coupled to the unitary main frame, the plurality of processing modules including a library module and a combinatorial deposition module, the library module storing processing masks, and the combinatorial deposition module being configured to use processing masks having different feature sets to carry out site-isolated deposition of at least two layers of materials onto the substrate without a vacuum break between depositions; and a handler disposed in the unitary main frame, the handler being configured to move the at least one substrate between the plurality of processing modules and to move the processing masks between the library module and the combinatorial deposition module.
  • the plurality of processing modules and the handler are enclosed in a frame area and wherein a controlled environment is provided in the frame area in one embodiment.
  • the controlled environment includes controlling one or more of an amount of oxygen, an amount of moisture, and an amount of particulate contamination.
  • the library module is configured to rotate around an axis and move in a vertical direction.
  • any of the operations described herein that form part of the invention are useful machine operations.
  • the invention also relates to a device or an apparatus for performing these operations.
  • the apparatus can be specially constructed for the required purpose, or the apparatus can be a general-purpose computer selectively activated or configured by a computer program stored in the computer.
  • various general-purpose machines can be used with computer programs written in accordance with the teachings herein, or it may be more convenient to construct a more specialized apparatus to perform the required operations.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
PCT/US2007/073368 2006-07-19 2007-07-12 Method and system for isolated and discretized process sequence integration WO2008011329A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CNA2007800265640A CN101490834A (zh) 2006-07-19 2007-07-12 用于隔离的与离散的工艺顺序的整合的方法与系统
JP2009520905A JP5389645B2 (ja) 2006-07-19 2007-07-12 絶縁および離散化プロセスのシーケンスの統合のための方法およびシステム
KR1020097001016A KR101412398B1 (ko) 2006-07-19 2007-07-12 분리 및 이산화된 프로세스 시퀀스 통합을 위한 방법 및 시스템
EP07840397A EP2044623A4 (en) 2006-07-19 2007-07-12 METHOD AND SYSTEM FOR SEQUENTIALLY INTEGRATED ISOLATED AND DISCRETE PROCESSING

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US83224806P 2006-07-19 2006-07-19
US60/832,248 2006-07-19
US11/672,478 US7867904B2 (en) 2006-07-19 2007-02-07 Method and system for isolated and discretized process sequence integration
US11/672,473 US8815013B2 (en) 2006-07-19 2007-02-07 Method and system for isolated and discretized process sequence integration
US11/672,473 2007-02-07
US11/672,478 2007-02-07

Publications (2)

Publication Number Publication Date
WO2008011329A2 true WO2008011329A2 (en) 2008-01-24
WO2008011329A3 WO2008011329A3 (en) 2008-03-20

Family

ID=38957500

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/073368 WO2008011329A2 (en) 2006-07-19 2007-07-12 Method and system for isolated and discretized process sequence integration

Country Status (5)

Country Link
EP (1) EP2044623A4 (ko)
JP (1) JP5389645B2 (ko)
KR (1) KR101412398B1 (ko)
CN (1) CN101490834A (ko)
WO (1) WO2008011329A2 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102075528B1 (ko) * 2013-05-16 2020-03-03 삼성디스플레이 주식회사 증착장치, 유기발광 디스플레이 장치 제조방법 및 유기발광 디스플레이 장치

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4613981A (en) * 1984-01-24 1986-09-23 Varian Associates, Inc. Method and apparatus for lithographic rotate and repeat processing
US6830663B2 (en) * 1999-01-26 2004-12-14 Symyx Technologies, Inc. Method for creating radial profiles on a substrate
US6675469B1 (en) * 1999-08-11 2004-01-13 Tessera, Inc. Vapor phase connection techniques
JP4588167B2 (ja) * 2000-05-12 2010-11-24 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7462372B2 (en) * 2000-09-08 2008-12-09 Semiconductor Energy Laboratory Co., Ltd. Light emitting device, method of manufacturing the same, and thin film forming apparatus
US8900366B2 (en) * 2002-04-15 2014-12-02 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
JP2004349508A (ja) * 2003-05-22 2004-12-09 Applied Materials Inc 基体処理方法、マスク部材セット、基体処理装置、素子又は半導体装置の製造方法、及び、素子又は半導体装置の製造条件決定方法
JP2005294584A (ja) * 2004-03-31 2005-10-20 Eudyna Devices Inc 半導体装置および不純物導入用マスクならびに半導体装置の製造方法
KR20060007211A (ko) * 2004-07-19 2006-01-24 삼성전자주식회사 노광 시스템
US7491431B2 (en) * 2004-12-20 2009-02-17 Nanogram Corporation Dense coating formation by reactive deposition
US7255747B2 (en) * 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of EP2044623A4 *

Also Published As

Publication number Publication date
CN101490834A (zh) 2009-07-22
JP5389645B2 (ja) 2014-01-15
KR20090060261A (ko) 2009-06-11
EP2044623A2 (en) 2009-04-08
KR101412398B1 (ko) 2014-06-25
EP2044623A4 (en) 2012-10-03
WO2008011329A3 (en) 2008-03-20
JP2009544173A (ja) 2009-12-10

Similar Documents

Publication Publication Date Title
US8815013B2 (en) Method and system for isolated and discretized process sequence integration
US20150184287A1 (en) Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
US20140170335A1 (en) Methods and Apparatus for Combinatorial PECVD or PEALD
KR100870119B1 (ko) 기판 처리 장치 및 방법
US8647466B2 (en) Combinatorial evaluation of dry semiconductor processes
WO2020242611A1 (en) System and method for aligning a mask with a substrate
US20140162384A1 (en) PVD-ALD-CVD hybrid HPC for work function material screening
US9087864B2 (en) Multipurpose combinatorial vapor phase deposition chamber
EP2707519A1 (en) Combinatorial and full substrate sputter deposition tool and method
EP2044623A2 (en) Method and system for isolated and discretized process sequence integration
US20130149469A1 (en) Combinatorial rf bias method for pvd
US8709270B2 (en) Masking method and apparatus
US20130156530A1 (en) Method and apparatus for reducing contamination of substrate
US20130152857A1 (en) Substrate Processing Fluid Delivery System and Method
KR101817216B1 (ko) 기판 처리 장치 및 기판 처리 방법
US20140174911A1 (en) Methods and Systems for Reducing Particles During Physical Vapor Deposition
KR101065350B1 (ko) 버퍼 챔버 및 이를 구비한 반도체 제조용 장비
US20140183161A1 (en) Methods and Systems for Site-Isolated Combinatorial Substrate Processing Using a Mask
US20230085987A1 (en) Linear arrangement for substrate processing tools
JP2023001069A (ja) サセプタクリーニング
US8883607B2 (en) Full wafer processing by multiple passes through a combinatorial reactor
US20140178583A1 (en) Combinatorial Methods and Systems for Developing Thermochromic Materials and Devices

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780026564.0

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07840397

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009520905

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020097001016

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE

REEP Request for entry into the european phase

Ref document number: 2007840397

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2007840397

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: RU