WO2008005773A3 - outil multiposte pour un traitement frontal avancé - Google Patents

outil multiposte pour un traitement frontal avancé Download PDF

Info

Publication number
WO2008005773A3
WO2008005773A3 PCT/US2007/072264 US2007072264W WO2008005773A3 WO 2008005773 A3 WO2008005773 A3 WO 2008005773A3 US 2007072264 W US2007072264 W US 2007072264W WO 2008005773 A3 WO2008005773 A3 WO 2008005773A3
Authority
WO
WIPO (PCT)
Prior art keywords
utilized
generally provide
end processing
cluster tool
reduce
Prior art date
Application number
PCT/US2007/072264
Other languages
English (en)
Other versions
WO2008005773A2 (fr
Inventor
Randhir Thakur
Arkadii Samoilov
Per-Ove Hansson
Original Assignee
Applied Materials Inc
Randhir Thakur
Arkadii Samoilov
Per-Ove Hansson
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/460,864 external-priority patent/US20070134821A1/en
Application filed by Applied Materials Inc, Randhir Thakur, Arkadii Samoilov, Per-Ove Hansson filed Critical Applied Materials Inc
Priority to EP07812383A priority Critical patent/EP2041774A2/fr
Priority to JP2009518542A priority patent/JP2009543355A/ja
Publication of WO2008005773A2 publication Critical patent/WO2008005773A2/fr
Publication of WO2008005773A3 publication Critical patent/WO2008005773A3/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

L'invention concerne un appareil et un procédé pour traiter des substrats à l'aide d'un système de traitement à chambres multiples qui est adapté pour traiter des substrats et analyser les résultats des processus réalisés sur le substrat. Dans un aspect de l'invention, une ou plusieurs étapes d'analyse et/ou étapes de pré-nettoyage sont utilisées pour réduire l'effet du temps d'attente sur le rendement du dispositif. Dans un aspect de l'invention, un dispositif de commande de système et ladite ou lesdites chambres d'analyse sont utilisés pour surveiller et commander une recette de chambre de processus et/ou une séquence de processus afin de réduire les débris de substrat dûs à des défauts dans le dispositif formé et les problèmes de variabilité de performance du dispositif. Des modes de réalisation de la présente invention concernent aussi généralement des procédés et un système pour former de manière répétitive et fiable des dispositifs à semi-conducteurs utilisés dans un grand nombre d'applications.
PCT/US2007/072264 2006-07-03 2007-06-27 outil multiposte pour un traitement frontal avancé WO2008005773A2 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP07812383A EP2041774A2 (fr) 2006-07-03 2007-06-27 Outil multiposte pour un traitement frontal avance
JP2009518542A JP2009543355A (ja) 2006-07-03 2007-06-27 進歩型フロントエンド処理のためのクラスターツール

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US80651806P 2006-07-03 2006-07-03
US60/806,518 2006-07-03
US11/460,864 US20070134821A1 (en) 2004-11-22 2006-07-28 Cluster tool for advanced front-end processing
US11/460,864 2006-07-28

Publications (2)

Publication Number Publication Date
WO2008005773A2 WO2008005773A2 (fr) 2008-01-10
WO2008005773A3 true WO2008005773A3 (fr) 2008-02-28

Family

ID=38895329

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/072264 WO2008005773A2 (fr) 2006-07-03 2007-06-27 outil multiposte pour un traitement frontal avancé

Country Status (5)

Country Link
EP (1) EP2041774A2 (fr)
JP (1) JP2009543355A (fr)
KR (1) KR20090035578A (fr)
TW (1) TW200811916A (fr)
WO (1) WO2008005773A2 (fr)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8022372B2 (en) 2008-02-15 2011-09-20 Veeco Instruments Inc. Apparatus and method for batch non-contact material characterization
US7838431B2 (en) * 2008-06-14 2010-11-23 Applied Materials, Inc. Method for surface treatment of semiconductor substrates
US7964858B2 (en) 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US20120118227A1 (en) * 2009-08-13 2012-05-17 Kim Nam Jin Apparatus for forming layer
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US9653340B2 (en) 2011-05-31 2017-05-16 Veeco Instruments Inc. Heated wafer carrier profiling
KR102317822B1 (ko) * 2012-07-02 2021-10-25 어플라이드 머티어리얼스, 인코포레이티드 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들
KR102374689B1 (ko) * 2012-08-08 2022-03-14 어플라이드 머티어리얼스, 인코포레이티드 링크된 진공 프로세싱 툴들 및 그 사용 방법들
KR101463984B1 (ko) * 2013-02-15 2014-11-26 최대규 플라즈마 처리 시스템
US9627608B2 (en) * 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US20160240405A1 (en) * 2015-02-12 2016-08-18 Applied Materials, Inc. Stand alone anneal system for semiconductor wafers
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
US10879177B2 (en) * 2015-06-19 2020-12-29 Applied Materials, Inc. PVD deposition and anneal of multi-layer metal-dielectric film
JP6441499B2 (ja) * 2015-10-28 2018-12-19 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
CN108352300B (zh) * 2015-11-13 2022-03-29 应用材料股份有限公司 半导体装置处理方法、系统及设备
WO2018052479A1 (fr) * 2016-09-15 2018-03-22 Applied Materials, Inc. Système intégré pour processus semi-conducteur
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US11673170B2 (en) 2017-04-28 2023-06-13 Applied Materials, Inc. Method for cleaning a vacuum system used in the manufacture of OLED devices, method for vacuum deposition on a substrate to manufacture OLED devices, and apparatus for vacuum deposition on a substrate to manufacture OLED devices
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
KR102434943B1 (ko) * 2017-09-20 2022-08-23 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
WO2019182913A1 (fr) * 2018-03-20 2019-09-26 Tokyo Electron Limited Plate-forme hétérogène auto-sensible et de correction incorporant des modules intégrés de traitement de semi-conducteur et son procédé d'utilisation
JP7295359B2 (ja) * 2018-03-20 2023-06-21 東京エレクトロン株式会社 統合的な計測を伴う基板処理ツール並びに使用方法
CN112106182A (zh) * 2018-03-20 2020-12-18 东京毅力科创株式会社 结合有集成半导体加工模块的自感知校正异构平台及其使用方法
JP7443250B2 (ja) * 2018-05-16 2024-03-05 アプライド マテリアルズ インコーポレイテッド 原子層自己整合基板の処理及び統合型ツールセット
US20190362989A1 (en) * 2018-05-25 2019-11-28 Applied Materials, Inc. Substrate manufacturing apparatus and methods with factory interface chamber heating
CN111507076B (zh) * 2019-01-29 2022-07-05 北京新唐思创教育科技有限公司 一种用于教学系统的共案课件制作方法、装置和终端
JP7206961B2 (ja) * 2019-01-30 2023-01-18 日立金属株式会社 半導体製造装置の管理システム及びその方法
KR20220041358A (ko) * 2020-09-25 2022-04-01 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
WO2022186775A1 (fr) * 2021-03-02 2022-09-09 Agency For Science, Technology And Research Chambre de préparation permettant de nettoyer et de réparer une surface de saphir pour la croissance épitaxiale de matériaux composites
JP7485729B2 (ja) * 2021-07-07 2024-05-16 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長のための統合湿式洗浄
JP7478776B2 (ja) * 2021-07-07 2024-05-07 アプライド マテリアルズ インコーポレイテッド ゲートスタック形成のための統合湿式洗浄
US20230032146A1 (en) * 2021-07-27 2023-02-02 Applied Materials, Inc. Simultaneous in process metrology for cluster tool architecture
KR102418534B1 (ko) * 2021-10-12 2022-07-07 주식회사 바코솔루션 반도체 기판의 처리를 위한 클러스터 툴 및 그 제어 방법
KR102424853B1 (ko) * 2021-10-12 2022-07-25 주식회사 바코솔루션 반도체 기판 처리 장치
KR102418530B1 (ko) * 2021-10-12 2022-07-07 주식회사 바코솔루션 반도체 기판 처리 장치
CN114000192B (zh) * 2021-10-29 2023-10-13 北京北方华创微电子装备有限公司 半导体工艺设备以及晶圆位置状态的监测方法
CN114904822B (zh) * 2022-03-31 2023-09-26 上海果纳半导体技术有限公司 机械手清洗装置、清洗方法及半导体设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US20060021702A1 (en) * 2004-07-29 2006-02-02 Ajay Kumar Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2729310B2 (ja) * 1988-05-12 1998-03-18 三菱電機株式会社 半導体基板表面に薄膜を形成する装置
JP3107425B2 (ja) * 1991-10-09 2000-11-06 三井化学株式会社 非晶質太陽電池
JPH05275343A (ja) * 1992-03-27 1993-10-22 Toshiba Corp 基板処理装置
JP3297857B2 (ja) * 1995-12-27 2002-07-02 東京エレクトロン株式会社 クラスタツール装置
US6015759A (en) * 1997-12-08 2000-01-18 Quester Technology, Inc. Surface modification of semiconductors using electromagnetic radiation
JP2002270596A (ja) * 2001-03-12 2002-09-20 Matsushita Electric Ind Co Ltd 半導体装置の製造装置
JP2003115578A (ja) * 2001-10-05 2003-04-18 Canon Inc 不揮発固体磁気メモリ装置、該不揮発固体磁気メモリ装置の製造方法およびマルチ・チップ・パッケージ

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US20060021702A1 (en) * 2004-07-29 2006-02-02 Ajay Kumar Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor

Also Published As

Publication number Publication date
KR20090035578A (ko) 2009-04-09
TW200811916A (en) 2008-03-01
JP2009543355A (ja) 2009-12-03
WO2008005773A2 (fr) 2008-01-10
EP2041774A2 (fr) 2009-04-01

Similar Documents

Publication Publication Date Title
WO2008005773A3 (fr) outil multiposte pour un traitement frontal avancé
WO2006055984A3 (fr) Appareil de traitement de substrats au moyen d'une chambre de traitement par lots
WO2007126469A3 (fr) Procédé de conditionnement d'une chambre de traitement
TW200707621A (en) Cartesian robot cluster tool architecture
SG143125A1 (en) Chromium-free etching solution for si-substrates and sige-substrates, method for revealing defects using the etching solution and process for treating si-substrates and sige-substrates using the etching solution
WO2008078518A1 (fr) Procédé de fabrication de couche semi-conductrice, appareil de fabrication de couche semi-conductrice et dispositif semi-conducteur fabriqué à l'aide d'un tel procédé et d'un tel appareil
WO2009153160A9 (fr) Système de transport de précision pour sérigraphie
WO2006053129A3 (fr) Procede et appareil de formation d'une cellule solaire a couche mince utilisant un procede en continu
WO2013098702A3 (fr) Attaque par émission d'impulsions en mode mélangé dans des systèmes de traitement de plasma
WO2009063954A1 (fr) Procédé de traitement de substrat et substrat traité par ce procédé
GB201203530D0 (en) Methods and apparatus for depositing and/or etching material on a substrate
WO2009135685A3 (fr) Dispositif et procédé de régulation de température d'objets dans une chambre de traitement
TW200641998A (en) Formation of silicon nitride film
SG142270A1 (en) Integrated method for removal of halogen residues from etched substrates by thermal process
WO2008109504A3 (fr) Système de traitement et procédé pour réaliser un traitement non plasmatique de haut débit
TW200725739A (en) Method for using film formation apparatus
TW200633051A (en) Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
WO2009139828A3 (fr) Détection d'événements de formation d'arc lors d'un traitement au plasma de tranches par le contrôle de concentrations de gaz sous forme de trace
WO2011109266A3 (fr) Procédé et appareil de nitruration sélective en une seule étape
EP3819930A4 (fr) Dispositif de détermination de processus de fabrication pour dispositif de traitement de substrat, système de traitement de substrat, dispositif de détermination de processus de fabrication pour dispositif de traitement de substrat, groupe de modèles d'apprentissage, procédé de génération de groupe de modèles d'apprentissage, et programme
DE602007004290D1 (de) Verfahren und Vorrichtung zur Messtechnikintegration mit einer Ätzbehandlung
TW200620427A (en) Substrate processing apparatus and method for manufacturing semiconductor device
DE60219034D1 (de) Vorrichtungen zum abtrennen von substraten und zugehörige verfahren
TW200624360A (en) Substrate treatment method, substrate treatment system, and substrate treatment program
TW200641981A (en) Plasma processing apparatus

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780025404.4

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07812383

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009518542

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2007812383

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: RU

WWE Wipo information: entry into national phase

Ref document number: 1020097002228

Country of ref document: KR