WO2007016218A2 - Système de dépôt catalytique intégré - Google Patents

Système de dépôt catalytique intégré Download PDF

Info

Publication number
WO2007016218A2
WO2007016218A2 PCT/US2006/029137 US2006029137W WO2007016218A2 WO 2007016218 A2 WO2007016218 A2 WO 2007016218A2 US 2006029137 W US2006029137 W US 2006029137W WO 2007016218 A2 WO2007016218 A2 WO 2007016218A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
chamber
processing
layer
fluid
Prior art date
Application number
PCT/US2006/029137
Other languages
English (en)
Other versions
WO2007016218A3 (fr
Inventor
Dmitry Lubomirsky
Arulkumar Shanmugasundram
Allen D'ambra
Timothy W. Weidman
Michael P. Stewart
Eugene Rabinovich
Svetlana Sherman
Manoocher Birang
Yaxin Wang
Michael X. Yang
Bradley Hansen
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/192,993 external-priority patent/US20060033678A1/en
Priority claimed from US11/428,230 external-priority patent/US20070111519A1/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2007016218A2 publication Critical patent/WO2007016218A2/fr
Publication of WO2007016218A3 publication Critical patent/WO2007016218A3/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • C23C18/1632Features specific for the apparatus, e.g. layout of cells and of its equipment, multiple cells
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1682Control of atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • C23C18/1685Process conditions with supercritical condition, e.g. chemical fluid deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1886Multistep pretreatment
    • C23C18/1889Multistep pretreatment with use of metal first
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67046Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Definitions

  • Embodiments of the invention generally relate to an electroless deposition system for semiconductor processing.
  • Metallization of sub-100 nanometer sized features is a foundational technology for present and future generations of integrated circuit manufacturing processes. More particularly, in devices such as ultra large scale integration-type devices, i.e., devices having integrated circuits with several million logic gates, the multilevel interconnects that lie at the heart of these devices are generally formed by filling sub-micron high aspect ratio interconnect features with a conductive material, such as copper, wherein a high aspect ratio is greater than about 10:1. At these dimensions, conventional deposition techniques, such as chemical vapor deposition and physical vapor deposition, cannot reliably fill interconnect features like trenches or vias.
  • plating techniques i.e., electrochemical plating and electroless plating
  • electrochemical plating and electroless plating have emerged as promising processes for void-free filling of sub-100 nanometer sized high aspect ratio interconnect features in integrated circuit manufacturing processes.
  • electrochemical and electroless plating processes have emerged as promising processes for depositing or repairing pre-plating seed layers and depositing post-plating layers, such as capping layers.
  • barrier materials are used to control copper diffusion.
  • the barrier layer typically includes a refractory metal nitride and/or suicide, such as titanium or tantalum.
  • tantalum nitride is one of the most desirable materials for use as a barrier layer.
  • Tantalum nitride has one of the lowest electrical resistivities of the metal nitrides and is also a good barrier to prevent copper diffusion, even when relatively thin layers are formed (e.g., 20 A or less).
  • a tantalum nitride layer is typically deposited by conventional deposition techniques, such as physical vapor deposition (PVD), atomic layer deposition (ALD) and chemical vapor deposition (CVD).
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • a negative characteristic of Tantalum nitride is poor adhesion to a copper layer deposited thereon. Poor adhesion of subsequent deposited copper layer(s) can lead to poor electromigration in the formed device and possibly process contamination issues in subsequent processing steps, such as chemical mechanical polishing (CMP). It is believed that exposure of the tantalum nitride layer to sources of oxygen and other contamination will cause the exposed surface of the tantalum nitride layer to oxidize, thus preventing the formation of a strong bond to the subsequently deposited copper layer.
  • CMP chemical mechanical polishing
  • dielectric deposition processes typically contain carbon, which becomes incorporated into the dielectric layer. Carbon incorporation is often detrimental to the completion of wet chemical processes since the deposited film tends to be hydrophobic, reducing or preventing fluids from wetting and depositing a film having desirable properties. Therefore, a process and apparatus is needed for depositing a barrier layer or adhesion layer that strongly bonds to carbon-containing dielectric layers and subsequently deposited copper layers.
  • Another problem with the use of copper and its alloys is that copper readily oxidizes when exposed to air and is also vulnerable to chemical corrosion and deterioration due to subsequent processing steps. Copper interconnects are adversely affected by oxidation and other forms of deterioration and seed layers suffer from widely different levels of oxidation when queue times vary between lots.
  • a method of protecting copper interconnects from subsequent processing steps is to form a capping layer over copper interconnects.
  • One problem with previous capping layer methods is inadequate pre-treatment of the substrate prior to electroless deposition of the capping layer and inadequate post-treatment of the capping layer, which may cause contamination problems and/or selectivity problems.
  • contamination includes watermarks remaining on hydrophobic films that contain copper, cobalt, and other metals. The presence of this type of contamination can seriously affect subsequent electroless deposition as well as other processing steps.
  • Another problem with using capping layers to protect interconnects is the potential creation of shorts between closely spaced interconnects.
  • Figure 1A illustrates a substrate structure 100 with parallel interconnects 101 , 102 and 103.
  • the substrate structure 100 is shown after being planarized by a chemical mechanical polishing (CMP) process. Even after rigorous cleaning, contamination in the form of copper particles 104a is generally present on the surface of substrate structure 100.
  • Figure 1 B depicts substrate structure 100 after a metallic capping layer, such as capping layer 105, has been formed on top of parallel interconnects 101 , 102, and 103 by an electroless deposition process. Because the presence of any metal can act as a site of autocatalytic (electroless) deposition, copper particles 104a will experience significant deposition as well. In regions of closely spaced interconnects, these now enlarged metallic particles 104b (Figure 1 B) can create electrical leakage between the parallel interconnects 101 , 102 and 103.
  • CMP chemical mechanical polishing
  • FIG. 1C is a schematic side view of a substrate structure 110 with sub-micron high aspect ratio (i.e., > 10:1 ) features, such as high aspect ratio features 111 , and a low aspect ratio feature 112, both requiring copper fill.
  • sub-micron high aspect ratio i.e., > 10:1
  • Figures 1C-E show low aspect ratio feature with a depth-to-width ratio of approximately 1 :5, but for some device features this ratio may be as much as 1 :100 or more, such as for contact pads.
  • Figure 1 D illustrates substrate structure 110 after a typical electroless copper plating process. Electroless copper film 115 of thickness 114 has been conformally deposited on substrate structure 110 via an electroless plating process. High aspect ratio features 111 are filled since the width 113 of high aspect ratio features 111 is no more than twice the thickness 114 of the electroless copper film 115. Low aspect ratio feature 112 is only partially filled, however. Either an extended electroless fill process must be used, or another method of filling large, low aspect ratio features such as 112 must be used.
  • Electroless deposition of films that can fill such large substrate features can require prohibitively long deposition times, e.g. one or more orders of magnitude longer than the time required to fill high aspect ratio features 111.
  • Application of a second plating method to fill low aspect ratio features such as 112 typically requires the added expense and complexity of processing substrates on an additional processing platform.
  • electroless copper film 115 will be subject to degradation via oxidation prior to the secondary plating process.
  • a native oxide typically forms when a substrate surface is exposed to oxygen. Oxygen exposure occurs when the substrate is moved between processing chambers at atmospheric conditions, or when removed from a substrate processing system between processing steps, or when a small amount of oxygen remaining in a processing chamber contacts the substrate surface. Native oxides may also result if the substrate surface is contaminated during etching. Native oxides typically form an undesirable film on the substrate surface. Native oxide films are usually very thin, such as between 5 and 20 angstroms, but thick enough to cause difficulties in subsequent fabrication processes. Such difficulties usually affect the electrical properties of semiconductor devices formed on the substrate.
  • Exposed contact surfaces are present at the bottom of high aspect ratio features 111 and low aspect ratio feature 112 prior to performing interconnect metallization processes, such as electroless gap fill.
  • Such contact surfaces are typically metallic materials subject to rapid native oxide growth.
  • contact surfaces may consist of a pure silicon surface that is subject to native oxide growth.
  • Native oxides are electrically insulating and are undesirable at interfaces with device contacts or interconnecting electrical pathways because they cause high electrical contact resistance. This results in lower substrate yields and increased failure rates due to overheating at the electrical contacts.
  • the native oxide film can also prevent adhesion of other layers that are subsequently deposited on the substrate. It is desirable to have a method for removing native oxides and other contaminants on exposed device contacts — particularly those found in sub-micron high-aspect features. Current methods include sputter etching and wet etch processes using hydrofluoric acid. Conventional sputter etching performs poorly in features having aspect ratios smaller than about 4:1 and can damage delicate silicon layers by physical bombardment.
  • Ultra-large scale integrated circuits may also suffer from high contact resistance as devices on integrated circuits are further reduced in size.
  • High contact resistance can be the result of native oxide formation on contact surfaces, contamination, the formation of seams and voids, and barrier layer resistance.
  • native oxide may form on exposed contact surfaces such as those present at the bottom of high aspect ratio features 111 and low aspect ratio feature 112 shown in Figure 1C.
  • Contamination may be present inside high aspect ratio features 111 from previous process steps because it is difficult to remove from such features.
  • Seams may form between conductive layers deposited in a device feature, such as a contact surface. Seam formation results in high contact resistance despite the low resistivity of the individual layers formed thereon.
  • Voids greatly increase electrical resistance and may also be displaced into subsequent layers formed on the device.
  • the barrier layer makes up increasingly more cross-sectional area of a contact or via. Because barrier layers generally posses worse-than-optimal electrical resistance, contact resistance increases exponentially with decreasing size when conventional barrier layers are used.
  • Embodiments of the invention provide methods for integrating electroless seed layer deposition and ECP gap fill on a single platform, integrating electroless seed deposition and electroless gap fill on a single platform, depositing a capping layer over interconnects on a substrate without forming leakage paths between the interconnects, and integrating a brush box and vapor dryer into an electroless deposition system for post-deposition cleaning of substrates.
  • One embodiment provides a method for filling high aspect ratio and low aspect ratio substrate features on a single platform.
  • Another embodiment provides a method for cleaning silicon contacts and forming a stable suicide at the contacts without exposure to air.
  • methods include pre-deposition cleaning treatments via plasma-enhanced dry etch or supercritical fluid chambers.
  • Embodiments of the invention further provide a cluster tool that is configured to deposit a material onto a surface of a substrate by using one or more electroless, electrochemical plating, CVD and/or ALD processing chambers.
  • Cluster tool refers to a substrate processing system containing multiple substrate processing chambers, wherein the multiple chambers are intended either to perform various processes on substrates in sequence or to perform the same process on multiple substrates simultaneously.
  • the system includes a processing mainframe, at least one substrate cleaning station positioned on the mainframe, and at least one electroless deposition station positioned on the mainframe.
  • the system also includes a substrate transfer robot positioned on the mainframe and configured to transport substrates between process chambers.
  • Embodiments of the invention also provide a hybrid deposition system configured to deposit a seed layer on a substrate with an electroless process and to subsequently fill interconnect features on the substrate with an ECP cell.
  • a hybrid deposition system configured to deposit a seed layer on a substrate with an electroless process and to subsequently fill interconnect features on the substrate with an ECP cell.
  • conventional integrated bevel clean and spin-rinse-dry chambers are used for post-deposition cleaning of the substrate.
  • Embodiments of the invention further provide an electroless deposition system configured to deposit a seed layer on a substrate, repair an extant seed layer, fill interconnect features on a substrate, or sequentially deposit both a seed layer and fill interconnect features on the substrate.
  • a standard SRD chamber is used for post-deposition cleaning of the substrate.
  • conventional IBC and SRD chambers are used for post- process cleaning of the substrate.
  • a hybrid IBC/SRD chamber is used for post-deposition processing.
  • a brush box chamber and SRD are used.
  • Embodiments of the invention provide an electroless deposition system configured to form a capping layer over substrate interconnects.
  • the system includes a vapor dryer for pre- and post-deposition cleaning of substrates as well as a brush box chamber for post-deposition cleaning.
  • Embodiments of the invention further provide an electroless deposition system that is configured to form a barrier layer and a ruthenium-containing catalytic layer on a substrate prior to electroless to deposition on the substrate.
  • Embodiments of the invention further provide an elelctroless deoposition system that is configured to remove native oxide and other contaminants on exposed contacts at the bottom of high aspect ratio features using a plasma- enhanced dry etch chamber or a supercritical clean chamber and depositing electroless Cobalt or Nickel for fill.
  • Embodiments of the invention also provide a substrate processing chamber that integrates the functions of an electroless deposition chamber with the post-deposition bevel cleaning function of an IBC chamber.
  • Figure 1A is a schematic perspective diagram of a substrate structure that has been cross-sectioned for clarity.
  • Figure 1B is a schematic perspective diagram of the structure of Figure 1 A after the deposition of a capping layer on the substrate structure.
  • Figure 1 C is a schematic side view of a substrate structure prior to copper film deposition.
  • Figure 1 D is a schematic side view of the substrate structure in Figure 1C after the deposition of a copper film via a typical electroless plating process.
  • Figure 1 E is a schematic side view of the substrate structure in Figure 1 D after the deposition of a second copper film via an ECP overfill process.
  • Figures 1 F - 1 K illustrate schematic cross-sectional views of an integrated circuit fabrication sequence formed by processes described herein.
  • Figure 2 is a schematic plan view of an exemplary deposition system.
  • Figure 2A is a schematic plan view of an exemplary deposition system.
  • Figure 2B illustrates a sectional view of an exemplary plating cell.
  • Figure 3 is a perspective diagram of an exemplary substrate bevel cleaning chamber.
  • Figure 4 is a partial perspective view of an exemplary substrate spin rinse dry cell.
  • Figure 5A illustrates a selective electroless deposition process sequence.
  • Figure 5B illustrates a non-selective electroless deposition process sequence.
  • Figure 5C illustrates a selective electroless deposition process sequence for high aspect ratio contact fill.
  • Figure 6 is a perspective view of an exemplary electroless plating twin cell.
  • Figure 7 is a perspective view of an exemplary twin electroless plating twin cell with processing enclosure removed for clarity.
  • Figure 8 is a sectional view of an exemplary pair of electroless processing stations.
  • Figure 8A is a side perspective view of an exemplary brush box scrubbing device that may be used in embodiments of the invention.
  • Figure 9 is a schematic side view of one embodiment of a vapor drying apparatus illustrating the progression of a substrate through the vapor drying apparatus.
  • Figure 9A is a perspective view of a running beam and a flipper robot for substrate transfer into a brush box chamber and a vapor dryer chamber.
  • Figure 9B is a flow chart summarizing the different methods of vertical substrate handling required for embodiments of the invention.
  • Figure 10 is a flow chart of a substrate process sequence for one embodiment of the invention.
  • Figure 11 is a schematic plan view of an exemplary deposition system.
  • Figure 11 A is a schematic plan view of an exemplary deposition system.
  • Figures 12A, 12B, 12C, 12D, and 12E are flow charts of substrate process sequences for one embodiment of the invention.
  • Figure 13 is a flow chart of a substrate process sequence for one embodiment of the invention.
  • Figure 14 illustrates a cross-sectional view of a capacitively coupled plasma processing chamber that may be incorporated into embodiments of the invention.
  • Figures 14A illustrates a cross-sectional view of a plasma processing chamber adapted to deposit a ruthenium-containing layer on a substrate.
  • Figure 15 is a flow chart of a substrate process sequence for one embodiment of the invention.
  • Figure 16 is a flow chart of a substrate process sequence for one embodiment of the invention.
  • Figure 17 is a flow chart of a substrate process sequence for one embodiment of the invention.
  • Figure 18A and 18B illustrate a cross-sectional view of a process chamber that may be adapted to deposit reducing and catalytic layers on a substrate.
  • Figure 18C and 18D illustrate a cross-sectional view of a process chamber that may be adapted to deposit reducing, catalytic, and ECP layers on a substrate.
  • Figure 19 illustrates a partial cross sectional view of an illustrative processing chamber for heating, cooling, and etching.
  • Figure 20 is a schematic cross-sectional view of an exemplary supercritical clean chamber that may be used in embodiments of the invention.
  • Figures 21 A-F are schematic cross-sectional views of a silicon contact illustrating a process of forming a suicide thereon using the inventive method.
  • Figure 22 illustrates a processing sequence for forming a suicide on a silicon contact as described within an embodiment herein.
  • Figure 23 illustrates a top perspective view of an exemplary annealing chamber of the invention with the cover or lid portion of the chamber removed so that the internal components are visible.
  • Embodiments of the invention generally provide methods of depositing materials onto semiconductor substrates by using one or more electroless, ECP, CVD and/or ALD processing chambers. More particularly, embodiments of the invention allow formation of capping layers with low defects and low oxidation of interconnect features, deposition of a barrier layer on substrates, deposition and/or repair of seed layers on substrates, electroless fill of interconnect features, and sequential filling of high and low aspect ratio interconnect features on a substrate, using electroless and ECP processes. Other embodiments of the invention allow the removal of native oxides and other contaminants on exposed contacts at the bottom of high aspect ratio features and the subsequent deposition of cobalt and/or nickel to fill such contacts.
  • nickel suicide is formed after an oxide cleaning step and before a cobalt fill step to prevent further silicidation of diffused cobalt into silicon contacts.
  • a nickel or cobalt layer is deposited onto a silicon contact and subsequently annealed to form a stable first stage suicide that may be exposed to air without danger of oxidation.
  • a typical sequence for forming an interconnect includes depositing one or more non-conductive layers, etching at least one of the layer(s) to form one or more features therein, depositing a barrier layer in the feature(s) and depositing one or more conductive layers, such as copper, to fill the feature.
  • Figure 1 F illustrates a cross-sectional view of substrate 120 having an interconnect feature, or aperture 122, formed into a dielectric layer 121 on the surface of the substrate 120.
  • Substrate 120 may comprise a semiconductor material such as, for example, silicon, germanium, or silicon germanium, for example.
  • the dielectric layer 121 may be an insulating material, such as silicon dioxide, silicon nitride, SOI, silicon oxynitride and/or carbon-doped silicon oxides, such as SiO x C y , for example, BLACK DIAMONDTM low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, California.
  • Aperture 122 may be formed in substrate 120 using conventional lithography and etching techniques to expose contact layer 123.
  • Contact layer 123 may include copper, tungsten, aluminum or alloys thereof.
  • barrier layer 124 may be formed on the dielectric layer 121 and in aperture 122, as depicted in Figure 1G.
  • Barrier layer 124 may be formed using a suitable deposition process including ALD, chemical vapor deposition (CVD), physical vapor deposition (PVD) or combinations thereof.
  • barrier layer 124 may be formed by a chamber of the cluster tool.
  • a reducing layer 126 which promotes adhesion, may first be formed on barrier layer 124, as depicted in Figure 1 H.
  • the surface of barrier layer 124 is modified by use of a plasma deposition process.
  • this plasma deposition process may be conducted in the same deposition chamber as the barrier layer deposition process, described above.
  • a catalytic layer 128 is deposited on barrier layer 124 as depicted in Figure 11.
  • Catalytic layer 128 is formed by exposing reducing layer 126 to a catalytic metal-containing precursor. Reducing layer 126 chemically reduces the catalytic metal-containing precursor to form catalytic layer 128 on barrier layer 124.
  • Catalytic layer 128 contains the respective metal from the precursor, allowing formation of subsequent conductive layers on the substrate via electroless and/or electrochemical deposition.
  • Conductive layers such as seed layer 129 and/or bulk layer 130 may then be deposited on substrate 120 as shown in Figures U and 1 K, respectively. Alternately, seed layer 129 may be deposited on substrate 120 followed by a bulk conductive layer, such as bulk layer 130 (not shown). Embodiments of the invention may deposit seed layer 129 and/or bulk layer 130 by an electroless plating process. In one aspect, bulk layer 130 may be deposited via an electrochemical plating process.
  • the cluster tool generally contains a wet processing platform in communication with a substrate loading area and together with the loading area, comprises a substrate plating system.
  • the loading area or "dry side” is generally configured to receive substrate-containing cassettes and transfer substrates received from the cassettes to the wet processing platform for wet processing.
  • the loading area typically includes "dry side" processing chambers for treatment of substrates before and/or after wet processing, such as barrier layer deposition chambers and anneal chambers.
  • the dry side may also contain a robot configured to transfer substrates between the cassettes, the wet processing platform, and the dry side processing chambers.
  • the wet processing platform generally includes at least one substrate transfer robot and a plurality of substrate processing chambers, for example, ECP cells, IBC chambers, SRD chambers, electroless plating cells, etc.
  • the various embodiments may include different combinations of wet and dry substrate-processing chambers.
  • the cluster tool will allow for pre-treatment of a dry substrate, such as barrier layer deposition, wet processing of the substrate, such as seed layer deposition, electrochemical and/or electroless gap fill, and surface and/or bevel cleaning and drying, and any necessary post-deposition processing, such as anneal.
  • a dry substrate such as barrier layer deposition
  • wet processing of the substrate such as seed layer deposition, electrochemical and/or electroless gap fill, and surface and/or bevel cleaning and drying
  • any necessary post-deposition processing such as anneal.
  • substrate structure deposition include barrier layer deposition, electroless seed deposition, electroless seed repair, electroless seed and electroless interconnect fill (e.g. bulk fill), electroless seed and ECP interconnect fill, electroless capping deposition, and electroless high aspect ratio interconnect fill followed by ECP low aspect ratio interconnect fill.
  • FIG. 2 illustrates one example of a cluster tool 200 that may perform electroless deposition.
  • Cluster tool 200 includes a factory interface 230 that includes a plurality of substrate loading stations 234 configured to interface with and retain substrate containing cassettes (hereafter referred to as cassettes).
  • a factory interface robot 232 is positioned in the factory interface 230 and is configured to access and transfer a substrate 226 into and out of the cassettes positioned on the substrate loading stations 234.
  • the factory interface robot 232 also extends into a link tunnel 215 that connects the factory interface 230 to a wet processing platform 213.
  • factory interface robot 232 allows for access to substrate loading stations 234 to retrieve substrates therefrom, and to then deliver the substrate 226 to an in-station 972 (see Figure 2A for position of in- station 972) disposed on the wet processing platform 213 and typically located above or adjacent processing station 214 (In-station 972 is depicted in Figure 2A and 9A).
  • factory interface robot 232 may be used to transfer a substrate 226 into or out of processing stations 214 and 216 or processing stations 235 and 235a.
  • Processing stations 235 and 235a may include one or more stacked dry process chambers, such as anneal, barrier layer deposition, catalytic layer deposition, supercritical clean or dry etch chambers.
  • Mainframe robot 220 generally includes one or more blades 222 and 224 configured to support and transfer substrates.
  • mainframe robot 220 and the blades 222 and 224 are generally configured to independently extend, rotate, pivot, and vertically move so that the mainframe robot 220 may simultaneously insert and remove substrates to/from the plurality of processing stations 202, 204, 206, 208, 210, 212, 214 or 216 positioned on wet processing platform 213.
  • factory interface robot 232 also includes the ability to rotate, extend, pivot, and vertically move its substrate support blade, while also allowing for linear travel along the robot track 250b that extends from the factory interface 230 to the wet processing platform 213.
  • the processing stations 202, 204, 206, 208, 210, 212, 214, or 216 may be any of a number of processing chambers utilized in a substrate processing system. More particularly, the processing chambers on the integrated wet processing platform may be configured as ECP cells, rinsing chambers, IBC chambers, SRD chambers, substrate surface cleaning chambers (which collectively includes cleaning, rinsing, and etching chambers), electroless plating chambers (which includes pre- and post-clean chambers, activation chambers, deposition chambers, etc.), brush box chambers and vapor dryer chambers.
  • ECP cells ECP cells
  • rinsing chambers IBC chambers
  • SRD chambers substrate surface cleaning chambers
  • electroless plating chambers which includes pre- and post-clean chambers, activation chambers, deposition chambers, etc.
  • brush box chambers which includes pre- and post-clean chambers, activation chambers, deposition chambers, etc.
  • Each of the respective processing stations 202, 204, 206, 208, 210, 212, 214 and 216 and factory interface robot 232 and mainframe robot 220 are generally in communication with a system controller 211 , which may be a microprocessor-based control system configured to receive inputs from both a user and/or various sensors positioned on the cluster tool 200 and appropriately control the operation of cluster tool 200 in accordance with the inputs and/or a predetermined processing recipe. Additionally, the processing stations 202, 204, 206, 208, 210, 212, 214 and 216 are also in communication with a fluid delivery system (not shown) configured to supply the necessary processing fluids to the respective processing cell stations during processing, which is also generally under the control of system controller 211.
  • a fluid delivery system not shown
  • An exemplary processing fluid delivery system may be found in commonly assigned United States Patent Application Serial No. 10/438,624, entitled “Multi-Chemistry Electrochemical Processing System,” filed on May 14, 2003, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the present invention.
  • cluster tools In an effort to provide a cluster tool that can deposit a seed layer on substrate structures, fill high and low aspect ratio interconnect features with metal and/or selectively form a capping layer over interconnect features, various embodiments of cluster tools may be created. These embodiments are capable of performing one or more of the above processes with high throughput, low defects, minimal oxidation of copper interconnect features and superior adhesion between deposited layers.
  • a cluster tool 200 generally includes an electroless plating, electrochemical plating, substrate bevel clean, and spin-rinse drying type processing chambers.
  • it may include an ALD barrier processing chamber and/or catalytic layer deposition processing chamber located at processing station 235 prior to performing wet processing.
  • it may also include a plasma-enhanced dry etch chamber positioned at processing station 235a for removal of native oxide prior to barrier or catalytic layer deposition.
  • plating cluster tool 200 allows the sequential deposition of multiple films on a substrate within a single cluster tool, for example, an ALD or CVD barrier layer formed on substrate structures, such as tantalum nitride (TaN), an electroless copper seed layer formed on the substrate structures or a barrier layer, and lastly ECP copper fill of interconnect features on the substrate.
  • the catalytic layer is a Ruthenium-containing layer deposited without the use of carbon-containing precursors. Ruthenium-containing catalytic layers offer superior adhesion to subsequent metal layers over the prior art.
  • This configuration of the cluster tool 200 has advantages over conventional barrier layer, seed layer and gap fill deposition sequences that are performed in separate substrate processing systems, since it reduces the total substrate processing time and hardware costs are greatly reduced. Also, this configuration of plating cluster tool 200 deposits metal layers with improved electrical properties, better defect performance and greater adhesion than metal layers formed on a substrate via multiple substrate processing systems.
  • the sequential formation of a reducing and/or catalytic layer on the barrier layer in the same chamber greatly reduces exposure of the barrier layer to oxidation and moisture prior to seed layer deposition, thus improving adhesion of subsequent metal layers. Oxidation of the seed layer surface prior to gap fill deposition is controlled and minimized because gap fill is performed immediately after seed layer formation. Processing substrates in a single cluster tool results in fewer defects compared to processing substrates in multiple processing systems. Hence, this configuration provides better device performance, at a lower cost per substrate processed, and the process is less complicated than the prior art.
  • this configuration allows the sequential deposition of four layers on a substrate: a barrier layer and/or an electroless seed layer formed on substrate structures, followed by electroless fill of sub-micron high aspect ratio features on the substrate, such as high aspect ratio features 111 in Figure 1C, followed by ECP fill of low aspect ratio interconnect features on the substrate, such as low aspect ratio feature 112 in Figure 1C.
  • This configuration allows an ECP overfill process to fill low aspect ratio features on a substrate immediately after high aspect ratio features on the substrate are filled via an electroless process. The results of an ECP overfill process are illustrated in Figure 1 E.
  • substrate structure 110 is shown after the deposition of an electroless seed layer (not shown), an electroless copper film 115, and an ECP film 116.
  • Both high and low aspect ratio features (111 and 112, respectively) are filled with copper with minimal oxidation formed between electroless copper film 115 and the ECP film 116.
  • four deposition steps can be performed sequentially in the same cluster tool, thus reducing the number of processing platforms required, minimizing the amount and variation of oxidation that occurs between each deposition step, improving defect performance, improving adhesion of metal films to the barrier layer, and improving electrical properties of deposited metal layers.
  • FIG. 2 illustrates one embodiment of a cluster tool 200.
  • processing station 235 may be configured as an ALD or CVD chamber for the deposition of a barrier layer and/or catalytic layer prior to wet processing.
  • An exemplary ALD chamber is described in greater detail below in conjunction with Figures 14 and 14A.
  • processing station 235a may contain a plasma-enhanced dry etch chamber for removal of native oxide prior to barrier layer deposition.
  • An exemplary dry etch chamber is described in greater detail below in conjunction with Figure 19.
  • processing stations 214 and 216 may be configured as an interface between wet processing platform 213 and the generally dry processing stations positioned in factory interface 230 of the plating cluster tool 200.
  • substrates are introduced into wet processing platform 213 by being placed in a holding location, know as an in-station 972 (shown in Figure 9A) which holds substrates for future wet processing.
  • the in-station 972 is typically located above or below processing stations 214 and 216.
  • the processing stations 214 and 216 may include an SRD chamber that is adapted to perform the final wet processing steps on a substrate before the substrate leaves wet processing platform 213.
  • An exemplary SRD chamber is described in greater detail below in conjunction with Figure 4.
  • processing stations 202 and 204 are an electroless plating twin cell
  • processing stations 206 and 208 are standard IBC chambers
  • processing stations 210 and 212 are two ECP cells.
  • This configuration is also shown in Figure 2A.
  • An exemplary electroless plating twin cell, IBC chamber and ECP cell are described in greater detail below.
  • the pair of processing stations 202/204 and 206/208 may both be configured as electroless twin plating cells
  • the processing stations 210/212 may consist of two ECP cells
  • processing stations 214 and 216 may be configured as a single SRD and IBC chamber, respectively.
  • the electroless twin cell located at processing stations 202 and 204 is contained by a processing enclosure 302 (described below) and also may include an internal substrate transfer shuttle 605 (described below) for substrate transfers between the first and second processing stations inside each enclosure 302.
  • ECP cells located at processing stations 210 and 212 are typically not in a processing enclosure 302 and generally do not require an internal substrate transfer shuttle 605 between them. 3. Process Sequences
  • FIG. 10 An example of a typical substrate processing sequence for a hybrid electroless/electrochemical plating platform is detailed in the flow chart illustrated in Figure 10 and results in the deposition of an electroless seed layer and an ECP gap fill layer on a substrate.
  • the exemplary hybrid electroless/electrochemical plating platform is configured with processing stations 202 and 204 as an electroless plating twin cell, processing stations 206 and 208 as IBC chambers, processing stations 210 and 212 as ECP cells, and processing stations 214 and 216 as combination SRD chambers/in-stations (shown in Figures 2 and 2A).
  • processing station 235 is configured as an ALD/CVD pre- treatment chamber and processing station 235a is configured as a dry etch or supercritical clean chamber.
  • Step 1000 native oxide and other contaminants are removed from the substrate in a dry etch chamber or supercritical clean chamber positioned at processing station 235a and the substrate is then pre-treated with a barrier layer, a reducing layer, and/or a catalytic layer in a chamber positioned at processing station 235 prior to wet processing.
  • a barrier layer e.g. 1 F-1 K
  • a reducing layer e.g. 1 F-2 K
  • a catalytic layer in a chamber positioned at processing station 235 prior to wet processing e.g., a catalytic layer in a chamber positioned at processing station 235 prior to wet processing.
  • the processes for deposition of barrier, reducing and catalytic layers on substrates are described below in conjunction with Figures 1 F-1 K.
  • the dry etch chamber and process is described below in conjunction with Figure 19.
  • the supercritical clean chamber is described below in conjunction with Figure 20.
  • factory interface robot 232 also known as the "dry” robot, places a substrate at the in-station associated with processing stations 214 or 216.
  • mainframe robot 220 also known as the "wet” robot, transfers the substrate to processing station 202 in the electroless plating twin cell. All electroless deposition processes take place in an electroless processing station, such as processing stations 202 and 204, with the substrate being transferred between processing stations 202 and 204 via internal substrate transfer shuttle 605 as necessary.
  • the activation type processes may be performed in the first processing station of the twin plating cell, processing station 202, and the electroless plating step may be performed in the second processing station, processing station 204.
  • the reducing layer and catalytic layer formation steps may be performed in the first processing station, i.e. processing station 202, and the electroless plating step may be performed in the second processing station, i.e. processing station 204.
  • step 1002 includes transferring the substrate from one of the in-stations by mainframe robot 220 to either processing station 202 or 204. Further, if the substrate has been pre-treated with a catalytic layer in processing station 235 prior to wet processing, processing stations 202 and 204 may also act as two independent electroless plating cells.
  • mainframe robot 220 transfers the substrate to either of the ECP cells located at processing stations 210 or 212 so that an ECP gap fill process can be performed to fill the interconnect features such as parallel interconnects 101 , 102, and 103, illustrated in Figures 1A and 1 B.
  • step 1004 upon completion of ECP deposition, the substrate is transferred to IBC chamber positioned at station 206 or 208 for removal of the unwanted deposition on the substrate edge and bevel.
  • IBC chamber and process are described below in conjunction with Figure 3.
  • An exemplary IBC chamber and process are described more fully in commonly assigned United States Patent Application Serial No. 10/826,492, entitled “Integrated Bevel Clean Chamber,” filed on April 16, 2004, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the present invention.
  • step 1005 mainframe robot 220 transfers the substrate to SRD chamber positioned at processing stations 214 or 216 for final rinsing and drying.
  • SRD chamber and process are described below in conjunction with Figure 4.
  • step 1006 after the SRD process is complete, factory interface robot 232 removes the substrate from the SRD and the wet processing platform 213.
  • this embodiment of plating cluster tool 200 allows the sequential deposition of an electroless seed layer on a substrate followed by ECP fill of interconnect features on the substrate.
  • a barrier and or catalytic layer may be deposited on the substrate immediately prior to wet processing, improving adhesion of the subsequent metal layers. This configuration thus allows the amount and variation of oxidation of the seed layer prior to gap fill deposition to be minimized and also reduces the number of processing platforms required to complete three deposition steps on a substrate structure.
  • the cluster tool 200 may be configured to include two electroless twin plating cells instead of one electroless twin plating cell.
  • the cluster tool may contain, for example, two electroless twin plating cells located at processing stations 202/204 and 206/208, two ECP cells located at processing stations 210/212, a single IBC chamber at processing station 216 and a single SRD chamber at processing station 214. The same substrate processing sequence in Figure 10 is followed.
  • the hybrid electroless/electrochemical plating configuration may be used for electroless gap fill of high aspect ratio features and then ECP overfill of low aspect ratio features, as illustrated in Figure 1 E.
  • the substrate processing sequence is similar to the sequence shown in Figure 10, except step 1002.
  • step 1002 may also include an electroless gap fill of high aspect ratio features step on a substrate.
  • this configuration of the cluster tool 200 allows the sequential deposition of an ALD barrier layer, an electroless seed layer, and an ECP gap fill layer or the sequential deposition of an ALD barrier layer, an electroless seed layer and electroless gap fill of high aspect ratio features, followed by ECP gap fill of large, low aspect ratio features.
  • both the amount and variation of oxidation of the first copper layer prior to ECP gap fill are minimized and only a single processing platform is required to complete three or four deposition steps on a substrate structure. Adhesion of metal layers to the TaN barrier is also improved.
  • the electroless gap fill of high aspect ratio contacts to a source or drain connection point may include the selective deposition of cobalt- or nickel-based alloys.
  • a high aspect ratio contact is similar to aperture 122 and contact layer 123 in Figure 1 F, except that in this instance contact layer 123 consists of a doped-silicon source or drain connection.
  • contact layer 123 consists of a doped-silicon source or drain connection.
  • an initial thin layer of nickel or cobalt is deposited at the bottom of the source or drain contact to form a nickel or cobalt suicide covering contact layer 123.
  • the bulk layer 130 is deposited in the same process chamber immediately after the initial thin layer of cobalt or nickel is formed at the bottom of the high aspect ratio contact to minimize oxidation. Both of these deposition steps take place in step 1002 as shown in Figure 10.
  • the electroless gap fill of high aspect ratio features 1 11 shown in Figure 1C may be completed by a selective electroless deposition process.
  • the substrate processing sequence is similar to the sequence shown in Figure 10, except that step 1002 consists of a bottom-up electroless fill process rather than a conformal fill process.
  • a description of an exemplary bottom-up deposition process that may be used in embodiments of the invention may be found in commonly assigned United States Application Serial No. 60/663,493 [9916L] entitled "Deposition Processes Within a High Aspect Ratio Contact,” filed on March 18, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the present invention.
  • Embodiments of the invention include the incorporation of multiple substrate processing chambers onto a single cluster tool, including ECP, IBC, SRD, electroless, plasma-enhanced dry etch, and ALD or CVD chambers. Examples of these chambers and the processes performed on substrates therein are provided below.
  • process step 1003 is performed in ECP cells that are used to fill interconnect structures on substrates with a conductive material, such as copper.
  • ECP plating processes are generally two stage processes. A seed layer is first formed over the surface features of the substrate via PVD, CVD, or ALD processes. Then the surface features of the substrate are exposed to an electrolyte solution while an electrical bias is applied between the seed layer and a copper anode positioned within the electrolyte solution.
  • the electrolyte solution contains ions to be plated onto the surface of the substrate and the application of a cathodic type electrical bias causes these ions in the electrolyte solution to be plated onto the seed layer.
  • Conventional electrochemical plating cells generally utilize an overflow weir-type plater containing a plating solution, generally termed a catholyte solution.
  • the substrate is positioned facedown in the catholyte solution during plating and an electrical plating bias is applied between the substrate and an anode positioned in a lower portion of the plating cell.
  • This bias causes metal ions in the catholyte to go through a reduction that causes the ions to be plated on the substrate.
  • Transferring substrates to and from such a facedown plating cell configuration generally requires a robot, such as mainframe robot 220, that is capable of rotating substrates from faceup to face down and vice versa.
  • FIG. 2B illustrates a sectional view of an exemplary plating cell, hereinafter referred to as plating cell 200B.
  • the plating cell 200B generally includes a plating head assembly 210B, a frame member 203B, an outer basin 201 B and an inner basin 202B positioned within outer basin 201 B.
  • the plating head assembly 210B includes a rotatable contact ring 211 B for supporting and rotating a substrate during immersion into the catholyte solution and during plating.
  • the rotatable contact ring 211 B may be adapted to make electrical contact around the periphery of the substrate so that the necessary electrical plating bias may be applied to the substrate.
  • the frame member 203B of plating cell 200B supports an annular base member 204B on an upper portion thereof.
  • Base member 204B includes a disk-shaped anode 205B.
  • Inner basin 202B is generally configured to contain a catholyte solution that is used to plate a metal, e.g., copper, onto a substrate during an electrochemical plating process.
  • the plating solution is generally continuously supplied to inner basin 202B, and therefore, the plating solution continually overflows the uppermost point 206B, generally termed a "weir", of inner basin 202B and is collected by outer basin 201 B and drained therefrom for chemical management and re-circulation.
  • Plating cell 200B may be positioned at a tilt angle, i.e., the frame member 203B of plating cell 200B may be elevated on one side such that the components of plating cell 200B are tilted between about 3° and about 30°. Since frame member 203B is elevated on one side, the upper surface of base member 204B is generally tilted from the horizontal at an angle that corresponds to the tilt angle of frame member 203B relative to a horizontal position.
  • a substrate may be transferred into a plating cell, such as plating cell 200B for example, and positioned face-down on rotatable contact ring 211 B.
  • Plating head assembly 210B moves downward until the substrate is immersed in the catholyte solution filling inner basin 202B, typically while being rotated by the rotatable contact ring 211 B between about 5 rpm and about 60 rpm.
  • the catholyte solution may have between about 5 g/l and 50 g/l of sulfuric acid, a copper concentration between about 25 g/l and 70 g/l, and a chlorine concentration between about 30 ppm and about 60 ppm.
  • the catholyte solution may also include additional additives, such as levelers, suppressors, or accelerators.
  • a plating bias typically between about 1 VDC and about 10 VDC, is applied to the substrate.
  • the substrate may be rotated between about 10 rpm and about 100 rpm during the plating process step by rotatable contact ring 211 B.
  • Plating takes place for between about 30 sec and about 5 minutes, depending on the thickness of plated film desired.
  • the plating bias is then removed and the substrate is positioned above the catholyte solution and uppermost point 206B of inner basin 202B for removal from plating cell 200B.
  • the substrate Prior to removal from plating cell 200B, the substrate may be rotated between about 100 and 1000 rpm for between about 1 second and about 10 seconds in order to remove excess catholyte solution from the substrate.
  • An exemplary ECP cell and plating process is further described in commonly assigned United States Patent Application Serial No. 10/627,336 entitled “Electrochemical Processing Cell,” filed on July 24, 2003, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the present invention.
  • IBC chambers are used for removing deposition at the edge, or bevel, of a substrate and unwanted contamination from the backside of a substrate without damaging structures formed on one or more surfaces of the substrate.
  • This process is generally performed on a substrate after a conductive material has been deposited on the substrate, such as ECP processes or electroless deposition processes.
  • IBC chambers typically include a container, a rotatable substrate support disposed in the container and capable of rotating a substrate at a relatively high rotational velocity, i.e., 500 rpm or higher, and a fluid delivery assembly configured to precisely deliver a liquid etchant to a peripheral portion of the substrate and to deliver a rinsing agent, such as de- ionized (Dl) water, to the entire substrate.
  • a rinsing agent such as de- ionized (Dl) water
  • the cleaning chamber may also be used to clean excess material from the bevel portion of the substrates, i.e., the portion of the conductive layer deposited near the perimeter on the production surface, or topside, and partially onto the backside of the substrate. This process is often termed bevel clean or edge bead removal in the semiconductor art.
  • the IBC chamber may be used as a combination IBC/SRD chamber, wherein the final rinse and dry function of an SRD chamber, described below and in conjunction with Figure 4, is incorporated into an exemplary IBC chamber 300 described below in conjunction with Figure 3. No additional features are required to perform the final rinse and dry function of an SRD chamber in the exemplary IBC chamber 300 as described below.
  • FIG. 3 illustrates an isometric view of an exemplary IBC chamber 300.
  • the upper components of the exemplary IBC chamber 300 generally include a chamber bowl or chamber having a drain basin 309 in communication with the lower portion of wall 301.
  • the chamber bowl is generally manufactured from a plastic material, a nylon-type material, or metal material coated with a non-metal. The material is generally selected to be non-reactive with the etchant solutions that are used to remove a desired material from the substrate surface.
  • Drain basin 309 is generally configured to receive a processing fluid thereon, and channel the processing fluid to a fluid drain (not shown).
  • a central portion of drain basin 309 includes a substrate chuck 303, which is configured to rotate substrates being processed in the chamber and/or actuate them vertically.
  • Drain basin 309 also includes a plurality of substrate centering pins 304 extending upward therefrom.
  • Substrate centering pins 304 are generally positioned radially around the perimeter of drain basin 309 in an equal spacing arrangement, for example and are designed to precisely locate the substrate in the chamber for optimum cleaning of the bevel.
  • Exemplary IBC chamber 300 further includes at least one rinsing solution dispensing arm 305, along with at least one etching solution dispensing arm 306.
  • both rinsing solution dispensing arm 305 and etching solution dispensing arm 306 are pivotally mounted to a perimeter portion of exemplary IBC chamber 300, and include a longitudinally extending arm having at least one fluid dispensing nozzle positioned on a distal terminating in thereof.
  • the nozzles are positioned to dispense the respective processing fluids onto a first or upper side of a substrate positioned on the substrate chuck 303.
  • the operation of rinsing solution dispensing arm 305 and etching solution dispensing arm 306 is generally controlled by a system controller, which is configured to precisely position (via pivotal actuation and/or vertical actuation of the respective arms) the distal end of the respective arms over a specified radial position of a substrate being processed, which allows for fluid dispensed from the nozzles positioned at the respective ends of arms 306 and 306 to be dispensed onto precise radial locations of a substrate being processed in exemplary IBC chamber 300.
  • the fluids dispensed on the substrate may be a rinsing solution, e.g., Dl water, or acid solution, e.g., an H 2 SO 4 - containing solution.
  • rinsing solution dispensing arm 305 and etching solution dispensing arm 306 may include a mechanism configured to prevent fluid leakage from the nozzles when the nozzles are not activated.
  • the nozzles may include a vacuum port or suck back valve (not shown) that is configured to receive unwanted fluid drips during off times.
  • nozzles may include a gas aperture that is configured to blow unwanted droplets of fluid away from the substrate surface.
  • a substrate is positioned face-up in an IBC chamber, such as IBC 300.
  • the process of positioning a substrate in IBC 300 generally includes insertion,- centering, and chucking.
  • the insertion process is conducted by a substrate transfer robot, such as mainframe robot 220. Centering is performed by substrate centering pins 304.
  • a vacuum chuck then holds substrate in place throughout processing.
  • the pre-rinse process includes rotating the substrate between about 150 rpm and about 250 rpm between about 8 seconds and 20 seconds while Dl water is dispensed onto the topside of the substrate via rinsing solution dispensing arm 305 at a flow rate of between about 1 l/min and 2 l/min.
  • the substrate is then rotated between about 2000 rpm and about 3500 rpm for about 5 seconds to remove residual DI water.
  • An etchant solution is then applied to the bevel of the substrate via etching solution dispensing arm 306 for between about 10 seconds and about 25 seconds at a flow rate of between about 20 cc/min and about 40 cc/min.
  • the flow of etchant solution may be through a relatively fine nozzle having an aperture with an inner diameter between about 0.25 and 0.5 inches. The nozzle is positioned between about 1mm and 3 mm from the substrate surface for precise dispensing of etchant solution onto the substrate bevel.
  • a typical etchant solution consists of between about 15 and 25 parts H 2 SO 4 , between about 350 and 450 parts H 2 O 2 and about 1400 parts H 2 O.
  • rinsing solution is dispensed onto the topside of the substrate at a flow rate of between about 1 l/min and about 2.5 l/min for between about 3 seconds and about 10 seconds while the substrate is rotated between about 100 rpm and 300 rpm.
  • all liquid dispense is terminated and the substrate is rotated between about 400 rpm and about 4000 rpm to partially or completely dry the substrate.
  • the IBC chamber described above in conjunction with Figure 3 may also perform other wet processes on substrates, such as an SC-1 clean for removing organic contaminants, an HF-based native oxide clean, or an acid strip process, all of which are described below in conjunction with Figures 21 A-F.
  • SRD chambers are used for the final rinse and spin dry of substrates after wet processing.
  • SRD chambers generally operate to receive a substrate therein, rinse the substrate with a rinsing fluid, and dry the substrate via spinning the substrate to centrifugally urge fluid off of the substrate surface, while optionally dispensing a drying gas into the cell containing the substrate to further facilitate the drying process. This process is typically performed after completing all wet processing steps on a substrate and immediately prior to transferring the substrate from a wet processing region of a cluster tool.
  • FIG. 4 illustrates a partial perspective and sectional view of SRD 400, an exemplary substrate spin rinse dry chamber 400.
  • SRD 400 includes a fluid bowl
  • SRD 400 further includes a rotatable hub 402 centrally positioned in the fluid bowl 401.
  • Rotatable hub 402 includes a generally planar upper surface that has a plurality of backside fluid dispensing nozzles 408 formed thereon and at least one gas dispensing nozzle 410 formed thereon.
  • a plurality of upstanding substrate support fingers 403 are positioned radially around the perimeter of rotatable hub
  • Fingers 403 are configured to rotatably support a substrate 404 at the bevel edge thereof for processing in SRD 400.
  • a fluid dispensing arm 450 may be pivotally mounted to the side wall such that a distal end of the arm having a fluid dispensing nozzle positioned thereon may be pivoted to a position over a substrate being processed in the chamber.
  • the fluid dispensing arm 450 is configured to pivot outward over the substrate surface and dispense a processing fluid, typically Dl water, onto the substrate surface proximate the center of the substrate.
  • a processing fluid typically Dl water
  • a substrate is positioned face-up in an SRD chamber, such as SRD 400, on support fingers 403.
  • rotatable hub 402 spins the substrate between about 900 rpm and 1700 rpm for between about 2 seconds and about 6 seconds while between about 600 ml and about 1500 ml is dispensed onto the topside and the backside of the substrate via fluid dispensing arm 550 and backside fluid dispensing nozzles 408.
  • rotatable hub 402 rotates the substrate between about 40 rpm and 90 rpm for between about 10 seconds and about 20 seconds while between about 200 ml and 500 ml of a cleaning solution, such as ElectraCIeanTM solution, is applied to the substrate backside and between about 1000 ml and about 1500 ml of rinsing solution is dispensed onto the topside of the substrate.
  • a cleaning solution such as ElectraCIeanTM solution
  • the post rinse step between about 1000 ml and 1500 ml of rinsing solution is dispensed on the substrate topside and between about 600 ml and about 1000 ml of rinsing solution is dispensed on the substrate backside while the substrate is rotated at between about 40 rpm and about 90 rpm for about 10 seconds to 16 seconds.
  • the dry step all liquid flow is terminated and the substrate is rotated at between 2000 rpm and about 3000 rpm for between about 10 seconds and about 20 seconds.
  • between about 2 cfm and about 4 cfm of a dry purge gas may be introduced into the chamber during this step for about 4 seconds to enhance the substrate drying process.
  • embodiments of the cluster tool include at least one electroless plating cell.
  • a pair of electroless plating cells are grouped together to advantageously perform an electroless deposition process on a substrate.
  • the pair of electroless plating cells, or electroless plating twin cell comprise two substrate processing cells positioned on the wet processing platform 213 (see Figure 2) inside one of the processing enclosures 302. Processing enclosure 302 is described more fully below in conjunction with Figure 6.
  • Each pair of cells may include electroless plating or plating support cells, e.g., electroless plating cells, electroless activation cells, and/or substrate rinse or clean cells.
  • each processing enclosure 302 there may be two independent electroless plating cells in which the necessary pre-deposition, deposition, and post-deposition processes are all carried out on a substrate in each cell. In this configuration, substrates are transferred into, processed, and transferred out of each processing cell independently.
  • the two cells inside a processing enclosure 302 may comprise a sequential electroless twin cell, wherein one cell is an activation cell, the other is an electroless deposition cell, and the substrate is transferred from the activation cell to the deposition cell via a robot internal to processing enclosure 302.
  • the entire series of processes required to perform electroless deposition on a substrate i.e., activation, pre-cleaning, electroless deposition, and post cleaning, is carried out inside a single processing enclosure 302, but the individual processes are divided between the two processing cells that comprise the twin electroless plating cell.
  • FIG. 6 is a perspective view of an exemplary electroless twin cell with the substrate processing hardware of the electroless plating cells omitted for clarity.
  • processing stations 210 and 212 (as defined in Figure 2) are shown in an processing enclosure 302, however other processing chamber station pairs on wet processing platform 213 may be also operate as electroless twin cells, depending on the embodiment of the invention.
  • An processing enclosure 302 defines a controlled processing environment around the pair of processing stations 210 and 212.
  • the processing enclosure 302 may include a central interior wall 608 that generally bisects the processing volume into two equally sized processing volumes, processing volume 612 and processing volume 613.
  • the central interior wall 608 is optional, when it is implemented, the central interior wall 608 generally creates a processing volume 612 above processing station 210 and a processing volume 613 above processing station 212.
  • the processing volumes 612 and 613 are substantially isolated from each other by the central interior wall 608, however, a lower portion of the central interior wall 608 includes a slot 610 formed therein.
  • the slot 610 is sized to accommodate an internal substrate transfer shuttle 605 that is positioned between processing stations 210 and 212.
  • the internal substrate transfer shuttle 605 is generally configured to transfer substrates between the respective processing stations (210 ⁇ 212) without requiring the use of the mainframe robot 220.
  • Internal substrate transfer shuttle 605 may be a vacuum chuck-type substrate support member that is configured to pivot about a point such that a distal substrate supporting end of internal substrate transfer shuttle 605 moves in the direction of arrow 603 (shown in Figure 2) to transfer substrates between the respective processing stations 210 and 212.
  • the processing volumes 612 and 613 also include a valved port 604 that is configured to allow a robot, such as mainframe robot 220 to access the respective processing volumes 612 or 613 to insert and remove substrates therefrom.
  • Each processing enclosure 302 also includes an environmental control assembly 615 (shown in Figure 6 removed from contact with the processing enclosure 302 for clarity) positioned on an upper portion of the processing volumes 612 and 613.
  • the environmental control assembly 615 includes a processing gas source configured to provide a processing gas to the processing volumes 612 and 613.
  • the processing gas source is generally configured to provide a controlled volume of an inert gas, such as nitrogen, helium, hydrogen, argon, and/or mixtures of these or other gases commonly used in semiconductor processing, to the processing volumes 612 and 613.
  • an inert gas such as nitrogen, helium, hydrogen, argon, and/or mixtures of these or other gases commonly used in semiconductor processing
  • the environmental control assembly 615 further includes a particle filtration system, such as a HEPA-type filtration system.
  • the particle filtration system is used to remove particulate contaminants from the process gas entering the processing volumes 612 and 613.
  • the particle filtration system is also used to generate a generally linear and equal flow of the processing gas toward processing stations below.
  • the environmental control assembly 615 may further include devices configured to control humidity, temperature, pressure, etc. in the respective processing volumes 612 and 613.
  • the system controller 211 may be used to regulate the operation of the environmental control assembly and exhaust port 614, along with other components of the cluster tool 200 (shown in Figure 2), to control the oxygen content within the processing volumes 612 and 613 in accordance with either a processing recipe or inputs received from sensors or detectors (not shown) positioned in the processing volumes 612 and 613.
  • Each processing station (processing stations 210 and 212 in Figure 2) inside a processing enclosure 302 also includes at least one exhaust port 614, which is positioned to facilitate uniform flow of the processing gas from the gas supply in environmental control assembly 615 toward the processing stations 210 and 212 respectively.
  • multiple radially positioned ports may be instead be positioned around the processing stations 210 and 212.
  • the combination of the environmental control assembly 615, the exhaust port 614, and the system controller 211 also allows cluster tool 200 to control the oxygen content of the processing volumes 612 and 613 during specific processing steps, wherein one processing step may require a first oxygen content for optimal results and a second processing step may require a second oxygen content for optimal results, where the first and second oxygen contents are different from each other.
  • system controller 211 may be configured to control other environmental parameters of the processing enclosure, such as temperature, humidity, pressure, etc. as desired for a particular processing sequence.
  • processing enclosure 302 provides an environmentally controlled enclosure for each electroless deposition cell therein.
  • a selective electroless deposition process sequence e.g., the capping layer process or bottom-up contact fill, generally includes preparatory cleaning, electroless deposition, post-deposition clean, and optionally cleaning the bevel edge of the substrate.
  • the selective deposition process may include activation and post-activation clean steps.
  • the selective electroless deposition process may be performed in exemplary electroless twin cells located at processing stations 210 and 212 as described above.
  • vapor drying of the substrate may also be performed as part of the process sequence before or between preparatory cleaning steps, immediately prior to the electroless deposition step, or subsequent to substrate bevel clean. An exemplary vapor dryer method and apparatus is described below.
  • a selective electroless deposition process sequence 500 for forming a capping layer on a copper-filled interconnect is illustrated in Figure 5A and described below.
  • Step 501 Preparatory Cleaning: When selectively depositing a layer on interconnect features, preparatory cleaning is necessary to ensure that no metallic residues are present on exposed dielectric surfaces of the substrate structure prior to electroless deposition. As illustrated in Figures 1A and 1 B, if metallic residues are not removed, electroless deposition of the capping material may occur on these metallic residues and possibly cause an electrical short between the devices formed on or above the substrate structure 100. Preparatory cleaning also removes surface oxides and residues from previous process steps from the metallic surfaces of the substrate structure that may inhibit the electroless deposition process.
  • Preparatory cleaning steps may include application of a dielectric clean solution to the substrate structure, brush cleaning of the substrate surface either in situ or in an external brush box chamber, application of megasonic or ultrasonic energy to the substrate structure, and application of a metal cleaning solution to the substrate structure. Rinsing and vapor drying may generally be performed after any of these steps.
  • a substrate is transferred into an electroless deposition chamber or activation chamber — as described below in conjunction with Figures 7 and 8 — and a dielectric clean solution is applied to the surface of the substrate at approximately 20 °C and subsequently rinsed off with a rinsing solution.
  • the dielectric clean solution may include one or more acids, such as citric acid, HF, and/or HCI, as well as corrosion inhibitors to prevent corrosion of exposed conductive surfaces on the substrate.
  • a preferred aqueous pre-clean solution may contain citric acid with a pH value from about 1.7 to about 3.0. More heavily oxidized surfaces generally require longer cleaning times and/or a lower pH value pre-clean solution.
  • the rinsing solution is typically Dl water.
  • the substrate is rotated in the chamber via a rotatable substrate support between about 50 and 200 rpm during the application of the dielectric clean solution and rinsing solution and is then rotated between about 500 and 2000 rpm to substantially remove the rinsing solution.
  • the dielectric clean solution and the rinsing solution are applied using one or more fluid dispensing arms, described below in conjunction with Figure 8. Specific cleaning solution application times and concentrations vary depending on the material make-up of substrate structure 100 and parallel interconnects 101 , 102, and 103 (see Figure 1A). Generally, a thickness of less than about 50 A from the parallel interconnects 101 , 102 and 103 and the substrate structure 100 is etched by the dielectric clean solution.
  • Step 502 Activation:
  • the electroless deposition process generally involves the application of an activation solution to the surface of the substrate structure, which deposits an activation metal seed layer on all the exposed metal portions of a substrate structure, for example the top surfaces of parallel interconnects 101 , 102, and 103 in Figure 1A.
  • Any oxidation of the exposed metal portions of a substrate structure after the above cleaning processes may be detrimental to proper deposition of the activation seed layer. Therefore, a short waiting time, i.e., less than about 15 seconds, is desired between the preparatory cleaning of the substrate and the application of the activation seed layer and these two process steps are preferably performed sequentially in the same chamber.
  • an electroless plating twin cell allows preparatory cleaning and activation steps to be performed on a substrate in different processing stations with very little oxidation.
  • the waiting time between processes in processing stations 210 and 212 is short; only a few seconds are required for the substrate to be transferred between the processing stations 210 and 212.
  • the low oxygen environment inside processing enclosure 302 further minimizes unwanted oxidation of the substrate structure after preparatory cleaning in processing station 210 and prior to activation seed layer deposition in processing stations 212. It is important to note that when there are no chemical incompatibilities between the chemistries used in the various steps of the electroless plating process, i.e., the dielectric clean, metal clean, activation, post-activation clean, deposition, and post-deposition clean, then the entire electroless plating process may be carried out in the same processing station. Hence, processing stations 210 and 212 can instead be used as two independent electroless processing stations and no substrate transfer between the two is required.
  • the substrate has just been transferred into an electroless deposition chamber as described above.
  • the substrate is rotated between about 50 and 200 rpm and an activation solution is applied to the surface at approximately 20 0 C via one or more fluid dispensing arms, described below in conjunction with Figure 8.
  • the application time necessary to form a suitable activation metal seed layer varies depending on activation solution concentration and composition, but is generally between about 30 seconds and 1 minute.
  • a description of chemistries and methods for performing an activation process may be found in previously referenced U.S. Patent Application Serial Number 10/970,839, entitled, "Electroless Cobalt Alloy Deposition Process" and in U.S. Patent Application Serial Number 10/967,644, entitled, "Selective Self-Initiating Electroless Capping of Copper With Cobalt-Containing Alloys.”
  • Post-activation clean may be performed by applying a post-activation clean solution to the substrate structure as well as optionally brushing the substrate structure and/or applying ultrasonic or megasonic energy to the substrate structure.
  • Post activation solutions typically include one or more acids, requiring this step to be performed in a processing station 210 or 212 that only uses other compatible chemistries.
  • the entire electroless deposition process may be performed on a substrate in either processing station 210 or 212 if there are no chemical compatibility issues between any of the processing solutions.
  • the substrate is typically rotated via a rotatable substrate support between about 50 and 200 rpm while a post-activation clean solution is applied to the substrate surface and subsequently rinsed off using one or more fluid application arms.
  • Application time of the post-activation clean solution varies depending on the concentrations and composition of the activation solution and post-activation clean solution, but is typically about 30 seconds to 2 minutes in length.
  • Substrate brush cleaning and/or ultrasonic or megasonic cleaning may take place in a dedicated cleaning chamber, such as a brush box chamber, described below in conjunction with Figure 8A.
  • Step 504 Electroless Deposition: A conductive layer may be deposited by application of an electroless deposition solution to the substrate structure. When an activation step is used, the deposition takes place on the activation metal seed layer. This step may be conducted in one or both of the processing stations 210 or 212 located in processing enclosure 302.
  • Metals that may be deposited include copper, cobalt and nickel, among others. Since the electroless deposition process is highly temperature dependent, temperature control of the substrate and deposition solution is critical to the process and methods and apparatus for temperature control in an exemplary electroless deposition processing station are detailed below in conjunction with Figures 7 and 8. A more detailed description of chemistries, processes, and methods for depositing an activation metal seed layer, completing a post-activation clean step, and depositing an electroless layer may be found in previously referenced U.S. Patent Application Serial Number 10/970,839, entitled, “Electroless Cobalt Alloy Deposition Process" and in U.S. Patent Application Serial Number 10/967,644, entitled, “Selective Self-Initiating Electroless Capping of Copper With Cobalt-Containing Alloys,” filed October 21 , 2004.
  • Step 505 Post-Deposition Clean: As stated above, it is critical to remove conductive material that has accumulated on dielectric surfaces of a substrate structure during the electroless deposition process when forming a capping layer.
  • a post-deposition clean process may be performed by applying a post-deposition clean solution to the substrate structure subsequent to electroless deposition.
  • the post-deposition clean solution may be applied to the surface of the substrate via one or more fluid delivery arms for 1 to 60 seconds while the substrate is rotated between about 50 and 500 rpm.
  • Post-deposition clean solutions may be slightly acidic clean solutions, such as ElectraCleanTM solution, available from Applied Materials Inc. of Santa Clara, California or a CX-100 solution available from Wako Chemicals USA, Inc. of Richmond, Virginia.
  • the post-deposition clean solution may be slightly basic,/.e., with a pH value between about 7.5 and 9.5.
  • scrubbing the surface of the substrate with a brush-like material and/or applying sonic energy to the substrate structure may also be part of the post- deposition clean process. Both substrate scrubbing and sonic cleaning may be performed in-situ but are typically performed in a dedicated post-deposition clean chamber, such as a brush box.
  • the substrate is rotated between about 50 and 200 rpm in the deposition chamber via a rotatable substrate support and an electroless deposition solution is applied to the surface via one or more fluid dispensing arms for about 1 to 60 seconds.
  • the substrate is then rinsed in-situ, i.e., rotated between about 50 and 200 rpm while rinse solution is applied to the substrate surface.
  • the substrate is then spun dry, i.e., rotated between about 500 and about 2000 rpm for between about 5 seconds and 60 seconds.
  • the substrate is then removed from the electroless deposition chamber and transferred to a brush box chamber integrated on the wet processing platform of the invention and external to the electroless plating twin cell.
  • the surface of the substrate is brush cleaned using roller-type brushing devices.
  • An exemplary brush box chamber is described below in conjunction with Figure 8A.
  • a detailed description of solutions that may be used for this process as well as an exemplary apparatus and method for in situ brush cleaning of substrates and suitable metal cleaning solutions may be found in previously referenced United States Patent Application Serial No. 11/004,014, entitled "Method And Apparatus For Electroless Capping With Vapor Drying.”
  • Step 506 Bevel Clean: The portion of the conductive layer deposited near the perimeter on the topside, on the substrate bevel, and partially onto the backside of the substrate may be removed by means of an IBC chamber, described above in conjunction with Figure 3, or in-situ. Unwanted process residues and deposition may also be removed from the backside of the substrate during this step.
  • An exemplary method and apparatus for performing a bevel clean process in an IBC chamber is described above in conjunction with Figure 3.
  • process sequence 520 in Figure 5C illustrates a selective electroless deposition process sequence for bottom-up contact fill with nickel or cobalt-tungsten alloy, such as for high aspect ratio features 111 shown in Figure 1 C.
  • the process steps are illustrated in Figure 5C and described below.
  • Step 521 Pre-treatment: Removal of native oxides on contact surfaces is necessary prior to electroless deposition for acceptable contact resistance for high aspect ratio features. Aspects of the invention may use a plasma-enhanced dry etch chamber, described below in conjunction with Figure 19, or a super-critical clean chamber, described below in conjunction with Figure 20, positioned in processing station 235 or 235a for native oxide removal.
  • a plasma pre- treatment process such as a plasma-soak process, may be conducted in a process chamber capable of plasma vapor deposition, wherein the contact surface is exposed to a reducing plasma or reducing vapor in an ALD or CVD chamber positioned in processing station 235 or 235a.
  • An exemplary plasma pre-treatment process and an exemplary vapor pre-treatment process that may be used in embodiments of the invention are described below in conjunction with Figures 14 and 14A, and in previously referenced United States Patent Application Serial No. 60/663,493 [9916L].
  • the substrate surface is exposed to a wet clean process to remove native oxides formed thereon.
  • the wet clean process may be an in situ process performed in the same processing cell as a subsequent electroless deposition process.
  • Step 522 Ruthenium-Containing Layer Formation: A ruthenium-containing layer, preferably ruthenium oxide, is selectively deposited on the contact surface by exposing the substrate to a ruthenium tetroxide vapor.
  • the ruthenium-containing layer may be deposited on the substrate by use of a vapor deposition process, such as an in situ generated process, or in a liquid deposition process, such as an aqueous solution or suspension.
  • the former method may be performed in an ALD or CVD deposition chamber positioned at processing station 235 or 235a, preferably in the same chamber wherein step 521 is performed on the substrate.
  • the latter method i.e., the liquid deposition process, may be performed in the same processing cell as the aqueous cleaning method described in step 521.
  • Ruthenium tetroxide is a strong oxidant and therefore readily reacts with any exposed metal oxide layers (e.g., tungsten oxide and other contact layer materials) to form a consistent and catalytic active layer of ruthenium oxide selectively on the bottom of the contact. Formation of a ruthenium-containing layer on a substrate is described in greater detail below in conjunction with Figure 14A and in previously referenced United States Patent Application Serial No. 60/663,493 [9916L].
  • Step 523 Ruthenium-Containing Layer Reduction:
  • the ruthenium- containing layer preferably ruthenium oxide
  • the ruthenium oxide layer may be exposed to a reducing plasma, such as a hydrogen-containing plasma, to form metallic ruthenium layer from the ruthenium-containing layer on the bottom surface of the contact.
  • This process may be performed in an ALD or CVD deposition chamber positioned at processing station 235 or 235a, preferably in the same chamber wherein step 522 is performed on the substrate.
  • the ruthenium oxide layer may be exposed to a vapor deposition process to remove oxygen and form a ruthenium metal layer on the bottom surface of the contact, preferably in the same vapor deposition chamber that performed step 522 on the substrate.
  • a plasma pre-treatment process and an exemplary vapor pre-treatment process that may be used in embodiments of the invention are described below in conjunction with Figure 14 and in previously referenced United States Patent Application Serial No. 60/663,493 [9916L].
  • Step 524 Electroless Deposition: This step is similar to electroless deposition step 504 described above, except that nickel or cobalt-tungsten alloys are the preferred materials for bottom-up fill of high aspect ratio contacts. A more detailed description of chemistries, processes, and methods for depositing a bottom-up contact fill may be found in previously referenced U.S. Patent Application Serial Number 60/663,493 [9916L].
  • Step 525 Post Deposition Clean
  • This step is similar to step 505, described above.
  • a post deposition clean may be performed on the substrate in which electroless cobalt and nickel overgrowth is removed via an optimized brush box process.
  • This final clean step eliminates the need for an additional CMP process to be performed on the substrate when electroless cobalt and/or nickel deposition is used for contact fill. //) Non-Selective Electroless Plating Process
  • the non-selective electroless deposition of a metal layer on a substrate generally includes the formation of a catalytic layer on a substrate and electroless deposition of the metal layer onto the catalytic layer.
  • a non-selective electroless deposition process sequence 510 is illustrated in Figure 5B and described below. •
  • a reducing layer may be formed on the substrate prior to non-selective electroless deposition.
  • the reducing layer is formed on a substrate by modifying the surface of the substrate by a plasma deposition process. Reducing layer formation may take place in an ALD or CVD chamber prior to wet processing of the substrate.
  • One method and apparatus that may be used to form a reducing layer is described below in conjunction with Figures 1 F-1 K and 14 and in the commonly assigned U.S. Patent Application Serial Number 60/648,004 [9906L], entitled "Deposition of an Intermediate Catalytic Layer on a Barrier Layer for Copper Metallization," by Timothy W. Weidman, filed January 27, 2005, which is incorporated by reference herein in its entirety to the extent not inconsistent with the claimed aspects and description herein.
  • the catalytic layer may be formed by different methods.
  • the catalytic layer is formed from the reducing layer of step 511 either in an ALD or CVD chamber.
  • An exemplary plasma-enhanced ALD chamber and process is described below in conjunction with Figures 1 H and 14.
  • the catalytic layer is formed from the reducing layer of step 511 by a liquid deposition process.
  • the catalytic layer may be formed on the substrate in an electroless plating cell, for example one processing station of an electroless plating twin cell, such as processing station 210.
  • the catalytic layer may be formed directly on a substrate via a liquid deposition process in a processing station of an electroless plating twin cell, for example processing station 210.
  • the metal ion source for this process may be nickel, cobalt, palladium, ruthenium, rhenium and/or copper.
  • the metal source used for this process may be a sulfate, chloride, or nitrate.
  • the electroless deposition may then be completed in the second processing station of the twin cell, for example processing station 212.
  • a ruthenium-containing catalytic layer may be formed directly onto the substrate without a reducing layer being present.
  • Step 513 Electroless Deposition: This step is similar to electroless deposition step 504 described above.
  • a conductive layer may be deposited by application of an electroless deposition solution to the substrate structure. The deposition takes place on the catalytic seed layer.
  • This step may be conducted in one or both of the exemplary processing stations 210 or 212 located in processing enclosure 302.
  • a description of chemistries, processes, and methods for depositing an electroless layer may be found in previously referenced U.S. Patent Application Serial Number 10/970,839, entitled, "Electroless Cobalt Alloy Deposition Process” and in U.S. Patent Application Serial Number 10/967,644, entitled, “Selective Self-Initiating Electroless Capping of Copper With Cobalt-Containing Alloys.”
  • Step 506 Bevel Clean: This is identical to step 506 described above and shown in Figure 5A.
  • vapor drying of the substrate may also be performed as part of the process sequence after the substrate bevel clean step.
  • a suitable vapor-drying process and apparatus are described below in conjunction With Figure 9.
  • FIG. 7 is a perspective view of an exemplary electroless plating twin cell with processing enclosure 302 removed for clarity.
  • the deposition station 700 may be used to perform a dielectric clean process, a metal clean process, an electroless activation process, a catalytic layer deposition process, an electroless plating process, a post clean process, a post-deposition bevel clean process and/or other processing steps that may be used in an electroless process.
  • the deposition station 700 generally represents an embodiment of the processing cells illustrated in Figures 2 and 6.
  • Electroless processing stations 702 and 704 correspond to electroless processing stations 210 and 212, respectively.
  • the processing stations 702 and 704 illustrated in deposition station 700 may be an electroless activation station and an electroless deposition station, respectively.
  • each processing station 702 and 704 may each be configured to perform all steps of the electroless deposition process.
  • Internal substrate transfer shuttle 605 is positioned between processing stations 702 and 704 and is configured to transfer substrates between the respective processing stations 702 and 704.
  • Each of processing stations 702 and 704 includes a rotatable substrate support assembly 714 that is configured to support a substrate 701 for processing in the respective station in a face up orientation, i.e., the processing surface of the substrate 701 is facing away from the support assembly 714.
  • the process chamber may be utilized in a face down configuration without varying from the basic scope of the invention.
  • processing station 702 does not have a substrate 701 illustrated on the substrate support assembly 714, while processing station 704 has a substrate 701 supported on the support assembly 714 to show the respective stations in both a loaded and empty states.
  • the hardware configuration of the respective processing stations 702 and 704 will be the same, however, embodiments of the invention are not limited to configurations where the processing stations 702 and 704 have identical hardware therein.
  • the deposition station, i.e., processing station 704 may incorporate the functionality of an IBC chamber, which is further described herein, while the activation station, i.e., processing station 702 may be configured with no post-plating bevel clean capability.
  • Processing stations 702 and 704 are typically configured with a substrate support assembly 714, which comprises substrate support fingers 712 and lift assembly 713 (shown in Figure 8), for transferring and precisely centering substrates in the processing station.
  • Processing stations 702 and 704 each include a fluid dispensing arm 706 and 708, respectively, that is configured to pivot over the substrate 701 during processing to dispense a processing fluid onto the front side or production surface of the substrate 701.
  • the fluid dispensing arms 706 and 708 may also be configured to be positioned precisely with respect to the substrate vertically. The vertical and/or angular position of the fluid dispensing portion of the arms 706 and 708 may be adjusted during processing of a substrate if desired.
  • the dispensing arms 706 and 708 may include more than one fluid conduit therein, and as such, the dispensing arms 706 and 708 may be configured to dispense multiple fluid solutions therefrom onto the substrate 701.
  • one or both dispensing arms 706 and 708 include a fluid conduit and fluid application nozzle configured to perform an in situ bevel clean process and/or final rinse on substrates subsequent to electroless deposition.
  • FIG. 8 is a sectional view of an exemplary pair of processing stations 702 and 704.
  • the sectional view of Figure 8 also illustrates the processing enclosure 302 that defines the processing volumes 612, 613 that are divided by the central interior wall 608, as described above with respect to Figure 6.
  • each of the processing stations 702 and 704 includes a substrate processing platen assembly 703 that forms a substantially horizontal upper surface configured to be positioned immediately below a substrate during processing ( Figure 8).
  • the upper surface of platen assembly 703 consists of a diffusion member 703A that evenly distributes fluids dispensed to the backside of a substrate.
  • a substrate 701 (shown in Figure 7) is transferred into processing station 704 and is secured by fingers 712. Fingers 712 vertically position the substrate 701 just above platen assembly 703. Because of the sensitivity to temperature of this process, the substrate, as well as fluids applied to the substrate surface, may be temperature-controlled.
  • the substrate temperature may be controlled by filling the space between the fluid diffusion member and the substrate 701 with a temperature-controlled fluid dispensed by conduit 709 to platen assembly 703. The fluid contacts the backside of the substrate 701 and transfers heat thereto to heat the substrate during the electroless plating process and maintain the substrate at a constant temperature, preferably between about 70 °C and about 85 °C.
  • Fingers 712 then rotate substrate 701 at a suitable rpm for evenly distributing process fluids dispensed thereon, i.e., 30-100 rpm, and fluid dispensing arm 708 pivots over substrate 701 and dispenses approximately 150 ml of an electroless deposition solution onto the front side, or production surface, of the substrate 701 for between about 5 seconds and 20 seconds.
  • the rotation of the substrate is then slowed to less than about 10 rpm for a period of time between about 30 seconds and about 70 seconds while plating onto the substrate takes place.
  • Plating time of the electroless deposition solution onto the substrate is strongly dependent on substrate and electroless deposition solution temperature as well as concentration and composition of the electroless deposition solution.
  • the electroless deposition solution may be at a temperature between about 80 0 C and about 95 0 C and contain a conditioning solution, a cobalt- containing solution, and a buffered reducing solution mixed in a volumetric ratio in Dl water of 2:1 :1 :6, respectively.
  • the typical deposition rate is between about 100 A/min and about 200 A/min.
  • the substrate is then rinsed and dried by an SRD process such as the SRD process described above in conjunction with Figure 4.
  • embodiments of the invention include treatment of substrates prior to wet processing in wet processing platform 213, such as the deposition of a barrier layer, a reducing layer and/or a catalytic layer.
  • substrates prior to wet processing in wet processing platform 213 such as the deposition of a barrier layer, a reducing layer and/or a catalytic layer.
  • all of these substrate pre-treatments may be performed in a single ALD, CVD or vapor deposition chamber, preferred examples of which are described below.
  • barrier layer and/or reducing layers may be formed on a substrate via an ALD, CVD or vapor deposition process while the catalytic layer may be formed in a fluid processing chamber as described above.
  • a standard capacitively-coupled or inductively-coupled plasma deposition chamber may be used for barrier layer, reducing layer and catalytic layer deposition on substrates.
  • a chamber typically includes a sub- atmospheric process region located above a temperature-controlled substrate support and beneath a conductive showerhead, which acts as a plasma-controlling device.
  • a process gas supply provides process gas to the process region through the showerhead.
  • a remote plasma source may be used.
  • a deposition chamber contains a ruthenium tetroxide generating apparatus (described below in conjunction with Figure 14A) that is adapted to deposit a ruthenium-containing layer on a substrate surface without the use of carbon-containing precursors.
  • pre-treatment of a substrate 120 may generally include depositing a barrier layer 124 on a substrate surface, exposing the barrier layer 124 to a soak process to form a reducing layer 126, depositing a catalytic layer 128 on barrier layer 124 by exposing reducing layer 126 to a catalytic metal- containing precursor and depositing conductive layers such as seed layer 129 and/or bulk layer 130 on catalytic layer 128.
  • barrier layer 124 e.g., TaN
  • Barrier layer 124 is exposed to a reductant during a soak process that may include phosphine, diborane or silane.
  • a reducing layer is then formed on the barrier layer.
  • Reducing layer 126 is exposed to a catalytic metal-containing precursor to deposit catalytic layer 128 on barrier layer 124.
  • the catalytic metal-containing precursor is introduced to the substrate by a liquid deposition process, performed in an electroless plating twin cell, described above.
  • the catalytic metal-containing precursor is introduced to the substrate by a vapor phase deposition process, preferably in the same chamber in which barrier layer 124 and reducing layer 126 were deposited on substrate 120. This embodiment has the added advantage of minimizing exposure of barrier layer 124 to oxygen or moisture, which improves adhesion of subsequent metal layers.
  • a catalytic layer 128 containing ruthenium may be deposited directly onto barrier layer 124 or dielectric layer 121 with no reducing layer 126 present.
  • This embodiment requires no carbon-containing precursors for formation of catalytic layer 128, improving adhesion of subsequent conductive layers.
  • Catalytic layer 128 contains a catalytic metal that may include ruthenium, cobalt, rhodium, iridium, nickel, palladium, platinum, alloys thereof or combinations thereof.
  • a conductive layer, such as seed layer 129 and/or bulk layer 130 is deposited on catalytic layer 128.
  • seed layer 129 may be a copper or ruthenium seed layer or a secondary barrier layer, such as a cobalt tungsten phosphide layer.
  • Bulk layer 130 may be a copper-containing conductive layer deposited by electroless deposition or electrochemical deposition. This process sequence is described below and illustrated in Figures 1 F-1 K with cross-sectional views of a substrate structure at different stages of the sequence. Alternately, reducing, catalytic and conductive layers may be deposited as described above on substrate structures without a barrier layer.
  • Barrier layer 124 may be formed on the dielectric layer 121 and in aperture 122, as depicted in Figure 1 G.
  • Barrier layer 124 may include one or more barrier materials such as, tantalum, tantalum nitride, tantalum silicon nitride, titanium, titanium nitride, titanium silicon nitride, tungsten nitride, silicon nitride, ruthenium nitride, derivatives thereof, alloys thereof and combinations thereof.
  • Barrier layer 124 may be formed using a suitable deposition process including ALD, CVD, PVD or combinations thereof. For example, tantalum and/or tantalum nitride is deposited as barrier layer 124 by an ALD process as described in commonly assigned United States Patent Serial No.
  • a Ta/TaN bilayer may be deposited as barrier layer 124, wherein the tantalum layer and the tantalum nitride layer are independently deposited by ALD, CVD and/or PVD processes.
  • the above ALD process may be performed in a dry side pre-treatment chamber of cluster tool 200, such as an ALD chamber located at processing station 235.
  • Embodiments of ALD have been described above as the deposition of a binary compound of tantalum nitride utilizing pulses of two reactants, wherein a "pulse" is a quantity of a particular compound that is intermittently or non- continuously introduced into a reaction zone of a processing chamber.
  • pulses of two or more reactants may also be used.
  • an ALD process for the tertiary compound tantalum silicon nitride utilizes pulses of tantalum, silicon and nitrogen precursors.
  • a typical process of depositing a TaN barrier layer by an ALD process includes providing pulses of a tantalum-containing compounds, such as PDMAT (Ta[NMe 2 ] S ) with a flow rate in a range from about 20 seem to about 1 ,000 seem and with a pulse time of about 2 seconds or less. Pulses of ammonia may be provided with a flow rate in a range from about 20 seem and about 1 ,000 seem and with a pulse time of about 1 second or less. An argon purge gas may have a flow rate in a range from about 100 seem to about 1 ,000 seem and may be continuously provided or pulsed into the process chamber.
  • a tantalum-containing compounds such as PDMAT (Ta[NMe 2 ] S )
  • Pulses of ammonia may be provided with a flow rate in a range from about 20 seem and about 1 ,000 seem and with a pulse time of about 1 second or less.
  • An argon purge gas may have a flow rate in a range
  • the time between pulses of the tantalum-containing compound and the nitrogen-containing compound may be about 5 seconds or less, preferably in a range from about 0.5 seconds to about 2 seconds.
  • the substrate is preferably maintained with a temperature in a range from about 50°C to about 350 0 C at a chamber pressure in a range from about 1.0 Torr to about 50.0 Torr.
  • barrier layer 124 is exposed to a volatile reducing precursor (VRP), preferably diborane, phosphine, silane, hydrazine, hydrogen, or combinations thereof.
  • VRP volatile reducing precursor
  • the soak process for forming reducing layer 126 may be performed by exposing barrier layer 124 to the VRP directly or diluted in a carrier gas, for example in a vapor deposition chamber.
  • the soak process may be conducted in the same deposition chamber as the barrier layer deposition process, described above.
  • reducing layer 126 is formed on barrier layer 124 by a plasma soak process.
  • the plasma soak process includes exposing barrier layer 124 to a reducing plasma (i.e., a reductant or derivative thereof in the plasma state of matter) to form reducing layer 126.
  • a reducing plasma i.e., a reductant or derivative thereof in the plasma state of matter
  • the reductant is silane, diborane, phosphine or combinations thereof.
  • a chamber capable of plasma vapor deposition is necessary, for example the substrate may be placed into a plasma enhanced ALD (PE-ALD) a plasma enhanced CVD (PE-CVD) or HDP-CVD chamber.
  • PE-ALD plasma enhanced ALD
  • PE-CVD plasma enhanced CVD
  • HDP-CVD high-CVD
  • An exemplary plasma vapor deposition chamber is described below.
  • barrier layer 124 is exposed to a plasma-soak process for a pre-determined time.
  • the soak process may occur for about 5 minutes or less.
  • the substrate is maintained at a temperature in a range from about 20 0 C to about 350 0 C and the process chamber is maintained at a pressure in a range from about 0.1 Torr to about 750 Torr.
  • the VRP may be diluted in a carrier gas, such as helium, argon or nitrogen.
  • the carrier gas may be provided at a flow rate in a range between about 100 seem and about 5,000 seem.
  • the VRP may be provided at a flow rate in a range from about 5 seem to about 500 seem.
  • the plasma may be formed using RF power delivered to the plasma generating devices utilized in the plasma chamber, e.g., a showerhead in a capacitively coupled chamber, where the RF power ranges from 100 W to 10,000 W at an RF frequency between about 0.4 kHz and about 10 GHz.
  • RF power ranges from 100 W to 10,000 W at an RF frequency between about 0.4 kHz and about 10 GHz.
  • a catalytic layer 128 is deposited on barrier layer 124 as depicted in Figure 11.
  • Catalytic layer 128 is formed by exposing reducing layer 126 to a catalytic metal-containing precursor. Reducing layer 126 chemically reduces the catalytic metal-containing precursor to form catalytic layer 128 on barrier layer 124 containing the respective metal from the precursor.
  • the catalytic metal-containing precursor is delivered to reducing layer 126 by a vapor deposition process, such as an ALD process or a CVD process.
  • the process chamber may be a typical vapor deposition chamber as used during ALD, CVD or PVD processes.
  • the catalytic layer forming chamber is the same chamber in which the barrier and reducing layers were also deposited on the substrate.
  • the catalytic metal-containing precursor is delivered to reducing layer 126 by a liquid deposition process, such as an aqueous solution containing the precursor dissolved therein.
  • a liquid deposition process to form catalytic layer 128, the process is conducted in an electroless plating cell, described above.
  • Catalytic layer 128 includes at least one catalytic metal and usually contains the oxidized remnants of the reducing layer 126.
  • the catalytic metal may include ruthenium, cobalt, rhodium, iridium, nickel, palladium, platinum, osmium, alloys thereof or combinations thereof.
  • the chemical reaction between reducing layer 126 and the catalytic metal-containing precursor forms the metallic form of the catalytic metal ⁇ e.g., Ru 0 or Co 0 ) and/or the respective boride, phosphide, suicide, nitride and combinations thereof.
  • the catalytic layer adheres to the barrier layer as well as to the subsequently deposited conductive layer, such as a seed layer 129 or a bulk layer 130, illustrated in Figures U and 1 K, respectively.
  • a typical process of forming a catalytic layer 128 on barrier layer 124 involves exposing reducing layer 126 to a vaporized catalytic metal-containing precursor.
  • the vapor deposition process is conducted at a temperature high enough to vaporize the catalytic metal-containing precursor and drive the reduction reaction to completion.
  • the temperature range varies according to the particular catalytic metal-containing precursor used during the deposition.
  • the substrate is maintained in a range from about 25 °C to about 350 °C, preferably from about 50 0 C to about 250 °C.
  • the process chamber may be a typical vapor deposition chamber as used during ALD, CVD or PVD processes.
  • the process chamber is maintained at a pressure relative to the temperature, precursor and particular process.
  • the pressure is maintained in a range from about 0.1 Torr to about 750 Torr.
  • the catalytic metal-containing precursor is exposed to reducing layer 126 from about 1 second to about 120 seconds.
  • the catalytic metal- containing precursor may be delivered purely or diluted in a carrier gas that includes nitrogen, hydrogen, argon, helium or combinations thereof.
  • a reducing plasma is exposed to the substrate for 10 seconds at a flow rate of about 500 seem, consisting of 450 seem helium carrier gas and 50 seem silane.
  • Seed layer 129 is deposited as the conductive layer on catalytic layer 128 and may be deposited using conventional deposition techniques, such as ALD, CVD, PVD, electroless, or electroplating. Preferably, seed layer 129 is deposited immediately after deposition of catalytic layer 128, minimizing oxidation of catalytic layer 128 and improving overall adhesion of subsequently deposited conductive layers. Hence, in the preferred embodiment of the invention, seed layer 129 is deposited on a substrate in the same cluster tool in which catalytic layer 128 is deposited on the substrate, ideally in the same processing chamber.
  • seed layer 129 is a copper seed layer deposited on a substrate by an electroless deposition process in the same electroless plating twin cell that deposited catalytic layer 128 on the substrate.
  • Seed layer 129 may have a thickness range from about a single molecular layer to about 100 A.
  • seed layer 129 contains copper, ruthenium, cobalt, tantalum or other metal or alloy known to exhibit good adhesion to a subsequent bulk layer 130.
  • a typical method and apparatus for depositing a seed layer 129 via an electroless deposition process is described above in conjunction with Figures 7 and 8.
  • Ruthenium oxides may be used for the formation of catalytic and/or bulk conductive layers, ruthenium tetroxide (RuO 4 ) being the preferred ruthenium compound used for this process.
  • Ruthenium tetroxide may be prepared with an in situ generation process, described below in conjunction with Figure 14A, by exposing a metallic ruthenium source to an oxidizing gas, such as ozone. The in situ generated ruthenium tetroxide is immediately introduced into the process chamber.
  • Ruthenium tetroxide is a strong oxidant and therefore readily reacts with the reducing layer to form a ruthenium-containing catalytic layer on the barrier layer or dielectric layer.
  • a ruthenium-containing layer may be selectively or non-selectively deposited on device features formed on the surface of a substrate by use of a ruthenium tetroxide-containing gas. It is believed that the selective or non-selective deposition of a ruthenium-containing layer on the surface of the substrate is strongly dependent on the temperature and type of surfaces that are exposed to the ruthenium tetroxide containing gas. It is also believed that by controlling the temperature of a substrate at a desired temperature below, for example about 180 °C, a ruthenium layer will selectively deposit on certain types of surfaces.
  • the ruthenium deposition process from a ruthenium tetroxide containing gas becomes much less selective and thus will allow a blanket film to deposit on all types of surfaces.
  • the deposition of a ruthenium containing layer is used to promote the adhesion and filling of subsequent layers on the surface of the substrate.
  • the properties of the ruthenium containing layer deposited on the surface of the substrate is specially tailored to fit the needs of the devices formed on the surface of the substrate.
  • Typical desirable properties include the formation of crystalline or amorphous metallic ruthenium layers on the surface of the substrate so that the formed layer(s) can act as a barrier layer, a catalytic layer for subsequent electroless or electroplating processes, or even fill a desired device feature.
  • Another desirable property of a ruthenium-containing layer is the formation of a ruthenium dioxide layer (RuO 2 ) on the surface of the substrate to, for example, promote selective bottom up growth of an electroless and/or electroplated layer, or form an electrode that is compatible ferroelectric oxides (e.g., BST, etc.), piezoelectric materials (e.g., PZT, etc.) used to form various Micro-Electro- Mechanical Systems (MEMS) devices.
  • MEMS Micro-Electro- Mechanical Systems
  • a ruthenium-containing catalytic layer with desirable properties is formed on a barrier layer or a dielectric layer by generating a ruthenium tetroxide containing gas and exposing a temperature controlled surface of a substrate to the gas. This involves forming a ruthenium tetroxide gas, collecting the gas in a source vessel, purging the source vessel of excess oxygen, heating the source vessel and delivering the ruthenium tetroxide-containing gas to the process chamber to form the catalytic layer.
  • the deposition gas, containing ruthenium tetroxide is delivered to the surface of the substrate having a reducing layer containing P-H functional groups formed thereon.
  • the reducing layer containing P-H functional groups may be formed by use of a phosphine soak process or phosphine plasma soak process. During the process the substrate is maintained at a temperature of about 200°C. After exposing the reducing layer to the ruthenium tetroxide containing gas for about 60 seconds, a ruthenium phosphide layer is formed on the barrier layer. Alternately, a ruthenium-containing catalytic layer may be formed directly onto a barrier layer or dielectric layer with no reducing layer.
  • FIG. 14 illustrates an exemplary capacitively coupled plasma chamber, chamber 1450.
  • a sidewall 1405, a ceiling 1406 and a base 1407 enclose chamber 1450 and form a process area 1421.
  • a vacuum pump 1435 controls the pressure within chamber 1450, typically holding the pressure below 5 milliTorr (mT).
  • a gas distribution showerhead 1410 consists of a gas distribution plenum 1420 connected to the gas supply 1425 and communicating with the processing region 1427 over the substrate 1422 through plural gas nozzle openings 1430.
  • the gas distribution showerhead 1410 acts as a plasma controlling device by use of the attached impedance match element 1475 and RF power source 1490.
  • a bias RF generator 1462 applies RF bias power to the temperature-controlled substrate pedestal 1415 and substrate 1422 through an impedance match element 1464. With the appropriate gases provided by gas supply 1425, the barrier layer, reducing layer and/or catalytic layer deposition described above may all be performed in chamber 1450.
  • the method and apparatus described herein is adapted to selectively or non-selectively deposit a ruthenium containing layer on device features formed on the surface of a substrate by use of a ruthenium tetroxide containing gas.
  • a deposition chamber 600 illustrated in Figure 14A, is used to generate and deposit a ruthenium- containing catalytic layer on a substrate.
  • Deposition chamber 600 is similar to chamber 1450 described above and identical reference numerals have been used to designate elements common to each chamber.
  • the ruthenium containing layer is formed on a surface of a substrate by creating ruthenium tetroxide in an external vessel and then delivering the generated ruthenium tetroxide gas to a surface of a temperature controlled substrate positioned in a processing chamber.
  • the deposition chamber 600 generally contains a process gas delivery system 601 and a sealed processing chamber 603A.
  • the sealed processing chamber 603A generally contains all of the components described above in conjunction with Figure 14 and also a temperature controlled substrate support 623, a remote plasma source 670 and the process gas delivery system 601 connected to the inlet line 1426.
  • the temperature controlled substrate support 623 generally contains a conductive block 624, a heat exchanging device 620 and a temperature controller 621.
  • the conductive block has a substrate supporting surface 624A and is attached and sealed to the base 1407 to form a sealed processing chamber 603A.
  • a process gas delivery system 601 is adapted to deliver a fluid to the processing region 1427 so that a catalytic or adhesion layer can be formed on the substrate surface.
  • the process gas delivery system 601 generally contains one or more gas sources 611A-E, an ozone generating device 612B, a processing vessel 630, a source vessel assembly 640, and an outlet line 660 attached to the inlet line 1426 of the sealed processing chamber 603A.
  • the one or more gas sources 611A-E are generally sources of various carrier and/or purge gases that may be used during processing in the sealed processing chamber 603A.
  • the one or more gases delivered from the gas sources 611A-E may include, for example, nitrogen, argon, helium, hydrogen, or other similar gases.
  • the processing vessel 630 contains a vessel 631 , a temperature controlling device 634A, an input port 635 and an output port 636.
  • the vessel 631 is generally an enclosed region made of or coated with glass, ceramic or other inert material that will not react with the processing gas formed in the vessel 631.
  • the vessel 631 contains a volume of a ruthenium metal (item "A"), preferably in a porous-solid or pellet form, to promote the formation of ruthenium tetroxide when the ozone gas is delivered to the vessel 631.
  • the temperature controlling device 634A generally contains a temperature controller 634B and a heat exchanging device 634C, which are adapted to control the temperature of the vessel 631 at a desired processing temperature during the ruthenium tetroxide generation process.
  • the ruthenium metal "A" contained in vessel 631 is maintained at a temperature between about 20 °C and 60 0 C to enhance ruthenium tetroxide formation in vessel 631.
  • the heat exchanging device 634C is a temperature controlled fluid heat exchanging device, a resistive heating device and/or a thermoelectric device that is adapted to heat and/or cool the vessel 631 during different phases of the process.
  • a remote plasma source 672 is connected to the processing vessel 630 via the RPS inlet line 673 so that in different phases of the ruthenium tetroxide formation process the ruthenium metal can be regenerated by injecting H radicals into the vessel 631 to reduce any formed oxides on the surface of the ruthenium metal. Regeneration is necessary when an undesirable layer of ruthenium dioxide (RuO 2 ) is formed on a significant portion of the exposed ruthenium metal contained in the vessel 631.
  • RuO 2 ruthenium dioxide
  • the source vessel assembly 640 generally contains a source vessel 641 , a temperature controller 642, an inlet port 645 and an outlet port 646.
  • the source vessel 641 is adapted to collect and retain the ruthenium tetroxide generated in the processing vessel 630.
  • the source vessel 641 is generally lined, coated or made from a glass, ceramic, plastic (e.g., Teflon, polyethylene, etc.), or other material that will not react with the ruthenium tetroxide and has desirable thermal shock and mechanical properties.
  • the temperature controller 642 cools the source vessel 641 to a temperature less than 20 0 C to condense the ruthenium tetroxide gas on to the walls of the source vessel.
  • the temperature controller 642 generally contains a temperature controller device 643 and a heat exchanging device 644, which are adapted to control the temperature of the source vessel 641 at a desired processing temperature.
  • deposition chamber 600 forms a ruthenium-containing layer on a substrate.
  • ruthenium tetroxide gas is formed and collected in the source vessel 641.
  • Ozone generated in ozone generating device 612B is then delivered to the ruthenium metal contained in vessel 631 to form a flow of ruthenium tetroxide gas, which is collected in the source vessel 641. Therefore, an ozone containing gas, typically containing between about 10 wt.% and 20 wt.% of ozone, flows across the ruthenium metal which causes ruthenium tetroxide to be formed and swept away by the flowing gas.
  • the gas flow path is from the ozone generating device 612B, in the input port 635, across the ruthenium metal (item "A"), through the output port 636 in the vessel 631 through the process line 637 and into the source vessel 641. Cooling the ruthenium tetroxide and causing it to condense or solidify on the walls of the source vessel 641 , the unwanted oxygen- and ozone-containing components in the ruthenium tetroxide-containing gas can be separated and removed.
  • Oxygen- and ozone-containing components in the ruthenium tetroxide- containing gas are separated and removed while the walls of the source vessel are maintained at a temperature of 20 0 C or below. This is performed by closing the ozone isolation valve 612A and flowing one or more purge gasses from the one or more of the gas sources 611 B-C through the processing vessel 630, into the process line 637, through the source vessel 641 and then through the exhaust line 651 to the exhaust system 650. Removal of these unwanted oxygen and unreacted ozone components is especially important where copper interconnects are exposed on the surface of the substrate, since copper has a high affinity for oxygen and is corroded easily in the presence of an oxidizing species.
  • ruthenium tetroxide is delivered to sealed processing chamber 603A after the source vessel 641 has been purged and valve 637A is closed to isolate the source vessel 641 from the processing vessel 630.
  • the source vessel 641 Prior to delivery of ruthenium tetroxide to sealed processing chamber 603A, the source vessel 641 is heated to a temperature to cause the condensed or solidified ruthenium tetroxide to form ruthenium tetroxide gas at which time the one or more of the gas sources 611 (e.g., items 611 D-E), the isolation valve 638, the isolation valve 639 and process chamber isolation valve 661 are opened, causing a ruthenium tetroxide containing gas to flow into the inlet line 1426, through the gas distribution showerhead 1410, into a processing region 1427 and across the substrate 1422 so that a ruthenium-containing layer can be formed on a substrate surface.
  • the gas sources 611 e.g., items 611 D-E
  • a ruthenium tetroxide-containing gas is formed when a nitrogen containing gas is delivered from the gas source 611 D and a hydrogen- containing gas is delivered from the gas source 611 E through the source vessel and to the sealed processing chamber 603A.
  • the remote plasma source 670 is utilized to enhance the process of forming a metallic ruthenium layer via the injection of H radicals, generated by the remote plasma source, into the processing region 1427 to reduce any formed oxides on the surface of the ruthenium metal.
  • process gas delivery system 601 includes multiple source vessel assemblies 640, which alternately collect and dispense the generated ruthenium tetroxide. This avoids interruption of substrate processing in chamber 1450 when one source vessel must collect ruthenium tetroxide.
  • a plasma is generated during the deposition process to improve the deposited ruthenium- containing layer's properties.
  • a typical process using a remote plasma source (RPS) may include using 1000 seem of H 2 , 1000 seem of argon, an RF power of 350 W and a frequency of about 13.56 MHz.
  • the reducing and catalytic layers described above may be deposited on a substrate in a fluid deposition chamber 1800, described below and shown in Figures 18A and 18B. Because both vapor and liquid deposition may take place in fluid deposition chamber 1800, the reducing and catalytic layers may be deposited via vapor deposition processes and subsequent conductive layers may be deposited via electroless and/or electrochemical deposition. Hence, formation of a reducing layer, a catalytic layer and a seed layer may all be performed in a single chamber.
  • Figures 18A and 18B illustrate a schematic cross-sectional view of fluid deposition chamber 1800, which is one embodiment of a combined vapor/liquid deposition chamber that may be useful to deposit conductive layers using vapor deposition and electroless or electroplating processes as described previously.
  • the fluid deposition chamber 1800 processes substrates in a processing region 155 that is formed by the temperature-controlled substrate support 1812, the substrate "W", a seal 154 and the lower wall 148 of moveable processing shield 150.
  • a process gas source 161 containing a gas reservoir 160 and valve 159 and/or a liquid source 127 containing liquid reservoirs 128a-128f and valve 129b are adapted to deliver one or more processing fluids to the injection port 144, into the processing region 155, across the substrate surface, through the holes 152 and into the evacuation region 153 where the process gas is directed to the waste collection system 151.
  • a plating solution may be collected and recirculated across the surface of the substrate by use of a collection tank system 1849, which is adapted to recirculate collected plating solution.
  • the fluid deposition chamber 1800 further includes a drain 1827 in order to collect and expel fluids used in the fluid deposition chamber 1800.
  • the bottom 1807 of the processing compartment 1806 may comprise a sloped surface to aid the flow of fluids used in the fluid deposition chamber 1800 towards an annular channel in communication with the drain 1827 and to protect the substrate support assembly 1813 from contact with fluids.
  • forming a reducing layer and a catalytic layer are performed sequentially in fluid deposition chamber 1800, described herein.
  • a substrate is transferred into fluid deposition chamber 1800 and placed on the substrate receiving surface 1814 by use of a robot (not shown) and the lift pins 1818.
  • the moveable processing shield 150 is then moved into position where it contacts the substrate receiving surface 1814, or the substrate surface, to form the processing region 155.
  • the pressure in the evacuation region 153, and processing region 155, is then lowered by use of the pump (not shown) in waste collection system 151.
  • a processing fluid is then delivered to the processing region 155 from a process gas source 161 that is connected to the injection port 144.
  • the processing gas contains ruthenium tetroxide to form a ruthenium- containing layer on the surface of the substrate. This corresponds to reducing layer 126 in Figure 1 H.
  • the processing region 155 may then be purged with a carrier gas ⁇ e.g., argon, nitrogen, etc.) to remove any of the remnants of the processing gas.
  • a carrier gas e.g., argon, nitrogen, etc.
  • an electroless or electroplating solution may be delivered to the processing region 155 from the liquid source 127 so that a catalytic layer 128 can be formed from reducing layer 126 on the substrate surface.
  • one or more electrical contacts are embedded in the seal 154 of the moveable processing shield 150 and an anode 163 is placed in contact with the processing fluid (see item "A") so that a plating current can be delivered to the reducing layer so that the catalytic layer can be deposited using an electroplating process.
  • the metal ions in the processing fluid will be plated on the reducing layer by applying a negative bias to the reducing layer surface relative to the anode 163 by use of a power supply (not shown).
  • a bulk conductive layer corresponding to metal bulk layer 130 in Figure 1 K, may subsequently be deposited.
  • embodiments of the invention include a treatment of substrates prior to wet processing in wet processing platform 213, namely a plasma-assisted dry etch treatment, also known as a SiCoNi clean, as described below and in conjunction with Figure 19.
  • the substrate dry clean treatment is performed in a chamber adapted to perform a chemical etch clean and in-situ anneal on substrates and is preferably located on the dry side of cluster tool 200 (as shown in Figure 2), such as processing station 235.
  • the dry etch chamber may perform a plasma-enhanced chemical etch process with both substrate heating and cooling all within a single processing environment, including an anneal or heat treating process.
  • Figure 19 illustrates a partial cross sectional view of a processing chamber 1900.
  • the dry etch chamber is a vacuum chamber containing a lid assembly 200a, a substrate support member 310a which is temperature-controlled, a chamber body 112a which is temperature- controlled, and a processing zone 140a.
  • the processing zone 140a is the region between the lid assembly 200a and the substrate support member 310a.
  • the substrate support member 310a is generally adapted to support and control the temperature of the substrate during processing.
  • the lid assembly 200a contains a process gas supply panel (not shown) as well as a first and second electrode (elements 240a and 220a) that define a plasma cavity for generating plasma external to the processing zone 140a.
  • the process gas supply panel (not shown) provides reactive gas to the plasma cavity, through the second electrode 220a and into the processing zone 140a.
  • the second electrode 220a is positioned over the substrate and adapted to heat the substrate after the plasma-assisted dry etch process is complete. /7) Plasma-Assisted Dry Etch Process
  • the dry etch process begins by placing a substrate, such as a semiconductor substrate, into a dry etch processing chamber.
  • a substrate such as a semiconductor substrate
  • the substrate is held to the support assembly 300a of the substrate support member 310a during processing via a vacuum or electrostatic chuck.
  • the chamber body 112a is preferably maintained at a temperature of between 50 0 C and 80 0 C, more preferably at about 65°C. This temperature of the chamber body 112a is maintained by passing a heat transfer medium through fluid channels 113a located in the chamber body.
  • the substrate is cooled below 65°C, such as between 15°C and 50°C, by passing a heat transfer medium or coolant through fluid channels 113a formed within the substrate support.
  • the substrate is maintained at a temperature of between 22°C and 40 0 C.
  • the substrate support is maintained below about 22°C to reach the desired substrate temperatures specified above.
  • the ammonia and nitrogen trifluoride gases are then introduced into the dry etching chamber to form a cleaning gas mixture.
  • the amount of each gas introduced into the chamber is variable and may be adjusted to accommodate, for example, the thickness of the oxide layer to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma and the volume capacity of the chamber body 112a.
  • the gases are added to provide a gas mixture having at least a 1 :1 molar ratio of ammonia to nitrogen trifluoride.
  • the molar ratio of the gas mixture is at least about 3 to 1 (ammonia to nitrogen trifluoride).
  • the gases are introduced in the dry etching chamber at a molar ratio of from 5:1 (ammonia to nitrogen trifluoride) to 30:1. More preferably, the molar ratio of the gas mixture is of from about 5 to 1 (ammonia to nitrogen trifluoride) to about 10 to 1. The molar ratio of the gas mixture may also fall between about 10:1 (ammonia to nitrogen trifluoride) and about 20:1.
  • a purge gas or carrier gas may also be added to the gas mixture. Any suitable purge/carrier gas may be used, such as argon, helium, hydrogen, nitrogen, or mixtures thereof, for example.
  • the overall gas mixture is from about 0.05% to about 20% by volume of ammonia and nitrogen trifluoride. The remainder being the carrier gas.
  • the purge or carrier gas is first introduced into the chamber body 112a before the reactive gases to stabilize the pressure within the chamber body.
  • the operating pressure within the chamber body can be variable. Typically, the pressure is maintained between about 500 mTorr and about 30 Torr. Preferably, the pressure is maintained between about 1 Torr and about 10 Torr. More preferably, the operating pressure within the chamber body is maintained between about 3 Torr and about 6 Torr.
  • An RF power of from about 5 and about 600 Watts is applied to the first electrode to ignite a plasma of the gas mixture within the plasma cavity.
  • the RF power is less than 100 Watts. More preferable is that the frequency at which the power is applied is very low, such as less than 100 kHz. Preferably, the frequency ranges from about 50 kHz to about 90 kHz.
  • the plasma energy dissociates the ammonia and nitrogen trifluoride gases into reactive species that combine to form a highly reactive ammonia fluoride (NH 4 F) compound and/or ammonium hydrogen fluoride (NH 4 F HF) in the gas phase. These molecules then flow through the second electrode 220a to react with the substrate surface to be cleaned.
  • the carrier gas is first introduced into the dry etch chamber, a plasma of the carrier gas is generated, and then the reactive gases, ammonia and nitrogen trifluoride, are added to the plasma.
  • the etchant gas NH 4 F and/or NH 4 F-HF, reacts with the native oxide surface to form ammonium hexafluorosilicate (NH 4 J 2 SiF 6 , NH 3 , and H 2 O products.
  • the NH 3 , and H 2 O are vapors at processing conditions and removed from the chamber by a vacuum pump attached to the chamber.
  • a thin film of (NH 4 ) 2 SiF 6 is left behind on the substrate surface.
  • the substrate support is elevated to an anneal position in close proximity to the heated second electrode.
  • the heat radiated from the second electrode 220a should be sufficient to dissociate or sublimate the thin film of (NH 4 ) 2 SiF 6 into volatile SiF 4 , NH 3 , and HF products. These volatile products are then removed from the chamber by the vacuum pump 125a attached to the system.
  • a temperature of 75°C or more is used to effectively sublimate and remove the thin film from the substrate.
  • a temperature of 100 0 C or more is used, such as between about 115°C and about 200 0 C.
  • the thermal energy to dissociate the thin film of (NH 4 ) 2 SiF 6 into its volatile components is convected or radiated by the second electrode.
  • a heating element 270a is directly coupled to the second electrode 220a, and is activated to heat the second electrode and the components in thermal contact therewith to a temperature between about 75°C and 250 0 C.
  • the second electrode is heated to a temperature of between 100°C and 150 0 C, such as about 12O 0 C.
  • the distance between the upper surface of the substrate having the thin film thereon and the second electrode 220a is not critical and is a matter of routine experimentation. A person of ordinary skill in the art can easily determine the spacing required to efficiently and effectively vaporize the thin film without damaging the underlying substrate. It is believed, however, that a spacing of between about 0.254 mm (10 mils) and 5.08 mm (200 mils) is effective.
  • the chamber is purged and evacuated.
  • the cleaned substrate is then removed from the chamber by lowering the substrate to the transfer position, de-chucking the substrate, and transferring the substrate through a slit valve opening.
  • Figure 19 is a partial cross sectional view showing an illustrative processing chamber 1900.
  • the processing chamber 1900 includes a chamber body 112a, a lid assembly 200a, and a support assembly 300a.
  • the lid assembly 200a is disposed at an upper end of the chamber body 112a, and the support assembly 300a is at least partially disposed within the chamber body 112a.
  • the processing chamber 1900 and the associated hardware are preferably formed from one or more process-compatible materials, such as aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061 -T6, stainless steel, as well as combinations and alloys thereof.
  • the chamber body 112a includes a slit valve opening 160a formed in a sidewall thereof to provide access to the interior of the processing chamber 1900.
  • the slit valve opening 160a is selectively opened and closed to allow access to the interior of the chamber body 112a by a substrate handling robot (not shown).
  • the chamber body 112a includes a fluid channel 113a formed therein for flowing a heat transfer fluid therethrough.
  • the heat transfer fluid can be a heating fluid or a coolant and is used to control the temperature of the chamber body 112a during processing and substrate transfer.
  • the temperature of the chamber body 112a is important to prevent unwanted condensation of the gas or byproducts on the chamber walls.
  • Exemplary heat transfer fluids include water, ethylene glycol, or a mixture thereof.
  • An exemplary heat transfer fluid may also include nitrogen gas.
  • the chamber body 112a can further include a liner 133a that surrounds the support assembly 300a.
  • the liner 133a is preferably removable for servicing and cleaning.
  • the liner 133a can be made of a metal such as aluminum, or a ceramic material. However, the liner 133a can be any process compatible material.
  • the liner 133a can be bead blasted to increase the adhesion of any material deposited thereon, thereby preventing flaking of material which results in contamination of the processing chamber 1900.
  • the liner 133a includes one or more apertures 135a and a pumping channel 129a formed therein that are in fluid communication with a vacuum system.
  • the apertures 135a provide a flow path for gases into the pumping channel 129a, which provides an egress for the gases within the processing chamber 1900.
  • the vacuum system may include a vacuum pump 125a and a throttle valve 127a to regulate flow of gases through the processing chamber 1900.
  • the vacuum pump 125a is coupled to a vacuum port 131a disposed on the chamber body 112a and therefore, in fluid communication with the pumping channel 129a formed within the liner 133a.
  • the apertures 135a allow the pumping channel 129a to be in fluid communication with a processing zone 140a within the chamber body 112a.
  • the processing zone 140a is defined by a lower surface of the lid assembly 200a and an upper surface of the support assembly 300a, and is surrounded by the liner 133a.
  • the apertures 135a may be uniformly sized and evenly spaced about the liner 133a.
  • one or more gases exiting the processing chamber 1900 flow through the apertures 135a formed through liner 133a into the pumping channel 129a. The gas then flows within the pumping channel 129a and through the vacuum port 131 a into the vacuum pump 125a.
  • the lid assembly 200a includes a number of components stacked on top of one another.
  • the lid assembly 200a includes a lid rim 210a, gas delivery assembly which acts as the second electrode 220a, and a top plate 250a.
  • the second electrode 220a is coupled to an upper surface of the lid rim 210a and is arranged to make minimum thermal contact therewith.
  • the components of the lid assembly 200a are preferably constructed of a material having a high thermal conductivity and low thermal resistance, such as an aluminum alloy with a highly finished surface.
  • the thermal resistance of the components is less than about 5x10 "4 m 2 K/W.
  • the second electrode 220a may include a distribution plate or showerhead (not shown).
  • the distribution plate is substantially disc-shaped and includes a plurality of apertures or passageways thereby providing an even distribution of the gas across the surface of the substrate as the flow of gas exits lid assembly 200a.
  • the second electrode 220a may further include a blocker assembly (not shown) disposed adjacent the distribution plate. The blocker assembly provides an even distribution of gas to the backside of the distribution plate.
  • a gas supply panel (not shown) is typically used to provide the one or more gases to the processing chamber 1900. The particular gas or gases that are used depend upon the process or processes to be performed within the processing chamber 1900.
  • Illustrative gases can include, but are not limited to one or more precursors, reductants, catalysts, carriers, purge, cleaning, or any mixture or combination thereof.
  • the one or more gases introduced to the processing chamber 1900 flow into the lid assembly 200a and then into the chamber body 112a through the second electrode 220a.
  • any number of gases can be delivered to the processing chamber 1900, and can be mixed either in the processing chamber 1900 or before the gases are delivered to the processing chamber 1900.
  • one or more process gases are introduced into the second electrode 220a from the gas supply panel (not shown), flow around and through the blocker assembly (not shown), then enter the processing zone 140a of processing chamber 1900 and meet the exposed surface of the substrate disposed on the support assembly 300a.
  • the lid assembly 200a can further include a first electrode 240a to generate a plasma of reactive species within the lid assembly 200a.
  • the first electrode 240a is supported on the top plate 250a and is electrically isolated therefrom.
  • the first electrode 240a is coupled to a power source 241a while the second electrode 220a is connected to ground (i.e. the second electrode 220a serves as an electrode). Accordingly, a plasma of one or more process gases can be generated in the volumes between the first electrode 240a and the second electrode 220a (the gas delivery assembly in this example). The plasma is well confined or contained within the lid assembly 200a.
  • the plasma is a "remote plasma" since no active plasma is in direct contact with the substrate disposed within the chamber body 112a.
  • plasma damage to the substrate is avoided because the plasma is sufficiently separated from the substrate surface.
  • Any power source capable of activating the gases into reactive species and maintaining the plasma of reactive species may be used for power source 241a.
  • RF radio frequency
  • DC direct current
  • MW microwave
  • a remote activation source may be used, such as a remote plasma generator, to generate a plasma of reactive species which are then delivered into processing chamber 1900.
  • Second electrode 220a may be heated depending on the process gases and operations to be performed within the processing chamber 1900.
  • a heating element 270a such as a resistive heater for example, can be coupled to the second electrode 220a or the distribution plate. Regulation of the temperature may be facilitated by a thermocouple coupled to the second electrode 220a or the distribution plate.
  • the support assembly 300a may be at least partially disposed within the chamber body 112a.
  • the support assembly 300a can include a substrate support member 310a to support a substrate (not shown in this view) for processing within the chamber body 112a.
  • the substrate support member 310a can be coupled to a lift mechanism (not shown) which extends through a bottom surface of the chamber body 112a.
  • the lift mechanism (not shown) can be flexibly sealed to the chamber body 112a by a bellows (not shown) that prevents vacuum leakage from around the lift mechanism.
  • the lift mechanism allows the substrate support member 310a to be moved vertically within the chamber body 112a between a process position and a lower, transfer position.
  • the substrate support member 310a has a flat, circular surface or a substantially flat, circular surface for supporting a substrate to be processed thereon.
  • the substrate support member 310a is preferably constructed of aluminum.
  • the substrate support member 310a can be moved vertically within the chamber body 112a so that a distance between substrate support member 310a and the lid assembly 200a can be controlled.
  • the substrate (not shown) may be secured to the substrate support member 310a using an electrostatic or vacuum chuck.
  • the substrate may be held in place on the substrate support member 310a by a mechanical clamp (not shown), such as a conventional clamp ring.
  • the substrate is secured using an electrostatic chuck
  • Substrate support member 310a may include one or more bores (not shown) formed therethrough to accommodate a lift pin (not shown).
  • Each lift pin is typically constructed of ceramic or ceramic-containing materials, and are used for substrate-handling and transport.
  • the temperature of the support assembly 300a is controlled by a fluid circulated through one or more fluid channels 360a embedded in the body of the substrate support member 310a.
  • the fluid channel 360a is positioned about the substrate support member 310a to provide a uniform heat transfer to the substrate receiving surface of the support member 310a.
  • the fluid channel 360a and can flow heat transfer fluids to either heat or cool the substrate support member 310a. Any suitable heat transfer fluid may be used, such as water, nitrogen, ethylene glycol, or mixtures thereof.
  • the support assembly 300a can further include an embedded thermocouple (not shown) for monitoring the temperature of the support surface of the substrate support member 310a.
  • the substrate support member 310a can be elevated to close proximity of the lid assembly 200a to control the temperature of the substrate being processed.
  • the substrate can be heated via radiation emitted from the lid assembly 200a or the distribution plate, which are heated by heating element 270a.
  • the substrate can be lifted off the substrate support member 310a to close proximity of the heated lid assembly 200a using the lift pins.
  • the term "supercritical fluid” as used herein refers to a substance above its critical point.
  • the term “dense fluid” as used herein refers to a substance at or below its critical point. Dense fluid preferably comprises a substance at or near its critical point. In certain embodiments, a dense fluid comprises a substance that is at a state in which its density is at least 1/5 the density of the substance at its critical point.
  • a substrate may be processed by applying a supercritical fluid thereto.
  • a substrate may be processed by applying a dense fluid thereto without the substance reaching a supercritical state.
  • a substrate may be processed by applying a substance thereto in which the substance is phase modulated between a supercritical fluid state and a dense fluid state.
  • a dense fluid may have a high solvating and diffusivities properties similar to a supercritical fluid.
  • One method of cleaning substrate structures consists of applying a supercritical fluid thereto, such as a carbon dioxide fluid at a pressure greater than about 1 ,000 psi and at a temperature of at least about 31 °C.
  • the carbon dioxide fluid may further include a co-solvent, such as methanol, surfactants, chelating agents, and combinations thereof. Cleaning of the substrate structure via this method may be accomplished without the need for a wet clean.
  • FIG 20 is a schematic cross-sectional view of an exemplary chamber, hereinafter referred to as supercritical clean chamber 2100, which may be used in embodiments of the invention.
  • Supercritical clean chamber 2100 is adapted to apply a supercritical fluid and/or a dense fluid to a substrate.
  • Supercritical clean chamber 2100 contains a sealed process enclosure 2108, a substrate support 2114 disposed in the sealed process enclosure 2108 and optionally one or more sonic transducers 2115 attached to the substrate support 2114.
  • the sonic transducers 2115 create acoustic or sonic waves directed towards the surface of a substrate to help agitate the fluid during processing.
  • Heating elements 2132 are disposed proximate or inside the walls of supercritical clean chamber 2100 to heat the fluid to the desired temperature during processing.
  • the supercritical and/or dense fluid is transferred to the sealed process enclosure 2108 through a fluid line 2123 by a pump/compressor 2126 at a desired pressure, typically between about 1 ,000 psi and 5,000 psi and temperature, typically at least about 31 °C, and is applied to the substrate via a showerhead or diffuser plate (not shown) located in the sealed process enclosure 2108.
  • Optimum exposure time of the substrate to the supercritical fluid varies depending on the geometry of the substrate structure, such as aspect ratio, and type of contamination to be removed therefrom.
  • heating elements 2143 may heat the carbon dioxide fluid to a desired temperature as the fluid is being transferred though the fluid line 2123.
  • Figure 11 illustrates one embodiment of a cluster tool 200 that generally includes electroless plating chambers and spin-rinse drying chambers.
  • it may include ALD barrier layer, reducing layer, and/or catalytic layer deposition prior to wet processing.
  • it may also include a plasma-enhanced dry etch chamber or supercritical clean chamber for removal of native oxide prior to barrier or catalytic layer deposition.
  • This configuration of cluster tool 200 may be used to process substrate structures with ALD or CVD tantalum nitride (TaN), an electroless copper electroless seed layer deposition and/or seed layer repair, fill interconnect features with electroless gap fill deposition, deposit both seed layer and gap fill sequentially, or deposit a capping layer, such as cobalt, on extant interconnect features.
  • the cluster tool may also be configured with IBC capability incorporated into the electroless plating twin cells, allowing the necessary post-deposition cleaning of substrates prior to removal from the wet processing platform.
  • FIG 11 illustrates cluster tool 200, which generally includes electroless plating and spin-rinse drying.
  • processing stations 214 and 216 may be configured as an interface between the wet processing platform 213 and the generally dry processing stations or factory interface 230 of the plating cluster tool 200.
  • substrates are introduced into wet processing platform 213 by being placed in an in-station 972 while waiting for wet processing.
  • the in-station 972 is typically located above or below processing stations 214 and 216 (not shown in Figure 11 for clarity, see Figure 11A).
  • processing stations 214 and 216 each include an SRD chamber which performs the final wet processing steps on a substrate before the substrate leaves wet processing platform 213.
  • processing stations 214 and 216 may instead consist of a combination IBC/SRD chamber, wherein the bevel clean process is performed on a substrate followed immediately by the final rinse and dry process.
  • processing stations 202 and 204 may comprise an electroless plating twin cell, processing stations 206 and 208 a second electroless plating twin cell, and processing stations 210 and 212 a third electroless plating twin cell.
  • Each electroless twin cell is contained by a processing enclosure 302.
  • Each twin cell also includes a substrate transfer shuttle (not shown in Figure 11 for clarity, see Figure 6) for substrate transfers between the first and second processing stations inside each processing enclosure 302.
  • each electroless plating twin cell may also include the functionality of an IBC chamber, i.e. the post-deposition cleaning of unwanted material and contamination from the bevel portion and backside of a substrate.
  • Processing stations 235 and 235a which are located on the dry side of the cluster tool, may be configured as an ALD or CVD chamber for the deposition of a barrier layer and/or catalytic layer prior to wet processing.
  • the catalytic layer so formed is a ruthenium-containing layer deposited without the use of carbon-containing precursors.
  • a dry etch chamber or supercritical clean chamber is positioned at processing station 235 or 235a.
  • the cluster tool 200 When the cluster tool 200 is used for depositing a single layer of metal on substrates, i.e., either a seed layer, gap fill, or an interconnect capping layer, then it may be advantageous to have all of the electroless deposition processes performed on a substrate take place in a single electroless plating twin cell.
  • the second and third electroless twin cells may also operate in parallel with the first twin cell and perform the same deposition process on other substrates going through a desired process sequence.
  • the substrate processing sequences for this scenario are shown in Figures 12A, 12B, and 12C.
  • a substrate is pre-treated with a barrier layer, a reducing layer, and/or a catalytic layer in chamber positioned at processing station 235 prior to wet processing.
  • the chamber positioned at processing station 235 may use the ruthenium tetroxide-based process described above to deposit the catalytic layer.
  • native oxide is removed from the substrate prior to pre-treatment with a barrier, reducing and/or catalytic layer in a dry etch chamber or supercritical clean chamber positioned in factory interface 230.
  • factory interface robot 232 places a substrate at the in-station 972 associated with processing stations 214 or 216.
  • step 1202 mainframe robot 220 transfers the substrate to the first processing station of one of the electroless twin plating cells, i.e., processing station 202, 206, or 210.
  • a substrate may undergo the deposition step 1202 in any one of the electroless twin cells and then continue on to step 1203.
  • a substrate is not processed in more than one twin cell.
  • the substrate may be transferred as necessary between processing stations internally within an electroless twin cell via internal substrate transfer shuttle 605, i.e., between processing stations 202 and 204, 206 and 208, or 210 and 212.
  • electroless deposition process steps may be divided between the two processing stations in an electroless twin chamber or all deposition process steps may be performed in each electroless processing station.
  • a dedicated IBC chamber may perform the IBC process on substrates.
  • either the electroless plating cells or the SRD chambers may include the functionality of an IBC chamber, as described above in conjunction with Figures 3 and 4.
  • the IBC process removes unwanted deposition from the substrate bevel and residual contamination from the substrate backside. Either the IBC process is performed on substrates in an electroless plating cell immediately after the electroless deposition of step 1202, or the IBC process is performed after the substrate is transferred to an external IBC chamber, i.e., with a dedicated IBC or a combined IBC/SRD chamber.
  • FIG. 12A illustrates a substrate processing sequence I which no IBC process is performed, for example the invention is used for deposition of an electroless capping layer, such as capping layer 105, depicted in Figure 1 B.
  • process step 1204 is performed. In step
  • mainframe robot 220 transfers the substrate to SRD chamber positioned at processing station 214 or 216, wherein the final rinsing and drying of the substrate take place.
  • factory interface robot 232 removes the substrate from the SRD and wet processing platform 213. This embodiment of the invention allows the high throughput deposition sequence either used to form an interconnect capping layer or an electroless seed layer on substrates by applying multiple electroless twin cells in parallel.
  • Figure 12B illustrates the substrate processing sequence when the IBC process is desired and some or all of the electroless plating cells are configured to perform the IBC process described in conjunction with Figures 7 and 8.
  • step 1203b after completing process steps 1200-1202, the substrate undergoes the IBC process prior to being transferred out of the twin cell.
  • mainframe robot 220 transfers the substrate to SRD chamber positioned at processing stations 214 or 216, wherein the final rinsing and drying of the substrate take place.
  • step 1203b after completing process steps 1200-1202, the substrate undergoes the IBC process prior to being transferred out of the twin cell.
  • mainframe robot 220 transfers the substrate to SRD chamber positioned at processing stations 214 or 216, wherein the final rinsing and drying of the substrate take place.
  • factory interface robot 232 removes the substrate from the SRD and wet processing platform 213.
  • this embodiment of the invention allows high throughput electroless gap fill of interconnect features on substrates and in situ substrate bevel clean prior to removal from the wet processing platform.
  • FIG. 12C illustrates the substrate processing sequence when the IBC process is desired and wet processing platform 213 is configured with combined IBC/SRD chambers.
  • mainframe robot 220 transfers the substrate to IBC/SRD chamber positioned at processing station 214 or 216, wherein the IBC process is performed on the substrate.
  • the substrate undergoes the final SRD process in the IBC/SRD chamber.
  • factory interface robot 232 removes the substrate from the IBC/SRD and wet processing platform 213.
  • each substrate processed in two or more electroless plating cells may be beneficial to have each substrate processed in two or more electroless plating cells.
  • one or two of the electroless twin cells may be dedicated to seed layer deposition and/or repair and the remaining electroless twin cell or cells is/are dedicated to gap fill deposition.
  • twin cells positioned at processing stations 202/204 and 206/208 may be configured for seed layer deposition
  • twin cell positioned at processing stations 210/212 may be configured for gap fill deposition (see Figure 11).
  • Steps 1200, 1201 , 1204, and 1205 are identical to the steps described above in Figures 12A, 12B, and 12C.
  • the electroless deposition takes places in two steps, 1202a and 1202b.
  • step 1202a the substrate is transferred from one of the in-stations to processing station 202 or 206 for seed layer deposition.
  • step 1202b after seed layer deposition is completed in twin cell positioned in processing stations 202/204 or 206/208, the substrate is transferred to processing station 210/212 for gap fill deposition.
  • each processing station in each electroless twin cell may then act as an independent electroless plating cell.
  • step 1202a seed layer deposition may take place in any one of four processing stations: 202, 204, 206, or 208 and in step 1202b, the electroless gap fill deposition may take place in either processing station 210 or 212. Further, if the substrate has been pre-treated with a catalytic layer in processing station 235 prior to wet processing, processing stations 202, 204, 206, or 208 may act as independent electroless plating cells.
  • an IBC process may be performed on substrates prior to their removal from wet processing platform 213.
  • step 1203 may be performed as described above in either step 1203b or 1203c, depending on the configuration of wet processing platform 213. Either the electroless twin cells or the SRD chambers will need to have the capability of performing an IBC process incorporated into them.
  • steps 1204 and 1205 the substrate is given a final rinse, dried, and transferred out of wet processing platform 213.
  • This embodiment of the invention allows sequential deposition of an electroless seed layer on a substrate and electroless gap fill of the interconnect features on the substrate, followed by in situ bevel clean of the substrate prior to removal from the wet processing platform.
  • a barrier layer may be deposited on the substrate immediately prior to wet processing, improving adhesion of the subsequent metal layers. The process of sequential deposition minimizes both the amount and variation of oxidation of the seed layer prior to gap fill over the prior art. Additionally, only a single processing platform is required to complete three deposition steps on a substrate structure, reducing system cost and fabrication facility cost.
  • a third substrate processing sequence for this embodiment of the invention includes performing an intermediary spin-rinse-dry process on substrates after processing in the first processing station of an electroless twin cell and before processing in the second processing station.
  • This processing sequence may be beneficial for electroless plating chemistries for which a completely clean and dry substrate is preferred for the second electroless plating process.
  • This substrate processing sequence is illustrated in Figure 12E. Steps 1200, 1201 , 1203, and 1204 are identical to the steps described in Figures 12A, 12B, and 12C.
  • step 1202c follows step 1201 (i.e., the substrate is transferred into wet processing platform 213).
  • the substrate is transferred to the first processing station of an electroless twin cell, e.g. processing station 202, 206, or 210, and and an electroless process is performed therein.
  • the process performed on the substrate may be a complete electroless deposition process or some combination of the initial steps thereof, e.g. preparatory clean, activation, and post-activation clean for selective deposition, or catalytic layer deposition for non-selective deposition.
  • step 1202d the substrate is transferred to an SRD chamber, such as SRD 400, wherein the substrate is rinsed and/or dried via the SRD process described in conjunction with Figure 4.
  • SRD chamber such as SRD 400
  • step 1202e the substrate is transferred to the second processing station of the electroless twin cell, e.g., processing station 204, 208, or 212, and is processed therein.
  • the process performed on the substrate may be the completion of the electroless deposition process already begun on the substrate, or, if a first metal layer was deposited in step 1202c, a second metal layer may be deposited via electroless plating.
  • the final deposition step, i.e. 1202e may also include performing the IBC process on the substrate via the IBC process described in conjunction with Figure
  • steps 1204 and 1205 the substrate is given a final rinse, dried, and transferred out of wet processing platform 213.
  • the step 1204 may include both the IBC and SRD processes.
  • Embodiments of the invention include the incorporation of multiple substrate processing chambers onto a single cluster tool, including electroless, SRD and ALD or CVD chambers. Examples of these chambers and the processes performed on substrates therein have been described previously.
  • the cluster tool 200 includes an electroless plating chamber, a brush box substrate clean chamber and a spin-rinse drying chamber.
  • This configuration allows deposition of capping layers on high density interconnect features with low defects, because it remove loose metallic particles formed on the substrate surface during electroless deposition.
  • Other applications include deposition of an electroless seed layer deposition of electroless gap fill.
  • processing station 214 acts as the interface between the wet processing platform 213 and the generally dry processing stations or factory interface 230 of the plating cluster tool 200.
  • the SRD chamber for wet processing platform 213 and an in-station 972 are located at processing station 214, as shown in Figure 11 A.
  • the in-station 972 may be located either above or below the SRD chamber.
  • processing station 216 is configured as a brush box 216a for post-deposition cleaning of substrates (see Figure 11A). Brush box 216a may be configured to accept substrates that are oriented either horizontally or vertically.
  • processing stations 202 and 204 comprise an electroless plating twin cell
  • processing stations 206 and 208 comprise a second electroless plating twin cell
  • processing stations 210 and 212 comprise a third electroless plating twin cell.
  • These configurations for the pairs of processing stations 202/204, 206/208, and 210/212 may be rearranged without affecting the functionality of the invention and are defined above only for purposes of description.
  • Each electroless twin cell is contained by a processing enclosure 302.
  • Each twin cell also includes a substrate transfer shuttle (not shown for clarity) for substrate transfers between the first and second processing stations inside each processing enclosure 302.
  • each electroless plating twin cell may also includes the functionality of an IBC chamber, i.e.
  • wet processing platform 213 may be used to deposit an electroless capping layer on interconnect features, process substrate structures with electroless seed layer deposition, fill interconnect features with electroless gap fill deposition, or to deposit both seed layer and gap fill on a substrate sequentially.
  • dry side processing station 235 may be configured as an ALD or CVD chamber for the deposition of a barrier layer and/or catalytic layer prior to wet processing.
  • a pre-deposition dry etch chamber positioned at processing station 235a may also be included in factory interface 230 for the removal of native oxide from the substrate (see Figure 11).
  • a typical substrate processing sequence 1300 for this embodiment of the invention is detailed in the flow chart illustrated in Figure 13.
  • step 1301 one or more electroless deposition steps may be completed on the substrate.
  • Any of the substrate processing sequences detailed in Figures 12A, 12B, or 12C, 12D, or 12E may be used to complete electroless deposition for this embodiment of the invention, i.e., steps 1201 and 1202, or steps 1201 , 1202a, and 1202b, or steps 1201 , 1202c, 1202d, and 1202e.
  • steps 1201 and 1202 or steps 1201 , 1202a, and 1202b, or steps 1201 , 1202c, 1202d, and 1202e.
  • a brush box substrate clean is first performed.
  • the final deposition step i.e., 1202, 1202b, or 1202e, may also include performing the IBC process on the substrate as described above.
  • step 1302 main frame robot 220 transfers the substrate from an electroless plating cell to brush box 216a, wherein a substrate surface brush clean process, described below in conjunction with Figure 8A, is performed to remove any unwanted surface contamination, for example the enlarged metallic particles 104b depicted in Figure 1 B.
  • step 1303 the substrate is transferred to the SRD chamber and the final rinse and dry process is performed via the SRD process described in conjunction with Figure 4.
  • step 1304 the substrate is transferred out of wet processing platform 213 from the SRD.
  • the incorporation of a brush box chamber on wet processing platform 213 makes possible the formation of low-defect capping layers on interconnect features.
  • a brush box chamber is used for post-deposition clean of substrates prior to their removal from the wet processing platform.
  • Brush box chambers are generally used to remove residual contaminants from the surface of a substrate after the CMP process.
  • Brush box chambers conventionally clean or scrub residue substrate surfaces via mechanical scrubbing devices, which may employ polyvinyl acetate (PVA) brushes, brushes made from other porous or sponge-like material, or brushes made with nylon bristles, etc.
  • configurations of cluster tool 200 may also use brush box chambers for the removal of loosely bound metallic contamination that has formed on the surface of a substrate during the electroless deposition process, such as the enlarged metallic particles 104b (shown in Figure 1 B). This procedure can greatly reduce defects associated with the electroless deposition of capping layers on interconnect features.
  • brush box chambers clean a vertically-oriented substrate by lowering the substrate between cylindrical, rotating brushes.
  • the substrate itself may also be rotated by means of powered rollers on which the substrate rests.
  • Liquid cleaning solutions are applied to the substrate by spray nozzles and/or through the scrubber brushes.
  • FIG 8A is a side perspective view of an exemplary brush box scrubbing device, hereinafter referred to as scrubbing device 11 , that may be used in embodiments of the invention.
  • the scrubbing device 11 comprises a pair of PVA brushes 13a and 13b.
  • Each brush comprises a plurality of raised nodules, hereinafter referred to as nodules 15, across the surface thereof, and a plurality of valleys 17 located among the nodules 15.
  • the PVA brushes 13a and 13b are supported by a pivotal mounting (represented generally by reference number 18) adapted to move the PVA brushes 13a and 13b into and out of contact with the substrate W1 supported by the substrate support 19, thus allowing the PVA brushes 13a and 13b to move between closed and open positions so as to allow a substrate W1 to be extracted from and inserted therebetween as described below.
  • the scrubbing device 11 also comprises a substrate support 19 adapted to support and further adapted to rotate a substrate W1.
  • the substrate support 19 may comprise a plurality of rollers 19a-c each having a groove adapted to support the substrate W1 vertically.
  • a first motor M1 is coupled to the PVA brushes 13a and 13b and adapted to rotate the PVA brushes 13a and 13b.
  • a second motor M2 is coupled to the substrate support rollers 19a-c and adapted to rotate the rollers 19a-c.
  • the scrubbing device 11 may further comprise a plurality of spray nozzles 21 coupled to a source 23 of fluid via a supply pipe 25.
  • the spray nozzles 21 may be positioned to spray a fluid [e.g., Dl water, SC1 , dilute hydrofluoric acid, or any other liquid solution used for cleaning) at the surfaces of the substrate W1 or at the PVA brushes 13a and 13b during substrate scrubbing.
  • fluid may be supplied through the PVA brushes 13a and 13b themselves as is conventionally known.
  • a substrate W1 may be positioned onto the substrate support 19, for example by substrate edge gripper device 971 , described below in conjunction with Figure 9A.
  • PVA brushes 13a and 13b may be positioned apart to allow a substrate W1 to be positioned onto the substrate support 19. Once substrate W1 is resting on the substrate support rollers 19 a-c of substrate support 19, substrate support rollers 19 PVA brushes 13a and 13b are rotated at a rate that rotates substrate W1 between about 20 rpm and about 200 rpm.
  • PVA brushes 13a and 13b are rotated at a rate between about 120 rpm and 400 rpm and are moved into contact with substrate W1.
  • a spray fluid described above, is then applied to the substrate either via spray nozzles 21 , through PVA brushes 13a and 13b, or both, for between about 30 seconds and about 200 seconds while PVA brushes 13a and 13b continue to scrub the surface of substrate W1.
  • the substrate W1 is cleaned by the frictional and drag forces generated between the rotating PVA brushes 13a and 13b, and by the cleaning/rinsing action of the fluid.
  • PVA brushes 13a and 13b are then positioned away from substrate W1 and substrate support rollers t9 a-c stop rotating to allow removal of substrate W1 from the brush box chamber.
  • a substrate-handling robot such as substrate edge gripper device 971 , then removes substrate W1 from the brush box chamber.
  • One embodiment of the wet processing platform 213, illustrated in Figure 2 and 2A generally includes an electroless plating chamber, a substrate bevel clean chamber, and a spin-rinse drying chamber.
  • this embodiment may also include ALD barrier layer deposition prior to wet processing.
  • This configuration may be used to process substrate structures with barrier layer deposition and electroless seed layer deposition and/or seed layer repair, fill high aspect ratio interconnect features with electroless gap fill deposition, or deposit both seed layer and gap fill on a substrate sequentially.
  • Advantages in substrate processing throughput may also be realized due to the use of dedicated SRD and IBC chambers. This is because the IBC chamber is typically a throughput bottleneck and this configuration provides two IBC chambers.
  • processing stations 214 and 216 may be configured as an interface between the wet processing platform 213 and the generally dry processing stations or factory interface 230 of the cluster tool 200. As such, substrates are introduced into wet processing platform 213 by being placed in an in-station 972 while waiting for wet processing.
  • the in-station 972 is typically located above or below processing stations 214 and 216, as shown in Figure 2A.
  • processing stations 214 and 216 each include an SRD chamber which performs the final wet processing steps on a substrate before the substrate leaves wet processing platform 213.
  • processing station 235 may be configured as an ALD or CVD chamber for the deposition of a barrier layer and/or catalytic layer prior to wet processing.
  • the catalytic layer so formed is a ruthenium-containing layer deposited without the use of carbon-containing precursors.
  • Processing stations 202 and 204 comprise an electroless plating twin cell configured for seed layer deposition or repair
  • processing stations 210 and 212 comprise a electroless plating twin cell configured for gap fill deposition
  • processing stations 206 and 208 are standard IBC chambers. These configurations for the pairs of processing stations 202/204, 206/208, and 210/212 may be rearranged without affecting the functionality of the invention and are defined above only for purposes of description.
  • Each electroless twin cell is contained by a processing enclosure 302.
  • Each twin cell may also include an internal substrate transfer shuttle 605 for transferring substrates between the first and second processing stations inside each processing enclosure 302.
  • This configuration of wet processing platform 213 is typically used to sequentially process substrate structures with barrier layer and electroless seed layer deposition and/or seed layer repair followed by electroless gap fill. Sequential deposition minimizes both the amount and variation of oxidation of the seed layer prior to gap fill over the prior art. Electroless gap fill has the added benefit of being capable of filling high aspect ratio features. Additionally, only a single processing platform is required to complete three deposition steps on a substrate structure. Further, because the most time-consuming process, i.e., the IBC process, is performed by dedicated IBC chambers and is not incorporated into either the SRD chambers or the electroless plating twin cells, throughput may be increased for the deposition of some films.
  • factory interface robot 232 places a substrate at the in-station 972 associated with processing stations 214 or 216.
  • step 1502 mainframe robot 220 transfers the substrate to processing station 202 for seed layer deposition.
  • step 1503 mainframe robot 220 transfers the substrate to processing station 210 for electroless gap fill of interconnect features. All electroless deposition processes necessary for seed layer deposition take place in the twin cell located at processing stations 202/204 and all electroless deposition processes necessary for gap fill take place in twin cell located at processing stations 210/212.
  • the substrate is transferred between processing stations 202 and 204 or 210 and 212 via internal substrate transfer shuttle 605 as necessary.
  • the reducing layer and catalytic layer formation steps are performed in the first processing station of the seed layer twin cell, i.e. processing station 202 via the reducing layer and catalytic layer formation processes described above in conjunction with Figure 14.
  • the electroless plating step is performed in the second processing station, i.e.
  • step 1502 includes transferring the substrate from one of the in-stations to either processing station 202 or 204 for seed layer deposition/repair and step 1503 includes transferring the substrate to either processing station 210 or 212 for gap fill deposition.
  • step 1504 upon completion of gap fill deposition, the substrate is transferred to the IBC chamber positioned at processing stations 206 or 208 for removal of unwanted deposition on the substrate edge and bevel via the IBC process described in conjunction with Figure 3.
  • step 1505 mainframe robot 220 transfers the substrate to SRD chamber positioned at processing station 214 or 216 for final rinsing and drying via the SRD process described in conjunction with Figure 4.
  • step 1506 after the SRD process is complete, factory interface robot 232 removes the substrate from the SRD and the wet processing platform 213.
  • exemplary wet processing platform 213 generally includes electroless plating, brush box substrate clean and vapor drying chambers, also known as solvent drying chambers.
  • This configuration of cluster tool 200 may be used to deposit capping layers on interconnect features.
  • This embodiment may also process substrate structures with electroless seed layer deposition, fill interconnect features with electroless gap fill deposition, or deposit both seed layer and gap fill sequentially.
  • the dry side processing station 235 may be configured as an ALD/CVD pre-treatment chamber for deposition of a barrier layer and/or catalytic layer.
  • the catalytic layer so formed is a ruthenium- containing layer deposited without the use of carbon-containing precursors.
  • a plasma-enhanced dry etch is performed on the substrate in a chamber positioned in processing station 235a inside factory interface 230 prior to deposition.
  • This embodiment of the invention allows the formation of capping layers over interconnect features without the defects caused by watermarks, which are created during a conventional spin-rinse-dry process. Also, capping layers formed with this embodiment of the invention are much less likely to include leakage paths between the capped interconnects due to the post-deposition brush box cleaning process.
  • This configuration of cluster tool 200 may also be used to sequentially process substrate structures with electroless seed layer deposition followed by electroless gap fill. Sequential deposition minimizes both the amount and variation of oxidation of the seed layer prior to gap fill. Electroless gap fill has the added benefit of being capable of filling high aspect ratio features using the process method described above in Step 504 and in conjunction with Figures 7 and 8. Additionally, only a single processing platform is required to complete two deposition steps on a substrate structure. Further, this embodiment of the invention removes most surface particles from substrates and eliminates watermark-related defects caused by SRD chambers when rinsing hydrophobic substrates.
  • processing station 214 may act as the interface between the wet processing platform 213 and the generally dry processing stations or factory interface 230 of the cluster tool 200.
  • the vapor dryer chamber for wet processing platform 213 and an in-station 972 are located at processing station 214.
  • the in-station 972 (shown in Figure 9A) may be located above the vapor dryer chamber and holds substrates for future wet processing (as shown in Figure 11).
  • the vapor dryer performs the final wet processing step on substrates processed by wet processing platform 213 and includes a substrate platform that serves as a holding location for clean, dry substrates which are subsequently removed from wet processing platform 213.
  • Processing station 216 is configured as a brush box chamber for post-deposition cleaning of substrates.
  • processing station 216 may be configured to accept substrates that are oriented either horizontally or vertically.
  • processing stations 202 and 204 comprise an electroless plating twin cell
  • processing stations 206 and 208 comprise a second electroless plating twin cell
  • processing stations 210 and 212 comprise a third electroless plating twin cell.
  • the brush box 216a and vapor dryer 216b are configured together at processing station 216, as shown in Figure 11 A.
  • In-station 972 is still located in processing station 214.
  • the substrate is transferred to the vapor dryer substrate platform located in factory interface 230, as shown in Figure 11 A.
  • One embodiment of the invention may be used wherein the vapor dryer and brush box are not located at processing stations 214 and 216 respectively, but are both located at processing stations 202/204 or 210/212.
  • the vapor dryer and brush box are more serviceable in this embodiment due to the improved access from the side of wet processing platform 213.
  • Step 1602 is similar to step 1202 described above in conjunction with Figures 12A-C, except that selective electroless deposition is performed on the substrate, i.e., the formation of a capping layer on exposed interconnect features.
  • the selective electroless plating step 1602 may also include additional vapor drying steps, wherein the substrate is removed from the electroless plating cell by mainframe robot 220, transferred to vapor dryer positioned at processing station 214 and processed therein, and returned via mainframe robot 220 to the appropriate electroless cell for completion of the electroless plating process.
  • additional vapor drying steps may occur prior to the preparatory clean step, as described above in Step 501 , of the electroless plating process.
  • step 1603 upon completion of capping layer deposition, the substrate is transferred to the brush box 216a or to a brush box chamber located at processing station 216 to remove any unwanted contamination from the surface of the substrate.
  • the brush box process is described above in conjunction with the brush box chamber description and Figure 8A.
  • step 1604 after the brush box substrate clean is complete, the substrate is transferred to vapor dryer 214a or to a vapor dryer positioned at processing station 214 for the final vapor dry process, which is described below in conjunction with the vapor dryer chamber description.
  • factory interface robot 232 removes the substrate from the vapor dryer substrate platform and the wet processing platform 213.
  • each substrate is processed by more than one twin electroless cell.
  • one or two of the electroless twin cells are dedicated to seed layer deposition and the remaining electroless twin cell or cells is/are dedicated to gap fill deposition.
  • twin cells positioned at processing stations 202/204 and 206/208 may be configured for seed layer deposition and twin cell positioned at processing stations 210/212 may be configured for gap fill deposition.
  • Steps 1200, 1201 , 1202a, and 1202b are identical to steps 1200, 1201 , 1202a, and 1202b in Figure 12B and described above.
  • the electroless plating step 1202 may also include additional vapor drying steps, wherein the substrate is removed from the electroless plating cell by mainframe robot 220, transferred to vapor dryer positioned at processing station 214 and processed therein, and returned via mainframe robot 220 to the appropriate electroless cell for completion of the electroless plating process.
  • Step 501 in conjunction with Figures 7 and 8.
  • Steps 1603, 1604, and 1605 in Figure 17 are identical to steps 1603, 1604, and 1605 in Figure 16 and described above.
  • the cluster tool must also be configured with IBC capability incorporated into the electroless plating twin cells, allowing the necessary post-deposition cleaning of substrates prior to removal from the wet processing platform.
  • Embodiments of the invention include the incorporation of multiple substrate processing chambers onto a single cluster tool, including electroless, brush box, vapor dryer and ALD or CVD chambers. Examples of most of these chambers and the processes performed on substrates therein have been described previously. A general description of vapor dryer chambers and vertical substrate handling is provided below. a) Vapor Dryer Chamber
  • Vapor drying is typically performed after completing a metal deposition process, e.g., the electroless capping layer process, to prevent watermarks and to remove any residue on the substrate from prior processes.
  • Vapor drying may also be used in lieu of a final spin-rinse-dry prior to removing a substrate from a wet processing platform.
  • Vapor drying includes introducing a surface tension-reducing volatile compound, such as a volatile organic compound (VOC), to the substrate structure.
  • a VOC may be introduced with a carrier gas (e.g., nitrogen gas) in the vicinity of the liquid adhering to a substrate structure.
  • IPA isopropyl alcohol
  • the VOC may be other alcohols, ketones, ethers, or other suitable compounds.
  • FIG. 9 is a schematic side view of one embodiment of a vapor drying apparatus 911 illustrating a progression of a substrate W 0 through the vapor drying apparatus 911.
  • the progression of the substrate (W 0 , W 1 W", W", and W”") is illustrated by showing the substrate at different positions (W 0 , W, W", W", and W"") as it passes through the vapor drying apparatus 911.
  • the vapor drying apparatus 911 includes a submersion chamber 918 and an upper separation wall 924 that separates a rinsing section 926 from a drying section 928.
  • a robot capable of holding a substrate vertically loads a substrate W° into the rinsing section 926 via a load port 934.
  • Nozzles 930 and 932 spray Dl water onto both sides of the substrate W 0 to remove contaminates therefrom.
  • fluid 927 such as Dl water or a cleaning solution may be continuously supplied, for example, to the lower portion of the submersion chamber 918 so that fluid continuously overflows to an overflow weir 920 surrounding the submersion chamber 918.
  • the running beam robot releases the substrate W which is received onto a cradle 936, and then retracts from the rinsing section 926 to its home position (not shown), above the load port 934.
  • An optical sensor detects the presence of the substrate W on the cradle 936, and signals an actuator to actuate a linkage system that causes the cradle 936 to rotate from a vertical position to an inclined position ⁇ e.g., 9°), for subsequent elevation through the drying section 928.
  • a pusher 944 the substrate W" is lifted towards an unload port 937.
  • a pair of spray mechanisms 950 spray an IPA vapor and nitrogen mixture at the meniscus that forms on both sides of the substrate W".
  • the specific angle of the flow of the IPA and nitrogen mixture may vary depending upon the type of material on the substrate to be dried.
  • the substrate platform 958 rotates to its horizontal position, also known as the output position, where a substrate handling robot (not shown in Figure 9, but for example could be factory interface robot 232, Figure 2) may remove the substrate W"" from substrate platform 958.
  • the substrate platform 958 then returns to its vertical position ready to receive the next processed substrate when it is elevated from the drying section 928.
  • vapor drying the substrate structure before and/or after depositing a capping layer by selective electroless deposition assists in the removal of contaminants and other residue from prior processing steps.
  • contaminants may cause, for example, watermarks and other surface defects.
  • the residual compounds are difficult to remove with aqueous solutions from the low-k dielectric portion of the substrate structure since the low-k dielectric portion is a hydrophobic surface.
  • Vapor drying with a volatile organic compound aids in removing contaminants from these surfaces along with any residual water — an important step in preventing electroless deposition of capping material on unwanted regions of substrate structures.
  • vapor drying may be used in conjunction with other deposition processes unrelated to capping layers in order to minimize watermarks and other residues and to speed drying time.
  • a vertically-oriented vapor dryer may also perform other wet processes on substrates, such as an SC-1 clean for removing organic contaminants or an HF-based native oxide clean, described below in conjunction with Figures 21 A-F.
  • Embodiments of the invention require transfer of substrates from a conventional, horizontal substrate transfer robot, i.e., mainframe robot 220, to processing chambers that typically require vertical orientation of the substrate, such as a brush box chamber and/or a vapor dryer chamber.
  • a conventional, horizontal substrate transfer robot i.e., mainframe robot 220
  • processing chambers typically require vertical orientation of the substrate, such as a brush box chamber and/or a vapor dryer chamber.
  • Figure 9A illustrates the apparatus required for substrate transfer into a brush box chamber, from a brush box chamber into a vapor dryer chamber, and from a vapor dryer out of wet processing platform 213.
  • Brush box chamber 975 and vapor dryer 974 are shown in processing stations 216 and 214, respectively, on wet processing platform 213.
  • In-station 972 is shown configured above vapor dryer 974.
  • Running beam 250 and flipper robot 251 are shown in Figure 9A and also in Figure 11.
  • Transfer of a substrate from horizontal transfer robot, such as mainframe robot 220, into a brush box chamber requires rotation of the substrate to a vertical orientation and a downward vertical motion into the brush box chamber.
  • a conventional horizontal transfer robot (not shown in Figure 9A) that is also configured for rotating substrates to vertical orientation in conjunction with a brush box chamber that includes the added capability of transferring substrates downward into the brush box chamber.
  • This requires a brush box chamber with a dedicated robot (not shown) for inserting substrates into brush box chamber 975.
  • the substrate is rotated to vertical either by the horizontal transfer robot or by flipper robot 251.
  • the substrate may be placed in a vertical substrate holding station, also known as a crescent (not shown).
  • the horizontal transfer robot hands off a horizontal substrate to flipper robot 251 , which then rotates the substrate into vertical orientation.
  • Running beam 250 which is disposed directly over flipper robot 251 , brush box chamber 975, and vapor dryer 974, is used for vertical transfers of the substrate into and out of brush box chamber 975 and into vapor dryer 974 (See Figure 9A).
  • the substrate may be located on flipper robot 251 or in a vertical holding station (not shown).
  • Vertical beam 970 moves along running beam rail 976 until directly over the substrate.
  • the substrate 973b is removed by substrate edge gripper device 971 , which may move vertically along vertical beam 970.
  • substrate edge gripper device 971 transfers the substrate 973c vertically downward into brush box chamber 975.
  • substrate edge gripper device 971 removes substrate 973b vertically from brush box chamber 975.
  • Vertical beam 970 then moves along running beam rail 976 until directly over vapor dryer 974.
  • Substrate edge gripper device 971 transfers the substrate vertically downward into vapor dryer 974.
  • substrate 973d is held on substrate platform 958 (shown more clearly in Figure 9A and in Figure 2A) where it awaits transfer out of wet processing platform 213 by factory interface robot 232 (see Figure 11).
  • step 9001 electroless deposition is complete and the mainframe robot 220 holds a substrate horizontally.
  • step 9002 the mainframe robot rotates the substrate to vertical and transfers the substrate to a brush box robot (not shown), which then lowers the substrate into brush box chamber 975.
  • step 9003 mainframe robot 220 rotates the substrate and transfers it to a vertical holding station.
  • step 9004 mainframe robot 220 does not rotate the substrate to vertical and instead hands off the substrate to flipper robot 251 , which rotates the substrate to vertical.
  • step 9005 running beam 250 transfers the substrate to brush box chamber 975.
  • step 9006 running beam 250 transfers the substrate to vapor dryer 974.
  • step 9007 the substrate is transferred from vapor dryer 974 out of the wet processing platform 213.
  • exemplary cluster tool 200 is configured with oxide removal, electroless plating and anneal chambers.
  • An optional configuration may include an acid strip chamber as well.
  • This configuration of cluster tool 200 may be used to form a high quality, contact level connection to devices formed on a silicon-based substrate with an electroless suicide process.
  • Exposed silicon-based materials that may be processed thereby include single crystal silicon, polysilicon, single crystal silicon- germanium, and polycrystalline silicon-germanium.
  • Devices that may benefit from the electroless suicide process provided herein include transistors, memory elements, solar cell contacts and silicon contacts.
  • Figures 21 A-E are schematic cross-sectional views of a silicon contact 2150 illustrating a process of forming a suicide thereon using the inventive apparatus and method.
  • silicon contact 2150 is formed in a dielectric layer 2152, wherein dielectric layer 2152 is formed on a substrate 2153.
  • Silicon contact 2150 may be formed in dielectric layer 2152 using conventional lithography and etching techniques to expose a portion of the surface of substrate 2153.
  • Substrate 2153 may composed of any of a number of conducting or semi-conducting, silicon- based materials, including single-crystal silicon, single-crystal silicon-germanium containing up to 50% atomic concentration germanium, polysilicon, and polysilicon- germanium.
  • substrate 2153 is a single-crystal silicon substrate.
  • a native oxide layer 2151 fills the bottom of silicon contact 2150 due to exposure of the silicon-based material of substrate 2153 to air via silicon contact 2150.
  • Other contaminants, such as thin layers of organic contaminants, may also be present on the surface of native oxide layer 2151 , but for clarity are not illustrated.
  • organic contaminants on the surface of native oxide layer 2151 may be removed by the application of a supercritical fluid to the substrate in a supercritical clean chamber positioned on cluster tool 200, such as supercritical clean chamber 2100.
  • native oxide 2151 may be removed in a wet clean chamber by an SC-1 cleaning process, also known as the RCA-1 clean.
  • the SC-1 process is a wet cleaning decontamination process based on sequential oxidative desorption and complexing with H 2 O 2 , NH 4 OH, and water.
  • the SC-1 cleaning chemistry and procedure are known in the art and easily implemented on any of the wet processing chambers previously described herein, including SRD, IBC, electroless deposition, and vapor dryer chambers. These chambers are described above in conjunction with Figures 4, 3, 7, and 9, respectively.
  • FIG. 21 B illustrates silicon contact 2150 after native oxide layer 2151 has been removed, leaving a silicon surface 2154 that is oxide-free.
  • native oxide layer 2151 is removed by an HF-based wet cleaning process, known as an HF last, or HFL process.
  • the HF last process is a silicon surface preparation sequence in which HF etching of native oxide is performed at the end of the sequence leaving a silicon surface 2154 that is hydrogen-terminated (i.e., covered with a silicon-hydride mono-layer).
  • the HF last process is known in the art and may be implemented in a horizontally-oriented wet processing chamber, such as an IBC chamber (described above in conjunction with Figure 3) or an electroless deposition chamber (described above in conjunction with Figure 7).
  • the HF last process may also be carried out in a vertically aligned wet clean chamber, such as a vapor dryer chamber.
  • native oxide layer 2151 is selectively removed with little or no etching damage occurring to dielectric layer 2152.
  • a silicon hydride layer is formed by exposing native oxide layer 2151 to a solution containing an acid fluoride solution and an additive, such as ethanolamine (NH 2 (CH 2 ⁇ OH, also known as EA), diethanolamine (C 4 Hi 1 NO 2 , also known as DEA), or triethanolamine (C 4 H 5 HO 3 , also known as TEA).
  • EA ethanolamine
  • C 4 Hi 1 NO 2 also known as DEA
  • TEA triethanolamine
  • one or more of these additives will tend to interact with the fluoride ions so that they become partially complexed and comparatively less active towards higher density silicon oxides, silicate, or silicon-containing materials on substrate 2153, such as dielectric layer 2152.
  • cleaning solution may be formed by mixing an aqueous solution containing a 1 :1 solution of DEA and concentrated HF, having an adjusted pH of between about 4 and about 4.5.
  • aqueous solution containing a 1 :1 solution of DEA and concentrated HF having an adjusted pH of between about 4 and about 4.5.
  • a plasma-assisted dry etch process is used, as described above in conjunction with Figure 20.
  • the plasma-assisted dry etch process described above does not include a final substrate anneal step to sublimate the thin film of (NH 4 ) 2 SiF 6 into volatile SiF 4 , NH 3 , and HF products. Instead, the thin film of (NH 4 ) 2 SiF 6 is left on the surface of substrate 2153 which, upon contact with water, breaks down into a dilute HF solution, leaving a silicon hydride layer on silicon surface 2154.
  • a cobalt and/or nickel layer is deposited onto silicon surface 2154 by a selective electroless deposition process as described above in conjunction with Figure 5C.
  • Figure 21 C illustrates silicon contact 2150 after a metallic layer 2156 has been deposited therein.
  • Metallic layer 2156 may consist of cobalt, nickel, or a combination thereof. It is important to note that a native oxide layer 2151 will quickly reform on silicon surface 2154 if silicon surface 2154 is exposed to air or other oxygen-containing gases.
  • native oxide layer 2151 may be reformed in a matter of minutes or hours, therefore it is important to avoid exposure of silicon surface 2154 to oxygen, or, if such exposure is unavoidable, to limit the exposure time to a few minutes or seconds.
  • the selective electroless deposition process is generally a low-temperature, liquid phase reaction that deposits thin films of metal onto a hydride surface at ambient pressure and low temperature.
  • the desired metal such as cobalt or nickel, is selectively deposited onto silicon surface 2154 from a deposition solution since the film growth process involves a chemical reaction with a hydride surface bond, which is only present on silicon surface 2154.
  • the silylation reaction involves a solution phase-delivered metal complex that inserts itself between the silicon and hydrogen in the Si-H bond, creating two new bonds to the metal center and thereby increasing the oxidation state of the metal by two electrons. Therefore the deposited metal film is chemically bonded to the silicon surface.
  • Exemplary solvents for the deposition solution may include acetonitrile or propylene glycol monomethyl ether.
  • the complexed metal component(s) of the deposition solution is selected so that it will react with a silicon hydride bond.
  • Exemplary metal complexes include cobalt tetracarbonyl, nickel dicyclooctadiene, and tungsten carbonyl.
  • a rinsing and drying process may follow the electroless deposition process.
  • a final drying process is carried out with a vapor dryer, as described above in conjunction with Figure 9, to limit oxidation of the newly formed metal layer.
  • formation of a first stage suicide described below in conjunction with Figure 21 D, should be performed as quickly as possible thereafter.
  • Exposure of substrate 2153 to oxygen may be further limited by performing the electroless deposition and the first stage suicide formation in an oxygen-free atmosphere. Optimally, substrate 2153 is not exposed to oxygen between electroless deposition and suicide formation.
  • a self-aligned, first stage suicide is formed by an anneal process, as illustrated in Figure 21 D.
  • silicon contact 2150 is annealed to a sufficient temperature, atoms from metal layer 2156 diffuse into substrate 2153, forming a second stage suicide region 2157 and leaving an excess metal layer 2156A.
  • metal layer 2156 is a nickel layer
  • annealing substrate 2153 at about 350°C generates a Ni 2 Si region in substrate 2153 adjacent metal layer 2156.
  • metal layer 2156 is a cobalt layer
  • annealing substrate 2153 at about 450 0 C generates a CoSi region in substrate 2153 adjacent metal layer 2156.
  • an acid strip may be performed on substrate 2153 to remove excess metal layer 2156A.
  • the acid strip process is well known in the art and may be implemented in a horizontally-oriented wet processing chamber, such as an IBC chamber or an electroless deposition chamber.
  • Figure 21 E illustrates silicon contact 2150 after an acid strip process has removed an excess metal layer therefrom.
  • the second stage anneal temperature is relatively- low, i.e., about 450°C to about 550°C, allowing a second stage anneal to be performed on cluster tool 200.
  • Nickel is one such metal.
  • a second stage anneal of substrate 2153 forms a second stage suicide region 2157A, which in the case of nickel, consists of NiSi.
  • cluster tool 200 is configured generally the same as the electroless deposition platform with brush box and vapor dryer, described above in conjunction with Figure 11 , except that no processing station is configured as a brush box.
  • wet processing chambers are preferably positioned on wet processing platform 213 and dry processing stations are preferably positioned in factory interface 230 of the cluster tool 200.
  • wet processing chambers may include a combined SC-1 and native oxide wet clean chamber, an electroless deposition chamber, an SRD or vapor dryer chamber, and in some configurations an acid strip chamber.
  • Dry processing chambers may include a plasma-assisted dry etch chamber for native oxide removal, a supercritical clean chamber, and an anneal chamber.
  • a vertically oriented vapor dryer chamber i.e., a "dip tank” style solvent dry chamber, is a preferred chamber for rinsing and drying substrates after electroless deposition since oxidation of freshly deposited metal layers is minimized thereby.
  • An exemplary vapor dryer that may be adapted for this configuration is described above in conjunction with Figure 9.
  • a dip tank style chamber performing SC-1 clean and native oxide wet clean is paired with an electroless deposition chamber inside an environmentally controlled enclosure, such as processing enclosure 302, described above in conjunction with Figure 6.
  • a substrate may undergo electroless deposition immediately after removal of native oxide from a silicon-based surface on said substrate.
  • processing enclosure 302 may contain processing stations 210, 212 configured as a wet clean chamber (for a combined SC-1 clean and native oxide wet clean) and as an electroless deposition chamber, respectively.
  • native oxide is removed from a substrate in a plasma- assisted dry etch chamber.
  • the plasma-assisted dry etch chamber is positioned in factory interface 230. Because configuring factory interface 230 to maintain an oxygen-free environment is problematic, some exposure to oxygen may take place after the native oxide removal process when the plasma-assisted dry etch chamber is positioned in factory interface 230. But because the staging of substrates between the dry etch chamber and an electroless deposition chamber iinay be controlled so that queue time in air is limited to a matter of seconds, re-oxidation of substrates is minimized. Further, the duration of oxygen exposure for each substrate processing in cluster tool 200 may be substantially the same, minimizing process variation associated with substrates having significantly different exposure times.
  • a processing station contained in a processing enclosure may be configured as the plasma-assisted dry etch chamber, such as processing station 210 in processing enclosure 302.
  • processing station 212 is configured as an electroless deposition chamber, so that no air exposure is necessary when transferring a substrate between the plasma-assisted dry etch chamber and the electroless deposition chamber.
  • multiple electroless deposition chambers are contained in wet processing platform 213 and are each paired with a native oxide removal chamber inside a processing enclosure.
  • processing stations 202, 204 may make up one such chamber pair, processing stations 206, 208 a second, and processing stations 210, 212 a third.
  • Processing stations 214, 216 are configured as SRD chambers or vapor dryer chambers.
  • a running beam 250 and a flipper robot 251 may be positioned in wet processing platform 213 to enable transferal of substrates between vapor dryer or native oxide clean chambers (configured as vertically oriented dip tanks) and horizontally oriented processing chambers, such as electroless deposition chambers.
  • An exemplary substrate process sequence 2200 for forming a suicide on a silicon contact is detailed in the flow chart illustrated in Figure 22.
  • organic contamination may be removed from the surface of a substrate, such as substrate 2153, illustrated in Figure 21 A.
  • an SC- 1 clean process is used and may be carried out in a horizontally or vertically oriented wet processing chamber positioned on wet processing platform 213, which is illustrated in Figure 11 A.
  • Wet processing chambers capable of this process step include SRD, IBC, electroless deposition, and vapor dryer chambers.
  • organic contamination is removed by the application of a supercritical fluid, wherein the supercritical clean chamber is positioned on cluster tool 200 in factory interface 230.
  • step 2202 native oxide formed on silicon contacts, such as native oxide layer 2151 , is removed from a substrate prior to electroless metal deposition.
  • native oxide is removed by the HF-based wet cleaning process described above in conjunction with Figure 21 B.
  • step 2202 is performed in the same wet processing chamber as step 2201.
  • native oxide removal can take place immediately after organic contamination removal, minimizing the possibility of recontamination between process steps.
  • Such a dual-use cleaning chamber may be a horizontally oriented wet processing chamber, such as an IBC chamber, or it may be a vertically oriented chamber, such as a vapor dryer chamber.
  • native oxide is removed in a plasma-assisted dry etch chamber, which is a separate chamber from the SC-1 clean chamber.
  • a metal layer is selectively deposited on the silicon hydride layer of the oxide-free contact by an electroless process, as described above in conjunction with Figure 21 C.
  • a pre-clean chamber (which is adapted to perform SC-1 and native oxide cleans) is paired with an electroless deposition chamber. Both processing chambers are contained in an environmentally controlled enclosure to prevent oxidation of the silicon contact prior to deposition, allowing formation of a high quality, contact level connection to devices formed on the silicon-based substrate with an electroless suicide process.
  • a pre-clean chamber with an electroless deposition chamber inside an oxygen-free environment eliminates any queue time issues associated with this suicide formation process; in a nitrogen-purged environment, more than 10 minutes are required for significant oxide re-growth.
  • a plasma-assisted dry etch chamber is paired with an electroless deposition chamber in an environmentally controlled enclosure.
  • a plasma-assisted dry etch chamber is not positioned in the same enclosure as the electroless deposition chamber, but is instead located in the factory interface 230. In this aspect, queue time for substrates may be controlled to minimize oxidation.
  • a substrate may be held inside the oxygen-free environment of the plasma-assisted dry etch chamber until an electroless deposition chamber is available.
  • the substrate may be then be transferred directly from one oxygen-free environment to another, minimizing unwanted oxidation by exposing the substrate to air for only a few seconds.
  • a first stage suicide is formed via an anneal process.
  • the anneal process is performed in an anneal chamber, an example of which is described below in conjunction with Figure 23.
  • the anneal chamber may be positioned in factory interface 230 of cluster tool 200, for example in processing stations 235 and/or 235a. Because the anneal process for producing a first stage suicide is relatively time-consuming, multiple anneal chambers may be positioned in factory interface 230 so that system throughput is not reduced. In one example, multiple anneal chambers are vertically stacked in processing station 235. It is important to note that until the first stage suicide is formed, the freshly deposited metal layer is easily oxidized.
  • step 2203 metal deposition
  • step 2204 suicide formation
  • Substrates may be staged in the manner described above in step 2203. For example, if an anneal chamber is not available for processing when a substrate completes step 2203, the substrate may be held in the oxygen-free environment of the electroless deposition chamber until an anneal chamber is available.
  • an acid strip process may remove any excess metal remaining in the silicon contacts of a substrate.
  • Step 2205 may be performed in a number of wet processing chambers contained in cluster tool 200, including IBC and electroless deposition chambers.
  • a dedicated chamber is used for the acid strip process to minimize impact on throughput.
  • a second stage suicide may be formed for some metals in the same anneal chamber used in step 2204 to form the first stage suicide. This is the case for nickel.
  • step 2206 is typically performed on a separate substrate processing system, such as a rapid thermal processing (RTP) system.
  • RTP rapid thermal processing
  • Embodiments of the invention include the incorporation of multiple substrate processing chambers onto a single cluster tool to enable a silcidation process to be performed on source and drain gates with short and controlled queue times and without unwanted oxidation taking place between steps in the silicidation process.
  • Chambers required for the silicidation process include one or more pre-clean chambers (supercritical clean, plasma-assisted dry etch, vapor dryer, or IBC), an electroless deposition chamber, and an anneal chamber.
  • an acid strip chamber may also be included. Examples of most of these chambers and the processes performed on substrates therein have been described previously. A general description of an exemplary anneal chamber is provided below.
  • FIG. 23 illustrates a top perspective view of an exemplary annealing chamber 2399 of the invention with the cover or lid portion of the chamber removed so that the internal components are visible.
  • the annealing chamber 2399 generally includes a chamber body 2301 that defines an enclosed processing volume 2300.
  • the enclosed processing volume 2300 includes a heating plate 2302 and a cooling plate 2304 positioned therein proximate each other.
  • a substrate transfer mechanism 2306 is positioned adjacent the heating and cooling plates and is configured to receive a substrate from outside the processing volume 2300 and transfer the substrate between the respective heating and cooling plates during an annealing process.
  • the substrate transfer mechanism 2306 generally includes pivotally mounted robot assembly having a substrate support member/blade 2308 positioned at a distal end of a pivotal arm of the robot.
  • the blade 2308 includes a plurality of substrate support tabs 2310 that are spaced from the blade 2308 and configured to cooperatively support a substrate thereon.
  • Each of the support tabs 2310 are generally spaced vertically (generally downward) from a main body portion 2308 of the blade, which generates a vertical space between blade 2308 and tabs 2310. This spacing allows for a substrate to be positioned on the tabs 2310 during a substrate loading process.
  • the chamber body 2301 of the annealing chamber which may be manufactured from aluminum, for example, generally defines an interior processing volume 2300.
  • Chamber body 2301 generally includes a plurality of fluid conduits (not shown) formed therethrough, wherein the fluid conduits are configured to circulate a cooling fluid to reduce the temperature of the chamber body 2301.
  • the cooling fluid may be supplied to the fluid conduits formed into the chamber body 2301 and circulated through the chamber body 2301 by cooling fluid connections (not shown)
  • the cooling plate 2304 generally includes a substantially planar upper surface configured to support a substrate thereon.
  • the upper surface includes a plurality of vacuum apertures 2322, which are selectively in fluid communication with a vacuum source (not shown) and may generally be used to generate a reduced pressure in order to secure or vacuum chuck a substrate to the upper surface of cooling plate 2304.
  • the interior portion of the cooling plate may include a plurality of fluid conduits formed therein, wherein the fluid conduits are in fluid communication with the cooling fluid source used to cool the chamber body 2301. The cooling plate may be used to rapidly cool a substrate positioned thereon.
  • the heating plate 2302 in similar fashion to the cooling plate 404, also includes a substantially planar upper substrate support surface.
  • the substrate support surface includes a plurality a vacuum apertures 2322 formed therein, each of the vacuum apertures 2322 being selectively in fluid communication with a vacuum source (not shown)and may be used to vacuum chuck or secure a substrate to the heating plate 2302 for processing.
  • the interior of the heating plate 2302 includes a heating element (not shown), wherein the heating element is configured to heat the surface of the heating plate 2302 to a temperature of between about 100 0 C to about 500 0 C.
  • one or more of the vacuum apertures 2322 may also be in fluid communication with a heated gas supply, and as such, one or more of the apertures may be used to dispense a heated gas onto the backside of the substrate during processing.
  • the annealing chamber may include a pump down aperture 2324 positioned in fluid communication with the processing volume 2300.
  • the pump down aperture 2324 is selectively in fluid communication with a vacuum source (not shown) and is generally configured to evacuate gases from the processing volume 2300.
  • the annealing chamber generally includes at least one gas dispensing port 2326 or gas dispensing showerhead positioned proximate the heating plate 2302.
  • the gas dispensing port is selectively in fluid communication with a processing gas source and is configured to dispense a processing gas into the processing volume 2300.
  • the vacuum pump down aperture 2324 and the gas dispensing nozzle may be utilized cooperatively or separately to minimize ambient gas content in the annealing chamber, i.e., both of the components or one or the other of the components may be used.
  • the external robot blade 2312 may be retracted from the processing volume 2300 and the access door 2314 may be closed to isolate the processing volume 2300 from ambient atmosphere.
  • a vacuum source in communication with the pump down aperture 2324 may be activated and caused to pump a portion of the gases from the processing volume 2300.
  • the gas dispensing port 2326 may be opened to allow the processing gas to flood the processing volume 2300.
  • the process gas is generally an inert gas that is known not to react under the annealing processing conditions.
  • This configuration i.e., the pump down and inert gas flooding process, is generally configured to remove as much of the oxygen from the annealing chamber/processing volume as possible, as the oxygen is known to cause oxidation to the substrate surface during the annealing process.
  • the vacuum source may be terminated and the gas flow stopped when the chamber reaches a predetermined pressure and gas concentration, or alternatively, the vacuum source may remain activated during the annealing process and the gas delivery nozzle may continue to flow the processing gas into the processing volume.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electrochemistry (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Les modes de réalisation de la présente invention fournissent des procédés pour déposer un matériau sur une surface d'un substrat en utilisant un ou plusieurs procédés de dépôt catalytique, de placage électrochimique, CVD, ALD ou tous ceux-ci. Les modes de réalisation de l'invention apportent un procédé pour déposer une couche germe sur un substrat avec un processus catalytique et pour ensuite remplir les fonctions d'interconnexion sur le substrat avec un procédé ECP sur une seule plate-forme de traitement de substrat. D'autres aspects fournissent un procédé pour déposer une couche germe sur un substrat, remplir les fonctions d'interconnexion sur un substrat ou séquentiellement déposer une couche germe et remplir les fonctions d'interconnexion sur le substrat. Un mode de réalisation fournit un procédé pour former une sous-couche sur les interconnexions de substrat. Les modes de réalisation comprennent également un outil de grappe configuré pour déposer un matériau sur une surface d'un substrat en utilisant une ou plusieurs chambres de traitement de dépôt catalytique, de placage électrochimique, CVD, ALD ou tous ceux-ci. Dans un mode de réalisation, une couche catalytique contenant du ruthénium est formée.
PCT/US2006/029137 2005-07-29 2006-07-28 Système de dépôt catalytique intégré WO2007016218A2 (fr)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/192,993 US20060033678A1 (en) 2004-01-26 2005-07-29 Integrated electroless deposition system
US11/192,993 2005-07-29
US11/428,230 US20070111519A1 (en) 2003-10-15 2006-06-30 Integrated electroless deposition system
US11/428,230 2006-06-30

Publications (2)

Publication Number Publication Date
WO2007016218A2 true WO2007016218A2 (fr) 2007-02-08
WO2007016218A3 WO2007016218A3 (fr) 2009-04-30

Family

ID=37709161

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/029137 WO2007016218A2 (fr) 2005-07-29 2006-07-28 Système de dépôt catalytique intégré

Country Status (2)

Country Link
TW (1) TWI374951B (fr)
WO (1) WO2007016218A2 (fr)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5295719B2 (ja) * 2008-10-31 2013-09-18 シャープ株式会社 メッキ処理装置
US9328427B2 (en) * 2012-09-28 2016-05-03 Sunpower Corporation Edgeless pulse plating and metal cleaning methods for solar cells
TWI559598B (zh) * 2014-05-16 2016-11-21 台灣塑膠工業股份有限公司 染料敏化太陽能電池的製造方法及製造設備
TWI633939B (zh) * 2016-02-26 2018-09-01 弘塑科技股份有限公司 製程液體供給方法及裝置
US11587807B2 (en) 2018-10-28 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing apparatus and method thereof
CN113881993A (zh) * 2021-09-29 2022-01-04 新阳硅密(上海)半导体技术有限公司 一种可优化电镀填孔能力的工艺方法
CN113897649A (zh) * 2021-09-29 2022-01-07 新阳硅密(上海)半导体技术有限公司 一种结合tsv电镀前处理工艺方法
CN114232062A (zh) * 2021-12-24 2022-03-25 新阳硅密(上海)半导体技术有限公司 一种金属镀覆设备
CN114182333B (zh) * 2021-12-24 2023-06-23 新阳硅密(上海)半导体技术有限公司 一种共享晶圆夹具的金属镀覆设备和方法
CN114250501A (zh) * 2021-12-24 2022-03-29 新阳硅密(上海)半导体技术有限公司 一种可连续进行电镀和化镀的设备和方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3862023A (en) * 1972-09-15 1975-01-21 Ppg Industries Inc Electrode having silicide surface
US6110011A (en) * 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6551488B1 (en) * 1999-04-08 2003-04-22 Applied Materials, Inc. Segmenting of processing system into wet and dry areas
US6555909B1 (en) * 2001-01-11 2003-04-29 Advanced Micro Devices, Inc. Seedless barrier layers in integrated circuits and a method of manufacture therefor
US20030190812A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US20040013858A1 (en) * 2000-06-23 2004-01-22 Hacker Nigel P. Method to restore hydrophobicity in dielectric films and materials
US20040045837A1 (en) * 2001-11-14 2004-03-11 Hideo Yoshida Method for treating the surface of object and apparatus thereof
US6770565B2 (en) * 2002-01-08 2004-08-03 Applied Materials Inc. System for planarizing metal conductive layers
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3862023A (en) * 1972-09-15 1975-01-21 Ppg Industries Inc Electrode having silicide surface
US6110011A (en) * 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US6551488B1 (en) * 1999-04-08 2003-04-22 Applied Materials, Inc. Segmenting of processing system into wet and dry areas
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US20040013858A1 (en) * 2000-06-23 2004-01-22 Hacker Nigel P. Method to restore hydrophobicity in dielectric films and materials
US6555909B1 (en) * 2001-01-11 2003-04-29 Advanced Micro Devices, Inc. Seedless barrier layers in integrated circuits and a method of manufacture therefor
US20040045837A1 (en) * 2001-11-14 2004-03-11 Hideo Yoshida Method for treating the surface of object and apparatus thereof
US6770565B2 (en) * 2002-01-08 2004-08-03 Applied Materials Inc. System for planarizing metal conductive layers
US20030190812A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application

Also Published As

Publication number Publication date
TW200716794A (en) 2007-05-01
TWI374951B (en) 2012-10-21
WO2007016218A3 (fr) 2009-04-30

Similar Documents

Publication Publication Date Title
US20070111519A1 (en) Integrated electroless deposition system
US20060033678A1 (en) Integrated electroless deposition system
US7341633B2 (en) Apparatus for electroless deposition
WO2007016218A2 (fr) Système de dépôt catalytique intégré
US20070071888A1 (en) Method and apparatus for forming device features in an integrated electroless deposition system
US20150214093A1 (en) Processes and systems for engineering a barrier surface for copper deposition
US20140322446A1 (en) Processes and systems for engineering a copper surface for selective metal deposition
JP2006501360A (ja) 無電界メッキシステム
KR102383389B1 (ko) 저항성 기판들 상에서의 최적화된 전기 도금 성능을 위한 웨이퍼 에지의 금속화
JP2005539369A (ja) 無電解堆積装置
US6742279B2 (en) Apparatus and method for rinsing substrates
US20100239767A1 (en) Apparatus for Applying a Plating Solution for Electroless Deposition
US7592259B2 (en) Methods and systems for barrier layer surface passivation
US20080124924A1 (en) Scheme for copper filling in vias and trenches
US7323058B2 (en) Apparatus for electroless deposition of metals onto semiconductor substrates
WO2008027215A2 (fr) Appareil et procédé pour le traitement de surface et le dépôt intégrés pour une interconnexion de cuivre
US20060003570A1 (en) Method and apparatus for electroless capping with vapor drying
WO2008027216A9 (fr) Procédés et systèmes intégrés destinés à concevoir une surface de substrat pour dépôt de métal
TWI435770B (zh) 晶圓無電電鍍設備
TWI840558B (zh) 基板處理方法及基板處理裝置
JP2001316870A (ja) 液処理装置及び液処理方法
WO2022220168A1 (fr) Procédé et dispositif de traitement de substrat, ainsi que support de stockage
TW202111786A (zh) 基板處理方法及基板處理裝置
TW202244294A (zh) 鍍敷處理方法及鍍敷處理裝置
JP2001319895A (ja) 液処理方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase in:

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 06788628

Country of ref document: EP

Kind code of ref document: A2