WO2005069358A1 - 成膜方法 - Google Patents

成膜方法 Download PDF

Info

Publication number
WO2005069358A1
WO2005069358A1 PCT/JP2005/000384 JP2005000384W WO2005069358A1 WO 2005069358 A1 WO2005069358 A1 WO 2005069358A1 JP 2005000384 W JP2005000384 W JP 2005000384W WO 2005069358 A1 WO2005069358 A1 WO 2005069358A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
gas
nitrogen
substrate
reducing gas
Prior art date
Application number
PCT/JP2005/000384
Other languages
English (en)
French (fr)
Inventor
Toshio Hasegawa
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to JP2005517080A priority Critical patent/JP4787020B2/ja
Priority to US10/585,732 priority patent/US7776742B2/en
Priority to EP05703623A priority patent/EP1722405A4/en
Publication of WO2005069358A1 publication Critical patent/WO2005069358A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes

Definitions

  • the present invention relates to a film forming method by CVD, and particularly to a method of forming a metal nitride film such as a TiN-based thin film used as a barrier layer, a capacitor upper electrode, a gate electrode, a contact portion, etc. in a semiconductor device. It relates to a membrane method.
  • TiN films are being used as barrier layers of metal filling contact holes and via holes as described above, and as upper electrodes of capacitors.
  • Such a TiN film has conventionally been formed by PVD, but with the recent miniaturization and high integration of devices, a higher quality film can be formed with higher coverage. CVD has been heavily used.
  • Patent Document 1 A technique that has been made possible has been proposed.
  • a film When a film is formed as an upper electrode, it is required to form the film at a lower temperature of less than 450 ° C. in order to prevent thermal damage to the underlying layer.
  • a practical film formation is carried out at such a low temperature by using such a method, there is a disadvantage that abnormal growth occurs during the formation of the metal nitride film, the film quality is deteriorated, and the specific resistance value is increased.
  • NiSi or the like when used as a contact material, NiSi has low heat resistance. Even when a metal nitride film is formed using this NiSi as a base, a low-temperature film formation at 450 ° C or lower is desired.
  • Patent Document 1 Japanese Patent Application Laid-Open No. 2003-77864
  • An object of the present invention is to provide a film forming method capable of forming a good-quality metal nitride film with a high step coverage at a low film forming temperature of less than 450 ° C. in comparison with the CVD method. Is to do
  • a metal compound gas and a nitrogen-containing reducing gas are supplied to a substrate to be processed heated to a film forming temperature in a processing chamber to form a film made of a metal nitride by CVD.
  • a cycle consisting of a first step of forming and a second step of stopping the metal compound gas and supplying the nitrogen-containing reducing gas is repeated at least one cycle, and a metal nitride film having a predetermined thickness is formed on the substrate to be processed.
  • a temperature of the substrate to be processed at the time of film formation is set to less than 450 ° C., a total pressure in the processing container is set to more than 100 Pa, and a nitrogen in the processing container in the first step is formed.
  • a film formation method is provided in which the partial pressure of the contained reducing gas is 30 pa or less.
  • a TiN bonding gas and a nitrogen-containing reducing gas are supplied to a substrate heated to a film forming temperature in a processing container, and the TiN gas is supplied by CVD.
  • a cycle comprising a first step of forming a film having a predetermined thickness and a second step of stopping the Ti compound gas and supplying the nitrogen-containing reducing gas is repeated one or more cycles to form a film having a predetermined thickness on the substrate to be processed.
  • a method of forming a TiN film wherein the temperature of the substrate to be processed during film formation is less than 450 ° C., the total pressure in the processing container is more than 100 Pa, and the processing container in the first step is There is provided a film forming method in which the partial pressure of the nitrogen-containing reducing gas in the gas is set to 30 pa or less.
  • a substrate to be processed heated to a film forming temperature in a processing chamber A first step of forming a film made of metal nitride by CVD by supplying a metal compound gas and a nitrogen-containing reducing gas to the substrate, and a second step of supplying the nitrogen-containing reducing gas by stopping the metal compound gas.
  • an initial metal nitride film is formed with a first thickness on the substrate to be processed, and then a metal compound gas and a nitrogen-containing reducing gas are supplied to the substrate to be processed, thereby continuously Forming a residual metal nitride film with a second thickness by chemical CVD, wherein the initial metal nitride film is formed by setting the temperature of the substrate to be processed to less than 450 ° C.
  • a film forming method is provided in which the total pressure in the container is more than 100 Pa and the partial pressure of the nitrogen-containing reducing gas in the processing container in the first step is 30 pa or less.
  • a metal compound gas and a nitrogen-containing reducing gas are supplied to a substrate heated at a film forming temperature in a processing chamber to form a film made of a metal nitride by CVD.
  • a cycle consisting of a first step of forming and a second step of stopping the metal compound gas and supplying the nitrogen-containing reducing gas is repeated one or more cycles to form an initial first thickness on the substrate to be processed.
  • the temperature of the substrate to be processed is set to less than 450 ° C.
  • the total pressure in the processing container is set to more than 100 Pa
  • the nitrogen-containing reducing gas in the processing container in the first step is set.
  • the partial pressure of A film forming method is provided in which, when forming the remaining metal nitride film, the partial pressure of the nitrogen-containing reducing gas in the processing container in the first step is set to more than 30 pa.
  • a metal compound gas and a nitrogen-containing reducing gas are supplied to a substrate to be processed heated to a film forming temperature in a processing chamber to form a film made of a metal nitride by CVD.
  • a cycle consisting of a first step of forming and a second step of stopping the metal compound gas and supplying the nitrogen-containing reducing gas is repeated at least one cycle, and a metal nitride film having a predetermined thickness is formed on the substrate to be processed.
  • the temperature of the substrate to be processed at the time of film formation is less than 450 ° C.
  • the total pressure in the processing container is more than 100 Pa
  • a metal compound gas and a nitrogen-containing reducing gas are supplied to a substrate to be processed heated to a film forming temperature in a processing container to form a film made of a metal nitride by CVD.
  • a cycle consisting of a first step of forming and a second step of stopping the metal compound gas and supplying the nitrogen-containing reducing gas is repeated at least one cycle, and a metal nitride film having a predetermined thickness is formed on the substrate to be processed.
  • the temperature of the substrate to be processed at the time of film formation is less than 450 ° C.
  • the total pressure in the processing container is more than 100 Pa
  • the film thickness per cycle is T (nm)
  • the nitrogen-containing reduction hk in the first step is
  • a film forming method in which a resistance value R is 800 ⁇ cm or less.
  • a metal compound gas and a nitrogen-containing reducing gas are supplied to a substrate to be processed heated to a film forming temperature in a processing chamber to form a film made of a metal nitride by CVD.
  • a cycle consisting of a first step of forming and a second step of stopping the metal compound gas and supplying the nitrogen-containing reducing gas is repeated at least one cycle, and a metal nitride film having a predetermined thickness is formed on the substrate to be processed.
  • the temperature of the substrate to be processed at the time of film formation is less than 450 ° C.
  • the total pressure in the processing container is more than 100 Pa
  • the film thickness per cycle is T (nm)
  • the nitrogen-containing reduction hk in the first step is
  • a metal compound gas and a nitrogen-containing reducing gas are supplied to a substrate to be processed heated to a film forming temperature in a processing chamber to form a film made of a metal nitride by CVD.
  • a cycle consisting of a first step of forming and a second step of stopping the metal compound gas and supplying the nitrogen-containing reducing gas is repeated at least one cycle, and a metal nitride film having a predetermined thickness is formed on the substrate to be processed.
  • the temperature of the substrate to be processed during the film formation is set to less than 450 ° C.
  • the total pressure in the processing container is set to more than 100 Pa
  • the nitrogen-containing reducing gas in the processing container in the first step is used.
  • a computer-readable recording medium including software for controlling a film forming apparatus by a computer so that the partial pressure of the film is set to 30 pa or less is provided.
  • a TiN conjugate gas and a nitrogen-containing reducing gas are supplied to a substrate heated to a film forming temperature in a processing container, and the TiN gas is supplied by CVD.
  • a cycle comprising a first step of forming a film having a predetermined thickness and a second step of stopping the Ti compound gas and supplying the nitrogen-containing reducing gas is repeated one or more cycles to form a film having a predetermined thickness on the substrate to be processed.
  • the temperature of the substrate to be processed at the time of film formation is set to less than 450 ° C.
  • the total pressure in the processing container is set to more than 100 Pa
  • the nitrogen content in the processing container in the first step is reduced.
  • a computer-readable recording medium including software for controlling a film forming apparatus by a computer so that the partial pressure of the reducing gas is set to 30 pa or less is provided.
  • a metal compound gas and a nitrogen-containing reducing gas are supplied to a substrate to be processed heated to a film forming temperature in a processing chamber to form a film made of a metal nitride by CVD.
  • a cycle consisting of a first step of forming and a second step of stopping the metal compound gas and supplying the nitrogen-containing reducing gas is repeated at least one cycle, and a metal nitride film having a predetermined thickness is formed on the substrate to be processed.
  • the temperature of the substrate to be processed during the film formation is set to less than 450 ° C.
  • the total pressure in the processing container is set to more than 100 Pa
  • the nitrogen content in the processing container in the first step is reduced.
  • hk of the metal nitride film is calculated by the following equation (A).
  • a computer that controls the film forming apparatus so that the specific resistance R is 800 ⁇ -cm or less.
  • a computer-readable recording medium including software is provided.
  • a metal compound gas and a nitrogen-containing reducing gas are supplied to a substrate to be processed heated to a film forming temperature in a processing chamber to form a film made of a metal nitride by CVD.
  • a cycle consisting of a first step of forming and a second step of stopping the metal compound gas and supplying the nitrogen-containing reducing gas is repeated at least one cycle, and a metal nitride film having a predetermined thickness is formed on the substrate to be processed.
  • the temperature of the substrate to be processed during the film formation is set to less than 450 ° C.
  • the total pressure in the processing container is set to more than 100 Pa
  • the nitrogen content in the processing container in the first step is reduced.
  • the film thickness per ital is T (nm), and the hk of the nitrogen-containing reducing gas in the first step is
  • a computer-readable recording medium including software for controlling a film forming apparatus by a computer so as to be ⁇ -cm or less is provided.
  • a metal compound gas and a nitrogen-containing reducing gas are supplied to a substrate to be processed heated to a film forming temperature in a processing chamber to form a film made of a metal nitride by CVD.
  • a cycle consisting of a first step of forming and a second step of stopping the metal compound gas and supplying the nitrogen-containing reducing gas is repeated at least one cycle, and a metal nitride film having a predetermined thickness is formed on the substrate to be processed.
  • the temperature of the substrate to be processed during the film formation is set to less than 450 ° C.
  • the total pressure in the processing container is set to more than 100 Pa
  • the nitrogen content in the processing container in the first step is reduced.
  • the film thickness per ital is T (nm), and the hk of the nitrogen-containing reducing gas in the first step is
  • a computer-readable recording medium including software for controlling a film forming apparatus by a computer so that a specific resistance value R of a metal nitride film to be formed is 800 ⁇ -cm or less is provided.
  • R 115.75 X Ln (T) + 71.576 X Ln (P)
  • the film forming rate is reduced by setting the partial pressure of the nitrogen-containing reducing gas to 30 pa or less, so that the metal compound gas and the nitrogen-containing reducing gas are not mixed.
  • the reaction time can be lengthened, and the metal compound gas can be sufficiently reduced by the nitrogen-containing reducing gas.
  • the total pressure can be over 100 Pa, high step coverage can be realized. Therefore, even at a low film formation temperature of less than 450 ° C., a high-quality metal nitride film such as a TiN film having a low specific resistance and a small abnormal growth can be formed with a high step coverage.
  • the partial pressure of the nitrogen-containing reducing gas is increased to increase the film forming speed!
  • the partial pressure of the nitrogen-containing reducing gas by reducing the partial pressure of the nitrogen-containing reducing gas to 30 pa or less, a high-quality metal nitride film was successfully formed at a low temperature.
  • an initial metal nitride film is formed at a first thickness by the methods of the first and second aspects, respectively, and then a high throughput Since the remaining metal nitride film is formed with the second thickness by a method capable of forming a thin film, the initial metal nitride film that affects the underlayer is made of a high-quality film having a low specific resistance by low-temperature film formation, and The remaining film can be formed at a high throughput without affecting the formation of a high-quality metal nitride film having a low specific resistance value by low-temperature film formation, and the throughput of the film formation of the metal nitride film can be reduced. It is possible to achieve both improvement and improvement.
  • the optimum values of both are considered.
  • High-quality metal nitride film such as TiN film at low deposition temperature of less than 450 ° C, which can be combined and the total pressure exceeds 100Pa, without increasing the number of cycles more than necessary.
  • the specific resistance of the metal nitride film can be increased by increasing the number of repetitions (cycles) of intermittent supply.
  • the metal nitride film in addition to the partial pressure of the nitrogen-containing reducing gas, the number of intermittent supply cycles, and the flow rate of the nitrogen-containing reducing gas, which is another parameter that affects the film quality. Since the metal nitride film can be formed in an optimal combination in consideration of the amount and the temperature of the substrate to be processed, it is possible to more reliably form a good quality TiN film at a low film formation temperature of less than 450 ° C. A metal nitride film can be formed with high step coverage.
  • FIG. 1 is a schematic cross-sectional view showing an example of a configuration of a film forming apparatus used in a film forming method according to the present invention.
  • FIG. 2 is a diagram showing an example of gas supply control in one embodiment of a film forming method according to the present invention.
  • FIG. 3 is a graph showing an effect when the first embodiment of the film forming method according to the present invention is performed.
  • FIG. 4 is a view showing the relationship between the NH gas partial pressure in the first step and the specific resistance of the formed TiN film.
  • FIG. 5 is a graph showing the relationship between the thickness of a TiN film per cycle and the specific resistance of the formed TiN film.
  • FIG. 6 is a diagram showing the relationship between the NH3 gas flow rate in the first step and the specific resistance of the formed TiN film.
  • FIG. 7 is a view showing the relationship between the temperature of a semiconductor wafer during film formation and the specific resistance of a formed TiN film.
  • FIG. 9 A graph showing the relationship between the specific resistance R of a TiN film calculated by equation (8) and the actual specific resistance value.
  • FIG. 9 is a diagram showing an example of an operation of a modification of the film forming method according to one embodiment of the present invention.
  • FIG. 10 is a cross-sectional view showing an example in which a TiN thin film formed by a film forming method according to the present invention is used for a contact portion of a metal wiring layer.
  • FIG. 11 is a cross-sectional view showing an example in which a TiN thin film formed by a film forming method according to the present invention is used for a capacitor structure of a DRAM or the like.
  • FIG. 12 is a cross-sectional view showing another example in which a TiN thin film formed by the film forming method according to the present invention is used for a capacitor structure of a DRAM or the like.
  • FIG. 13 is a cross-sectional view showing still another example in which a TiN thin film formed by the film forming method according to the present invention is used for a capacitor structure of a DRAM or the like.
  • TiCl gas was used as the metal compound gas
  • NH gas was used as the nitrogen-containing reducing gas
  • TiN titanium nitride
  • FIG. 1 is a schematic configuration diagram illustrating an example of a film forming apparatus used for performing the film forming method of the present invention.
  • the film forming apparatus 40 has a substantially cylindrical chamber 51 that is airtightly formed, and a susceptor 52 for horizontally supporting a wafer W to be processed is provided in the center thereof. It is arranged so as to be supported by a cylindrical support member 53 provided at the lower part.
  • the susceptor 52 also has a ceramic force such as A1N, and a guide ring 54 for guiding the wafer W is provided at an outer edge thereof.
  • a heater 55 is embedded in the susceptor 52, and the heater 55 is heated by a heater power supply 56 to heat the processing target Ueno and W to a predetermined temperature.
  • an electrode 58 functioning as a lower electrode is embedded on the heater 55.
  • a loading / unloading port 92 is opened in a side surface portion of the chamber 51.
  • the loading / unloading port 92 is connected to the susceptor 52 by a wafer transfer device (not shown) from an external wafer transfer chamber (not shown) through a gate valve G. During this time, the loading and unloading of Ueno and W are performed.
  • a plurality of elevating pins 89 for elevating the wafer W when transferring the wafer W to and from the wafer transfer device (not shown) are provided in the wafer W mounting area of the susceptor 52. These elevating pins 89 are provided so as to be penetrated, and are driven up and down by a lifting mechanism 91 via a drive arm 90.
  • An exhaust chamber 86 is provided at the bottom of the chamber 51, and an exhaust device 88 is provided through an exhaust pipe 87.
  • the inside of the chamber 51 can be uniformly evacuated to a desired vacuum degree.
  • a shower head 60 is provided on a top wall 51a of the first chamber 51.
  • the shower head 60 includes an upper block body 60a, a middle block body 60b, and a lower block body 60c.
  • discharge holes 67 and 68 for discharging gas are formed alternately.
  • a first gas inlet 61 and a second gas inlet 62 are formed on the upper surface of the upper block body 60a.
  • a number of gas passages 63 are branched from the first gas inlet 61.
  • Gas passages 65 are formed in the middle block body 60b, and the gas passages 63 communicate with the gas passages 65 through communication passages 63a extending horizontally. Further, the gas passage 65 communicates with the discharge hole 67 of the lower block body 60c.
  • a number of gas passages 64 are branched from the second gas inlet 62.
  • a gas passage 66 is formed in the middle block body 60b, and the gas passage 64 communicates with the gas passages 66.
  • the gas passage 66 is connected to a communication passage 66a extending horizontally in the middle block body 60b, and the communication passage 66a communicates with a number of discharge holes 68 of the lower block body 60c.
  • the first and second gas introduction ports 61 and 62 are connected to a gas supply mechanism 110 described later, respectively.
  • the gas supply mechanism 110 is a C1F gas supply source that supplies a C1F gas that is a cleaning gas.
  • Supply source 111 has C1F gas supply line 116, TiCl gas supply source 112 has TiCl gas supply
  • first N gas supply source 113 has first N gas supply line 118, NH gas
  • source gas supply source 114 has an NH gas supply line 119
  • second N gas supply source 115 has a second gas supply line 119.
  • N gas supply lines 120 are connected respectively. Although not shown, supply of Ar gas
  • Each gas supply line is provided with a mass flow controller 122 and two valves 121 with the mass flow controller 122 interposed therebetween.
  • the first gas inlet 61 of the shower head 60 has a TiCl gas supply source 112 A gas supply line 117 is connected, and this TiCl gas supply line 117 is connected to C1F gas.
  • An extended first N gas supply line 118 is connected.
  • the H gas supply line 119 has a second N gas supply extending from the second N gas supply source 115.
  • the eleventh gas from the TiCl gas supply source 112 is supplied to the first N gas.
  • the nitrogen gas from the NH gas supply source 114 is discharged from the first gas inlet 61 into the shower head 60 through the gas passages 63 and 65 into the chamber 51 through the discharge holes 67.
  • NH gas which is a reducing gas, is mixed with N gas from the second N gas supply source 115
  • the gas flows from the second gas introduction port 62 of the shower head 60 into the shower head 60 through the 3 2 2 3 supply line 119, and is discharged from the discharge holes 68 into the chamber 51 via the gas passages 64 and 66.
  • the TiCl gas and the NH gas are completely independent of each other in the chamber.
  • valve 121 and the mass flow controller 122 are controlled by the controller 123.
  • the process controller 130 has a user interface 131 including a keyboard for a process manager to input commands to manage the film forming apparatus 40 and a display for visualizing and displaying the operation status of the film forming apparatus 40. It is connected
  • the process controller 130 includes a control program for realizing various processes executed by the film forming apparatus 40 under the control of the process controller 130, and various components of the plasma etching apparatus according to processing conditions.
  • a storage unit 132 in which a program for executing processing, that is, a recipe, is connected.
  • the recipe may be stored on a hard disk or a semiconductor memory, or stored in a portable storage medium such as a CDROM or a DVD. It may be set at a predetermined position of the storage unit 132 in the state.
  • the recipe may be transmitted from another device as appropriate, for example, via a dedicated line.
  • an arbitrary recipe is called from the storage unit 132 according to an instruction from the user interface 131 and the like, and the process controller 130 executes the recipe, thereby forming a film under the control of the process controller 130.
  • the desired processing in the device 40 is performed.
  • the inside of the chamber 51 is cut off by the exhaust device 88, and the first N gas supply source is
  • the heater 55 preheats the inside of the chamber 51 while introducing it into the chamber 51.
  • the first N gas source 113 When the temperature stabilizes, the first N gas source 113
  • the air is first exhausted through a preflow line (not shown) to stabilize the flow rate, and then switched to the shower head 60 side to be introduced into the chamber 151.
  • the TiN film is pre-coated on the inner surface of the chamber 1 such as the inner wall of the chamber 51, the susceptor 52, the guide ring 54, and the shower head 60, etc. by the heat generated by the heater 55.
  • the surface of the precoated TiN thin film is nitrided to stabilize the precoated film.
  • the inside of the chamber 51 is rapidly evacuated by the exhaust device 88 to a cut-off state, the gate valve G is opened, and the wafer W is loaded into the chamber 51 via the loading / unloading port 92. . Then, N gas is supplied into the chamber 151 to preheat the Ueno and W. ⁇
  • the heater 55 is used to lower the wafer temperature to less than 450 ° C., preferably less than 400 ° C., and more preferably 350 ° C. or less.
  • the wafer temperature is less than 450 ° C., preferably less than 400 ° C., and more preferably 350 ° C. or less.
  • TaO, HfO, HfSiO, PZT, BST, RuO, ReO Even if a thermally sensitive film such as NiSi used as a tact material is formed, the film can be formed without damaging the base.
  • the second step of feeding into the 51 and performing annealing is performed. Subsequently, stop the NH gas G2, and purge gas (not shown).
  • the above steps are taken as one cycle, and the cycle is repeated at least one cycle, preferably at least two cycles, more preferably at least three cycles, for example, about 12 to 24 times.
  • the switching of the gas at this time is performed by switching the valve by the controller 123.
  • a TiN film having a desired thickness is formed on the wafer.
  • a film is formed on W.
  • the thickness of this TiN film is, for example, 5-100 nm, preferably 10-50 ⁇ m.
  • a gas containing a nitrogen atom or a hydrogen atom may be introduced to lightly nitride the surface of the insulating film.
  • the NH content in the first step at the time of such TiN film formation is set.
  • the film formation rate is lower than the partial pressure of NH, which is a reducing gas.
  • the NH partial pressure should be as high as possible without causing harmful powdery by-products.
  • the present embodiment reduces the NH partial pressure during film formation to 30 Pa or less, contrary to the conventional technical knowledge. As a result, TiCl and NH
  • reaction time with 3 4 3 can be lengthened to reduce the deposition rate, and TiCl
  • the NH partial pressure during film formation should be 20 Pa or less. It is desirable to
  • the total pressure in chamber 51 is set to be greater than 100 Pa in both the first step and the second step. This can improve the step coverage.
  • the upper limit of the total pressure in the chamber 51 does not need to be particularly determined, but about 1300 Pa is a practical upper limit in terms of equipment. Preferably, it is more than 100 Pa and not more than 667 Pa.
  • the total pressure in the chamber 151 during the film formation is reduced.
  • the film was formed at a low value of less than lOOPa, but there was a problem that the step coverage was bad!
  • the abnormal growth is reduced by lowering the NH partial pressure.
  • the film quality and the step coverage can be made compatible by making the total pressure in the chamber 151 larger than 100 Pa.
  • the film thickness per cycle is, for example, 0.25-2.50 nm.
  • FIG. 3 shows the result of confirming the above through experiments.
  • FIG. 3 shows the NH gas G2 when the temperature (film formation temperature) of the wafer W to be formed is 380 ° C. for the film formation by intermittent gas supply as exemplified in FIG. Partial pressure (Pa) and the specific resistance of the resulting TiN film
  • the film thickness formed in one cycle ⁇ ⁇ ⁇ .00, 1.00, 0.50, 0.25
  • the specific resistance value is an appropriate standard for the upper electrode and is 800 ⁇ -cm or less, at which abnormal growth hardly occurs. If the partial pressure of NH gas G2 is 20 Pa or less, the film thickness per cycle is further increased.
  • the range it is preferable to set the range to more than 100 Pa and less than 100 Pa from the viewpoint of improving the step coverage. Also, the partial pressure of NH gas G2 in the second step
  • the TiCl gas G1 is exemplified by 5-200 mL / min, and the NH gas G2 is
  • the N gas G3 for purging is 50-5000mLZ, preferably 50-
  • the lOOOmLZ component is exemplified.
  • the flow rate of G2 be 20 mLZ minutes or more. There is no particular upper limit, but 20-300mLZ is practically adopted.
  • Preferred conditions taking into account conditions other than the NH gas partial pressure and the total pressure in the first step are as follows.
  • TiCl gas partial pressure lOPa over lOOPa or less
  • the time of the first step shown in FIG. 2 is exemplified by 2 to 8 seconds, and the time of the subsequent purge is exemplified by 0.5 to 20 seconds.
  • the step time is exemplified by 0.5 to 8 seconds, and the subsequent purge time is exemplified by 0.5 to 20 seconds.
  • the partial pressure of the NH gas G2 which is a nitrogen-containing reducing gas, is set to 30 Pa or less, preferably 20 Pa or less, more preferably 15 Pa or less.
  • the deposition rate is suppressed in the first step, and the TiN film formed over a sufficient time is efficiently de-C1 by annealing in the second step, and the residual chlorine in the film is reduced. It is possible to remarkably lower the temperature, to form a high-quality TiN film with low residual resistance and low residual chlorine even at low temperature, and to increase the total pressure to more than 100Pa. Good coverage can be achieved.
  • Ta O, HfO used as a capacitor material of a DRAM memory unit
  • the thickness of the TiN film in the second film formation step is larger than the film thickness of the TiN film in the first film formation step.
  • the thickness of the TiN film in the first film forming step may be larger.
  • the film thickness of the TiN film in the first film forming step is, for example, 5 to 50 nm, and the film thickness of the TiN film in the second film forming step is, for example, 5 to 95 nm.
  • the film quality of the formed film can be grasped by the specific resistance. If the specific resistance is 80 ⁇ m-cm or less, a good film having almost no abnormal growth can be obtained. Since it is known, the NH gas partial pressure is set so that the specific resistance is 800 ⁇ -cm or less.
  • FIG. 4 is a graph showing a relationship between the NH gas partial pressure P and the specific resistance of the TiN film.
  • the film thickness T per cycle is 0.5 nm
  • NH gas flow rate F is 30 mLZmin
  • the degree T is set to 400 ° C. As shown in Fig. 4, the ratio increases as the NH gas partial pressure P increases.
  • FIG. 5 is a graph showing the relationship between the film thickness T per cycle and the specific resistance of the TiN film.
  • NH gas partial pressure P is 30 Pa
  • NH gas flow rate F is 30 mL / min
  • T 400 ° C. As shown in Fig. 5, as the film thickness T per cycle increases,
  • the NH gas partial pressure P and the film thickness T per cycle are determined so that the specific resistance R of the TiN film in the above equation (3) does not exceed 800 ⁇ -cm. This allows abnormal growth
  • the total pressure in the chamber 51 at the time of film formation is set to exceed 100 Pa from the viewpoint of obtaining high step coverage.
  • the NH gas partial pressure P is
  • the NH gas partial pressure P is set to 30 Pa
  • the wafer temperature T is set to 400 ° C. As shown in Fig. 6, NH gas flow rate F increases
  • hk 3 N may be determined.
  • the present embodiment is premised on low-temperature film formation at a film formation temperature of less than 450 ° C. Even in such a low-temperature film formation, it is intended to obtain a TiN film having low specific resistance and good film quality. But TiN film Has a correlation with the wafer temperature T during film formation, and these relationships are as shown in Fig. 7.
  • ⁇ gas partial pressure ⁇ is 30 Pa
  • film thickness T per cycle is 0.5 nm
  • the flow rate F and the wafer temperature T may be determined.
  • the film thickness T per cycle is plotted on the horizontal hk axis, and the specific resistance R of the TiN film calculated by the above equation (7) is plotted on the vertical axis.
  • Fig. 8 shows the graph shown
  • Fig. 9 shows the relationship between the calculated specific resistance and the actual specific resistance.
  • FIG. 9 it can be seen that the actual value and the calculated value almost match up to a specific resistance value of the TiN film of up to 800 ⁇ cm.
  • the resistivity exceeds 800 ⁇ -cm, the actual value tends to increase more than the calculated value. This is because most of the factors of the resistivity increase up to 800 / z ⁇ -cm are in the film.
  • the preferred ranges of the H gas flow rate, the film thickness in one cycle, and the wafer temperature are as follows.
  • Wafer temperature 300-450 ° C
  • the partial pressure of TiCl gas may be lOPa to lOOPa or less.
  • the conditions exemplified in the first embodiment can be adopted.
  • the capacitor material of the DRAM memory unit High dielectric constants such as TaO, HfO, HfSiO, PZT, BST, RuO, ReO
  • the thickness of the TiN film in the first film forming step may be larger. Further, the film thickness in this case is, for example, 5 to 50 nm in the first film forming step and, for example, 5 to 95 nm in the second film forming step, as in the first embodiment.
  • an interlayer insulating film 11 is formed on a NiSi film 10 such as a wiring layer formed on a Si substrate, and a contact hole 12 reaching the NiSi film 10 is formed in the interlayer insulating film 11.
  • a Ti thin film 13 is formed in the interlayer insulating film 11 and the contact hole 12, and at the junction between the Ti thin film 13 and the NiSi film 10, Ti from the Ti thin film 13 side and Si from the NiSi film 10 side mutually interact.
  • the TiSi portion 10a is formed by diffusion.
  • a TiN thin film 14 formed at a low temperature by the method of the present invention is laminated.
  • the NiSi film 10 underlying the TiN thin film 14 has low heat resistance and is sensitive to heat. In the present invention, since the TiN thin film 14 is formed at a low temperature of less than 450 ° C., the NiSi film 10 is thermally It is good at receiving damage and can form good contacts.
  • a metal wiring layer 16 made of, for example, Cu or W is formed on this TiN thin film 14.
  • the metal wiring layer 16 is also filled in the contact hole 12, so that the NiSi film 10 and the metal wiring layer 16 are conducted through the TiSi portion 10a.
  • the TiN thin film 14 can be formed while maintaining the low resistance value of the TiSi portion 10a, good electrical conduction between the metal wiring layer 16 and the NiSi film 10 via the TiSi portion 10a is achieved. It should be noted that the present invention can be applied to a case where the base is a CoSi film.
  • the impurity diffusion region 20a of the Si substrate 20 has a lower surface made of HSG (hemispherical grained) polycrystalline silicon that has a large surface area (that is, a large charge storage amount of the capacitor) by making the surface uneven.
  • the electrode layer 21 is connected, and the upper portion of the lower electrode layer 21 is connected to RTN (Rapid
  • an extremely thin SiN barrier layer 22 is formed, and a dielectric layer 23 made of TaO is formed thereon.
  • the upper electrode layer 24 made of the formed TiN thin film is formed with a high strength balance including the inside of the concave portion of the dielectric layer 23. Then, a metal wiring layer (not shown) is formed on the upper electrode layer 24.
  • Dielectric consisting of Ta O serving as a base when forming upper electrode layer 24 consisting of a TiN thin film
  • the TiN film constituting the upper electrode layer 24 can be formed at a low temperature of less than 450 ° C. Sensitive to Insulating layer 23 with high Ta O force maintains good capacitance without being damaged.
  • the lower electrode 2 made of polycrystalline silicon having a fin shape with a high aspect ratio is formed of an impurity diffusion region (see FIG. (Not shown).
  • the aspect ratio of the fin-shaped lower electrode 21 / is 12 or more, preferably 15-100.
  • an ultra-thin SiN barrier layer 22 ' is formed by RTN (Rapid Thermal Nitrization) treatment, and TaO
  • a dielectric layer 23 ' is formed, and further thereon, an upper electrode layer 24' made of a TiN thin film formed by the film forming method of the present invention is covered with a high coverage including the inside of the concave portion of the dielectric layer 2. It is composed of Then, a metal wiring layer (not shown) is formed on the upper electrode layer 24 '.
  • the TiN film constituting the upper electrode layer 24 ⁇ can be formed at a low temperature of less than 450 ° C, it is possible to form a TaO substrate that is sensitive to heat.
  • a lower electrode layer 31 that is also made of amorphous S is connected to the impurity diffusion region 30a of the Si substrate 30.
  • RTN Rapid Thermal Nitrization
  • a dielectric layer 33 made of TaO is formed via a SiN barrier layer 32 formed by performing the
  • An upper electrode layer made of the TiN-based thin film of the present invention is formed. Then, a metal wiring layer (not shown) is formed on the upper electrode layer.
  • the dielectric layer 34 since the TiN film constituting the upper electrode layer 34 can be formed at a low temperature of less than 450 ° C, the dielectric layer also has a heat-sensitive TaO force. 33 damage
  • the present invention is not limited to the above-described embodiment, but can be variously modified.
  • TiCl was used as the Ti-containing compound gas.
  • NH was used as the nitrogen-containing reducing gas.
  • the present invention can also be applied to a general metal nitride film such as TaN or WN, which is shown in the case where the present invention is applied to TiN film formation.
  • a general metal nitride film such as TaN or WN
  • other substrates such as a substrate for a liquid crystal display device may be used.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

 処理容器内で成膜温度に加熱された半導体ウエハにTiCl4ガスおよびNH3ガスを供給してCVDによりTiNからなる膜を形成する第1ステップと、TiCl4ガスを停止してNH3ガスを供給する第2ステップとからなるサイクルを1サイクル以上繰り返し、半導体ウエハ上に所定厚さのTiN膜を成膜するにあたり、成膜の際における半導体ウエハの温度を450°C未満とし、処理容器内の全圧を100Pa超とし、第1ステップにおける処理容器内のNH3ガスの分圧を30pa以下とする。

Description

明 細 書
成膜方法
技術分野
[0001] 本発明は、 CVDによる成膜方法に関し、特に、半導体装置において例えばバリア 層、キャパシタ上部電極、ゲート電極、コンタクト部等として用いられる TiN系薄膜の ような金属窒化膜を成膜する成膜方法に関する。
背景技術
[0002] 半導体デバイスの製造においては、最近の高密度化および高集積化の要請に対 応して、回路構成を多層配線構造にする傾向にあり、このため、下層の半導体デバ イスと上層の配線層との接続部であるコンタクトホールや、上下の配線層同士の接続 部であるビアホールなどの層間の電気的接続のための埋め込み技術が重要になつ ている。また、高集積ィ匕にともない、例えば DRAMメモリー部のキャパシタ材として T a O、 HfO等の高誘電率材に対応した上部電極を高カバレージで成膜する技術が
2 5 2
重要となっている。
[0003] 近時、上述したようなコンタクトホール、ビアホールの埋め込み金属のバリア層や、 キャパシタの上部電極として TiN膜が用いられつつある。
[0004] このような、 TiN膜は従来 PVDにより成膜されて ヽたが、最近のようにデバイスの微 細化および高集積ィ匕に伴って、より良質の膜を高カバレージで成膜可能な CVDが 多用されるようになってきた。
[0005] CVDの TiN膜を成膜する際には、反応ガスとして TiClと窒素含有還元ガスである
4
NHまたは MMH (モノメチルヒドラジン)とを用いて、 500 600°Cの温度で成膜さ
3
れる。また、成膜の際の下地への影響を回避すベぐ反応ガスおよび還元ガスを供 給する工程と、還元ガスのみを供給する工程とを交互に繰り返し、 450°C程度の低温 成膜を可能にした技術が提案されて 、る (特許文献 1)。
[0006] しかしながら、 Ta Oや HfO等の高誘電率材は温度に敏感であり、その上に TiN
2 5 2
膜を上部電極として成膜する場合、下地層の熱的ダメージを防止するためには 450 °C未満というより低温での成膜が要求されるが、上述の特許文献 1に開示された技術 を用いてこのような低温で実用的な成膜を行おうとすると、金属窒化膜の成膜時に異 常成長が起こって膜質が劣化し、比抵抗値が増大してしまう、という不都合がある。
[0007] また、コンタクト材料として NiSi等を用いる場合、 NiSiは耐熱性が低ぐこの NiSiを 下地として金属窒化膜を成膜する場合も、 450°C以下の低温成膜が望まれている。
[0008] さらに、最近の高密度化および高集積化の要請に対応して、より良好なステップ力 バレージが要求されて!、る。
特許文献 1:特開 2003— 77864号公報
発明の開示
[0009] 本発明の目的は、 CVD法にぉ 、て、 450°C未満の低 、成膜温度で良質の金属窒 化膜を高ステップカバレージで成膜することが可能な成膜方法を提供することにある
[0010] 本発明の第 1の観点によれば、処理容器内で成膜温度に加熱された被処理基板 に金属化合物ガスおよび窒素含有還元ガスを供給して CVDにより金属窒化物から なる膜を形成する第 1ステップと、前記金属化合物ガスを停止して前記窒素含有還 元ガスを供給する第 2ステップとからなるサイクルを 1サイクル以上繰り返し、前記被 処理基板上に所定厚さの金属窒化膜を成膜する方法であって、成膜の際における 前記被処理基板の温度を 450°C未満とし、前記処理容器内の全圧を lOOPa超とし、 前記第 1ステップにおける前記処理容器内の窒素含有還元ガスの分圧を 30pa以下 とする成膜方法が提供される。
[0011] 本発明の第 2の観点によれば、処理容器内で成膜温度に加熱された被処理基板 に Tiィ匕合物ガスおよび窒素含有還元ガスを供給して CVDにより TiNカゝらなる膜を形 成する第 1ステップと、前記 Ti化合物ガスを停止して前記窒素含有還元ガスを供給 する第 2ステップとからなるサイクルを 1サイクル以上繰り返し、前記被処理基板上に 所定厚さの TiN膜を成膜する方法であって、成膜の際における前記被処理基板の 温度を 450°C未満とし、前記処理容器内の全圧を lOOPa超とし、前記第 1ステップに おける前記処理容器内の窒素含有還元ガスの分圧を 30pa以下とする成膜方法が 提供される。
[0012] 本発明の第 3の観点によれば、処理容器内で成膜温度に加熱された被処理基板 に金属化合物ガスおよび窒素含有還元ガスを供給して CVDにより金属窒化物から なる膜を形成する第 1ステップと、前記金属化合物ガスを停止して前記窒素含有還 元ガスを供給する第 2ステップとからなるサイクルを 1サイクル以上繰り返し、前記被 処理基板上に第 1の厚さで初期金属窒化膜を成膜し、その後被処理基板に金属化 合物ガスおよび窒素含有還元ガスを供給して連続的な CVDにより第 2の厚さで残余 の金属窒化膜を成膜する方法であって、前記初期金属窒化膜の成膜は、前記被処 理基板の温度を 450°C未満とし、前記処理容器内の全圧を lOOPa超とし、前記第 1 ステップにおける前記処理容器内の窒素含有還元ガスの分圧を 30pa以下として行う 成膜方法が提供される。
[0013] 本発明の第 4の観点によれば、処理容器内で成膜温度に加熱された被処理基板 に金属化合物ガスおよび窒素含有還元ガスを供給して CVDにより金属窒化物から なる膜を形成する第 1ステップと、前記金属化合物ガスを停止して前記窒素含有還 元ガスを供給する第 2ステップとからなるサイクルを 1サイクル以上繰り返して前記被 処理基板上に第 1の厚さの初期金属窒化膜し、その上に前記第 1ステップと前記第 2 ステップとからなるサイクルを 1サイクル以上繰り返して第 2の厚さの残余の金属窒化 膜を成膜する方法であって、前記初期金属窒化膜を成膜する際に、前記被処理基 板の温度を 450°C未満とし、前記処理容器内の全圧を lOOPa超とし、前記第 1ステツ プにおける前記処理容器内の窒素含有還元ガスの分圧を 30pa以下として行い、前 記残余の金属窒化膜を成膜する際に、前記第 1ステップにおける前記処理容器内の 窒素含有還元ガスの分圧を 30pa超として行う成膜方法が提供される。
[0014] 本発明の第 5の観点によれば、処理容器内で成膜温度に加熱された被処理基板 に金属化合物ガスおよび窒素含有還元ガスを供給して CVDにより金属窒化物から なる膜を形成する第 1ステップと、前記金属化合物ガスを停止して前記窒素含有還 元ガスを供給する第 2ステップとからなるサイクルを 1サイクル以上繰り返し、前記被 処理基板上に所定厚さの金属窒化膜を成膜する方法であって、成膜の際における 前記被処理基板の温度を 450°C未満とし、前記処理容器内の全圧を lOOPa超とし、 かつ前記第 1ステップにおける処理容器内の前記窒素含有還元ガスの分圧を P (P
N
a)、 1サイクル当たりの膜厚を T (nm)としたとき、以下の (A)式で計算される金属窒 化膜の比抵抗値 Rが 800 Ω— cm以下となるようにする成膜方法が提供される。 R=115.75XLn(T )+71.576XLn(P ) +
hk N
418.8 (A)
[0015] 本発明の第 6の観点によれば、処理容器内で成膜温度に加熱された被処理基板 に金属化合物ガスおよび窒素含有還元ガスを供給して CVDにより金属窒化物から なる膜を形成する第 1ステップと、前記金属化合物ガスを停止して前記窒素含有還 元ガスを供給する第 2ステップとからなるサイクルを 1サイクル以上繰り返し、前記被 処理基板上に所定厚さの金属窒化膜を成膜する方法であって、成膜の際における 前記被処理基板の温度を 450°C未満とし、前記処理容器内の全圧を lOOPa超とし、 かつ前記第 1ステップにおける処理容器内の前記窒素含有還元ガスの分圧を P (P
N
a)、 1サイクル当たりの膜厚を T (nm)、前記第 1ステップにおける前記窒素含有還 hk
元ガスの流量を F (mLZ分)としたとき、以下の(B)式で計算される金属窒化膜の比
N
抵抗値 Rが 800 μ Ω cm以下となるようにする成膜方法が提供される。
R=115.75XLn(T )+71.576XLn(P )
hk N
-57.685XLn(F )+614 (B)
N
[0016] 本発明の第 7の観点によれば、処理容器内で成膜温度に加熱された被処理基板 に金属化合物ガスおよび窒素含有還元ガスを供給して CVDにより金属窒化物から なる膜を形成する第 1ステップと、前記金属化合物ガスを停止して前記窒素含有還 元ガスを供給する第 2ステップとからなるサイクルを 1サイクル以上繰り返し、前記被 処理基板上に所定厚さの金属窒化膜を成膜する方法であって、成膜の際における 前記被処理基板の温度を 450°C未満とし、前記処理容器内の全圧を lOOPa超とし、 かつ前記第 1ステップにおける処理容器内の前記窒素含有還元ガスの分圧を P (P
N
a)、 1サイクル当たりの膜厚を T (nm)、前記第 1ステップにおける前記窒素含有還 hk
元ガスの流量を F (mLZ分)、被処理基板の温度を T (°C)としたとき、以下の(C)
N W
式で計算される金属窒化膜の比抵抗値 Rが 800 μ Ω cm以下となるようにする成膜 方法が提供される。
R=115.75XLn(T )+71.576XLn(P )
hk N
-57.685XLn(F )-2844.6Ln(T ) + 17658. 3 (C)
[0017] 本発明の第 8の観点によれば、処理容器内で成膜温度に加熱された被処理基板 に金属化合物ガスおよび窒素含有還元ガスを供給して CVDにより金属窒化物から なる膜を形成する第 1ステップと、前記金属化合物ガスを停止して前記窒素含有還 元ガスを供給する第 2ステップとからなるサイクルを 1サイクル以上繰り返し、前記被 処理基板上に所定厚さの金属窒化膜を成膜するにあたり、成膜の際における前記被 処理基板の温度を 450°C未満とし、前記処理容器内の全圧を lOOPa超とし、前記第 1ステップにおける前記処理容器内の窒素含有還元ガスの分圧を 30pa以下とするよ うに、コンピュータが成膜装置を制御するソフトウェアを含む、コンピュータにより読み 取り可能な記録媒体が提供される。
[0018] 本発明の第 9の観点によれば、処理容器内で成膜温度に加熱された被処理基板 に Tiィ匕合物ガスおよび窒素含有還元ガスを供給して CVDにより TiNカゝらなる膜を形 成する第 1ステップと、前記 Ti化合物ガスを停止して前記窒素含有還元ガスを供給 する第 2ステップとからなるサイクルを 1サイクル以上繰り返し、前記被処理基板上に 所定厚さの TiN膜を成膜するにあたり、成膜の際における前記被処理基板の温度を 450°C未満とし、前記処理容器内の全圧を lOOPa超とし、前記第 1ステップにおける 前記処理容器内の窒素含有還元ガスの分圧を 30pa以下とするように、コンピュータ が成膜装置を制御するソフトウェアを含む、コンピュータにより読み取り可能な記録媒 体が提供される。
[0019] 本発明の第 10の観点によれば、処理容器内で成膜温度に加熱された被処理基板 に金属化合物ガスおよび窒素含有還元ガスを供給して CVDにより金属窒化物から なる膜を形成する第 1ステップと、前記金属化合物ガスを停止して前記窒素含有還 元ガスを供給する第 2ステップとからなるサイクルを 1サイクル以上繰り返し、前記被 処理基板上に所定厚さの金属窒化膜を成膜するにあたり、成膜の際における前記被 処理基板の温度を 450°C未満とし、前記処理容器内の全圧を lOOPa超とし、かつ前 記第 1ステップにおける処理容器内の前記窒素含有還元ガスの分圧を P (Pa) , 1サ
N
イタル当たりの膜厚を T (nm)としたとき、以下の (A)式で計算される金属窒化膜の hk
比抵抗値 Rが 800 Ω— cm以下となるように、コンピュータが成膜装置を制御するソ フトウェアを含む、コンピュータにより読み取り可能な記録媒体が提供される。
R= 115. 75 X Ln (T ) + 71. 576 X Ln (P ) +
hk N
418. 8 (A)
[0020] 本発明の第 11の観点によれば、処理容器内で成膜温度に加熱された被処理基板 に金属化合物ガスおよび窒素含有還元ガスを供給して CVDにより金属窒化物から なる膜を形成する第 1ステップと、前記金属化合物ガスを停止して前記窒素含有還 元ガスを供給する第 2ステップとからなるサイクルを 1サイクル以上繰り返し、前記被 処理基板上に所定厚さの金属窒化膜を成膜するにあたり、成膜の際における前記被 処理基板の温度を 450°C未満とし、前記処理容器内の全圧を lOOPa超とし、かつ前 記第 1ステップにおける処理容器内の前記窒素含有還元ガスの分圧を P (Pa) , 1サ
N
イタル当たりの膜厚を T (nm)、前記第 1ステップにおける前記窒素含有還元ガスの hk
流量を F (mLZ分)としたとき、以下の (B)式で計算される金属窒化膜の比抵抗値 R
N
Ω— cm以下となるように、コンピュータが成膜装置を制御するソフトウェアを 含む、コンピュータにより読み取り可能な記録媒体が提供される。
R= 115. 75 X Ln (T ) + 71. 576 X Ln (P )
hk N
-57. 685 X Ln (F ) +614 (B)
N
[0021] 本発明の第 12の観点によれば、処理容器内で成膜温度に加熱された被処理基板 に金属化合物ガスおよび窒素含有還元ガスを供給して CVDにより金属窒化物から なる膜を形成する第 1ステップと、前記金属化合物ガスを停止して前記窒素含有還 元ガスを供給する第 2ステップとからなるサイクルを 1サイクル以上繰り返し、前記被 処理基板上に所定厚さの金属窒化膜を成膜するにあたり、成膜の際における前記被 処理基板の温度を 450°C未満とし、前記処理容器内の全圧を lOOPa超とし、かつ前 記第 1ステップにおける処理容器内の前記窒素含有還元ガスの分圧を P (Pa) , 1サ
N
イタル当たりの膜厚を T (nm)、前記第 1ステップにおける前記窒素含有還元ガスの hk
流量を F (mLZ分)、被処理基板の温度を T (°C)としたとき、以下の (C)式で計算
N W
される金属窒化膜の比抵抗値 Rが 800 Ω— cm以下となるように、コンピュータが成 膜装置を制御するソフトウェアを含む、コンピュータにより読み取り可能な記録媒体が 提供される。 R= 115. 75 X Ln (T ) + 71. 576 X Ln (P )
hk N
-57. 685 X Ln (F )-2844. 6Ln (T )
N W
+ 17658. 3 (C)
[0022] 上記した本発明の第 1および第 2の観点によれば、窒素含有還元ガスの分圧を 30 pa以下とすることにより成膜速度を下げ、金属化合物ガスと窒素含有還元ガスとの反 応時間を長くすることができ、金属化合物ガスを窒素含有還元ガスによって十分に還 元することができる。また、全圧を lOOPa超とすることにより、高ステップカバレージを 実現することができる。このため、 450°C未満の低い成膜温度でも、比抵抗値が低く 、異常成長の少ない良質の TiN膜等の金属窒化膜を高ステップカバレージで成膜 することができる。従来から、金属化合物ガスおよび窒素含有還元ガスを用いて金属 窒化膜を成膜する場合、窒素含有還元ガスの分圧を高くして成膜速度の大き!ヽ成膜 が指向されていた力 本発明では、このように窒素含有還元ガスの分圧を 30pa以下 に下げることにより、低温成膜において良質の金属窒化膜を成膜することに成功した
[0023] また、本発明の第 3および第 4の観点によれば、それぞれ上記第 1および第 2の観 点の方法により第 1の厚さで初期金属窒化膜を成膜し、その後高スループットの成膜 が可能な方法により第 2の厚さで残余の金属窒化膜を成膜するので、下地に影響を 与える初期金属窒化膜を低温成膜による比抵抗値の低い良質の膜とし、下地に影 響を与えな 、残余の膜を高スループットで成膜することができ、低温成膜による比抵 抗値の低い良質の金属窒化膜の形成と、当該金属窒化膜の成膜のスループットの 向上とを両立させることが可能になる。
[0024] 本発明の第 5の観点によれば、金属窒化膜の膜質に与える、窒素含有還元ガスの 分圧、および間欠的供給のサイクル数の双方の影響を考慮して、双方の最適な組み 合わせとすることができ、かつ全圧を lOOPa超とするので、必要以上にサイクル数を 増大させることなぐ 450°C未満の低い成膜温度下において、良質の TiN膜等の金 属窒化膜を高ステップカバレージで成膜することができる。従来から、金属化合物ガ スおよび窒素含有還元ガスを間欠的に供給して金属窒化膜を成膜する場合、間欠 的供給の反復 (サイクル)数を増大させれば金属窒化膜の比抵抗値は減少し、金属 窒化膜の膜質が向上することが知られていたが、スループットが極端に低下する。本 発明では、このように窒素含有還元ガスの分圧、および間欠的供給のサイクル数を 最適化することにより、スループットを極端に低下させることなく低温成膜により良質 の金属窒化膜を成膜することに成功した。
[0025] 本発明の第 6, 7の観点によれば、窒素含有還元ガスの分圧、および間欠的供給の サイクル数の他、膜質に影響を及ぼす他のパラメータである窒素含有還元ガスの流 量や被処理基板の温度をも考慮して、最適な組み合わせで金属窒化膜を成膜する ことができるので、 450°C未満の低い成膜温度下において、より確実に良質の TiN膜 等の金属窒化膜を高ステップカバレージで成膜することができる。
図面の簡単な説明
[0026] [図 1]本発明に係る成膜方法に用いられる成膜装置の構成の一例を示す略断面図。
[図 2]本発明に係る成膜方法の一実施形態におけるガス供給制御の一例を示す線 図。
[図 3]本発明に係る成膜方法の第 1の実施形態を実施した際の効果を示すグラフ。
[図 4]第 1ステップの NHガス分圧と成膜された TiN膜の比抵抗との関係を示す図。
3
[図 5]1サイクル当たりの TiNカゝらなる膜の膜厚と成膜された TiN膜の比抵抗との関係 を示す図。
[図 6]第 1ステップの NH3ガス流量と成膜された TiN膜の比抵抗との関係を示す図。
[図 7]成膜の際の半導体ウェハの温度と成膜された TiN膜の比抵抗との関係を示す 図。
[図 8]1サイクル当たりの膜厚 T を横軸にとり、(8)式により計算した TiN膜の比抵抗 hk
Rを縦軸にとって、これらの関係を示すグラフ。
[図 9] (8)式により計算した TiN膜の比抵抗 Rと実際の比抵抗の値の関係を示すダラ フ。本発明の一実施の形態である成膜方法の変形例の作用の一例を示す線図。
[図 10]本発明に係る成膜方法による TiN薄膜をメタル配線層のコンタクト部に用いた 例を示す断面図。
[図 11]本発明に係る成膜方法による TiN薄膜を DRAM等のキャパシタ構造に用い た例を示す断面図。 [図 12]本発明に係る成膜方法による TiN薄膜を DRAM等のキャパシタ構造に用い た他の例を示す断面図。
[図 13]本発明に係る成膜方法による TiN薄膜を DRAM等のキャパシタ構造に用い たさらに他の例を示す断面図。
発明を実施するための最良の形態
[0027] 以下、添付図面を参照して本発明の実施形態について具体的に説明する。
ここでは、金属化合物ガスとして TiClガスを用い、窒素含有還元ガスとして NHガ
4 3 スを用いて、熱 CVDで窒化チタン (TiN)の薄膜を成膜する場合を例にとって説明す る。
[0028] 図 1は、本発明の成膜方法の実施に用いられる成膜装置の一例を示す概略構成 図である。
[0029] 成膜装置 40は、気密に構成された略円筒状のチャンバ一 51を有しており、その中 には被処理体であるウェハ Wを水平に支持するためのサセプタ 52がその中央下部 に設けられた円筒状の支持部材 53により支持された状態で配置されている。このサ セプタ 52は A1N等のセラミックス力もなり、その外縁部にはウェハ Wをガイドするため のガイドリング 54が設けられている。また、サセプタ 52にはヒーター 55が埋め込まれ ており、このヒーター 55はヒーター電源 56から給電されることにより被処理基板である ウエノ、 Wを所定の温度に加熱する。サセプタ 52には、下部電極として機能する電極 58がヒーター 55の上に埋設されて!、る。
[0030] チャンバ一 51の側面部には搬入出口 92が開口され、この搬入出口 92は、ゲート バルブ Gを介して外部の図示しない真空状態のウェハ搬送室から図示しないウェハ 搬送装置によりサセプタ 52との間におけるウエノ、 Wの搬入出が行われる構成となつ ている。
[0031] サセプタ 52のウェハ Wの載置領域には、図示しない前記ウェハ搬送装置との間に おけるウェハ Wの受け渡しを行う際に、当該ウェハ Wを昇降させるための複数の昇 降ピン 89が貫通して設けられ、これらの昇降ピン 89は、駆動アーム 90を介して昇降 機構 91にて昇降駆動される。
[0032] チャンバ一 51の底部には、排気室 86が設けられ、排気管 87を介して排気装置 88 に接続されており、チャンバ一 51の内部を所望の真空度に均一に排気することが可 會 こなっている。
[0033] チャンバ一 51の天壁 51aには、シャワーヘッド 60が設けられている。このシャワー ヘッド 60は、上段ブロック体 60a、中段ブロック体 60b、下段ブロック体 60cで構成さ れている。
[0034] 下段ブロック体 60cにはガスを吐出する吐出孔 67と 68とが交互に形成されている。
上段ブロック体 60aの上面には、第 1のガス導入口 61と、第 2のガス導入口 62とが形 成されている。上段ブロック体 60aの中では、第 1のガス導入口 61から多数のガス通 路 63が分岐している。中段ブロック体 60bにはガス通路 65が形成されており、上記 ガス通路 63が水平に延びる連通路 63aを介してこれらガス通路 65に連通している。 さらにこのガス通路 65が下段ブロック体 60cの吐出孔 67に連通している。また、上段 ブロック体 60aの中では、第 2のガス導入口 62から多数のガス通路 64が分岐してい る。中段ブロック体 60bにはガス通路 66が形成されており、上記ガス通路 64がこれら ガス通路 66に連通している。さらにこのガス通路 66が中段ブロック体 60b内に水平 に延びる連通路 66aに接続されており、この連通路 66aが下段ブロック体 60cの多数 の吐出孔 68に連通している。そして、上記第 1および第 2のガス導入口 61, 62は、そ れぞれ後述するガス供給機構 110に接続されて ヽる。
[0035] ガス供給機構 110は、クリーニングガスである C1Fガスを供給する C1Fガス供給源
3 3
111、 Ti含有ガスである TiClガスを供給する TiClガス供給源 112 を供給
4 4 、 Nガス
2
する第 1の Nガス供給源 113、窒化ガスである NHガスを供給する NHガス供給源
2 3 3
114、 Nガスを供給する第 2の Nガス供給源 115を有している。そして、 C1Fガス供
2 2 3 給源 111には C1Fガス供給ライン 116が、 TiClガス供給源 112には TiClガス供給
3 4 4 ライン 117が、第 1の Nガス供給源 113には第 1の Nガス供給ライン 118が、 NHガ
2 2 3 ス供給源 114には NHガス供給ライン 119が、第 2の Nガス供給源 115には第 2の
3 2
Nガス供給ライン 120が、それぞれ接続されている。また、図示しないが Arガス供給
2
源も有している。そして、各ガス供給ラインにはマスフローコントローラ 122およびマス フローコントローラ 122を挟んで 2つのバルブ 121が設けられている。
[0036] シャワーヘッド 60の第 1のガス導入口 61には TiClガス供給源 112から延びる TiCl ガス供給ライン 117が接続されており、この TiClガス供給ライン 117には C1Fガス
4 4 3 供給源 111から延びる C1Fガス供給ライン 116および第 1の Nガス供給源 113から
3 2
延びる第 1の Nガス供給ライン 118が接続されている。また、第 2のガス導入口 62〖こ
2
は NHガス供給源 114から延びる NHガス供給ライン 119が接続されており、この N
3 3
Hガス供給ライン 119には、第 2の Nガス供給源 115から延びる第 2の Nガス供給
3 2 2 ライン 120が接続されている。
[0037] したがって、プロセス時には、 TiClガス供給源 112からの1じ1ガスが第 1の Nガ
4 4 2 ス供給源 113からの Nガスとともに TiClガス供給ライン 117を介してシャワーヘッド 6
2 4
0の第 1のガス導入口 61からシャワーヘッド 60内に至り、ガス通路 63, 65を経て吐出 孔 67からチャンバ一 51内へ吐出される一方、 NHガス供給源 114からの窒素含有
3
還元ガスである NHガスが第 2の Nガス供給源 115からの Nガスとともに NHガス
3 2 2 3 供給ライン 119を介してシャワーヘッド 60の第 2のガス導入口 62からシャワーヘッド 6 0内に至り、ガス通路 64, 66を経て吐出孔 68からチャンバ一 51内へ吐出される。
[0038] すなわち、シャワーヘッド 60は、 TiClガスと NHガスとが全く独立してチャンバ
4 3 一 5
1内に供給されるポストミックスタイプとなっており、これらは吐出後に混合され熱エネ ルギ一によつて反応が生じる。
[0039] なお、バルブ 121およびマスフローコントローラ 122はコントローラ 123によって制御 される。
[0040] 成膜装置 40の各構成部は、プロセスコントローラ 130に接続されて制御される構成 となっている。プロセスコントローラ 130には、工程管理者が成膜装置 40を管理する ためにコマンドの入力操作等を行うキーボードや、成膜装置 40の稼働状況を可視化 して表示するディスプレイ等からなるユーザーインターフェース 131が接続されている
[0041] また、プロセスコントローラ 130には、成膜装置 40で実行される各種処理をプロセス コントローラ 130の制御にて実現するための制御プログラムや、処理条件に応じてプ ラズマエッチング装置の各構成部に処理を実行させるためのプログラムすなわちレシ ピが格納された記憶部 132が接続されて ヽる。レシピはハードディスクや半導体メモ リに記憶されていてもよいし、 CDROM、 DVD等の可搬性の記憶媒体に収容された 状態で記憶部 132の所定位置にセットするようになっていてもよい。さらに、他の装置 から、例えば専用回線を介してレシピを適宜伝送させるようにしてもょ ヽ
[0042] そして、必要に応じて、ユーザーインターフェース 131からの指示等にて任意のレ シピを記憶部 132から呼び出してプロセスコントローラ 130に実行させることで、プロ セスコントローラ 130の制御下で、成膜装置 40での所望の処理が行われる。
[0043] 次に、このような装置を用いた成膜方法の第 1の実施形態について説明する。
まず、チャンバ一 51内を排気装置 88により引き切り状態とし、第 1の Nガス供給源
2
113および第 2の Nガス供給源 115から Nガスをシャワーヘッド 60を介してチャンバ
2 2
一 51内に導入しつつ、ヒーター 55によりチャンバ一 51内を予備加熱する。温度が安 定した時点で、第 1の Nガス供給源 113
2 、 NHガス供給源 114および TiClガス供給
3 4 源 112からそれぞれ Nガス、 NHガスおよび TiClガスを流し、シャワーヘッド 60を
2 3 4
介して所定流量で導入し、チャンバ一内圧力を所定値に維持する。 TiClガスにつ
4
V、ては、最初にプリフローライン(図示せず)を介して排気して流量を安定ィ匕させてか らシャワーヘッド 60側に切り換えてチャンバ一 51内に導入する。ヒーター 55によるカロ 熱によりチャンバ一 51内壁、サセプタ 52、ガイドリング 54およびシャワーヘッド 60等 のチャンバ一内部材表面に TiN膜をプリコートする。
[0044] プリコート処理が終了後、 NHガスおよび TiClガスを停止し、第 1および第 2の N
3 4 2 ガス供給源 113および 115から Nガスをパージガスとしてチャンバ
2 一 51内に供給し てチャンバ一 51内のパージを行い、その後、必要に応じて、 Nガスおよび NHガス
2 3 を流し、プリコートした TiN薄膜の表面の窒化処理を行い、プリコート膜を安定化させ る。
[0045] その後、排気装置 88によりチャンバ一 51内を急激に真空排気して引き切り状態と し、ゲートバルブ Gを開にして、搬入出口 92を介してウェハ Wをチャンバ一 51内へ 搬入する。そして、チャンバ一 51内に Nガスを供給してウエノ、 Wを予備加熱する。ゥ
2
ェハの温度が成膜温度にほぼ安定した時点で、 TiN膜の成膜を開始する。
[0046] TiN膜を成膜する際には、ヒーター 55によりウェハ温度を 450°C未満、好ましくは 4 00°C未満、さらに好ましくは 350°C以下にする。これにより、下地膜としてキャパシタ 材として用いられる例えば Ta O、 HfO、 HfSiO、 PZT、 BST、 RuO、 ReOゃコン タクト材料として用いられる例えば NiSiのような熱的影響を受けやすい膜が形成され ていても、下地にダメージを与えることなく成膜可能となる。
[0047] ウェハ温度をこのように設定した状態で、図 2のタイミングチャートに示すようにして TiN成膜を行う。最初に、 TiClガス供給源 112、 NHガス供給源 114から、 TiClガ
4 3 4 ス Gl、 NHガス G2を、第 1および第 2の Nガス供給源 113
3 2 , 115力らの Nガス G3に
2 キャリアさせてチャンバ一 51内に供給し、熱 CVDにより TiN力もなる薄い膜を成膜す る第 1ステップを行い、次いで、 TiClガス Gl
4 、 NHガス G2を停止し、図示しないパ
3
ージガスラインからパージガスとして Nガス G3をチャンバ一 51内に導入し、チャンバ
2
一 51内のパージを行い、その後、 NHガス供給源 114から NHガス G2を、第 2の N
3 3 2 ガス供給源 115からの Nガス G3にキャリアさせてチャンバ
2 一 51内に供給してァニー ルを行う第 2ステップを行う。引き続き、 NHガス G2を停止し、図示しないパージガス
3
ラインからパージガスとして Nガス G3をチャンバ
2 一 51内に導入し、チャンバ一 51内 のパージを行う。
[0048] 以上の工程を 1サイクルとして 1サイクル以上、好ましくは複数サイクル、より好ましく は 3サイクル以上、例えば 12— 24回程度繰り返す。このときのガスの切替は、コント口 ーラ 123によりバルブを切り替えることにより行われる。
[0049] このように以上の工程を 1サイクル以上行うことにより、 目的の厚さの TiN膜をウェハ
W上に成膜する。この TiN膜の膜厚は、たとえば、 5— 100nm、好ましくは 10— 50η mである。
[0050] なお、 TiN膜を成膜する前に、窒素原子または水素原子を含むガスを導入し、絶縁 膜表面を軽く窒化してもよい。
[0051] ところで、このような 450°C未満の低温成膜において、従来の TiN膜を成膜する際 と同様の高い NH分圧で成膜を行うと、異常成長が起こって膜質が低下し、比抵抗
3
が増大してしまう。
[0052] このため、本実施形態では、このような TiN成膜の際の第 1ステップにおける NH分
3 圧を 30Pa以下とする。これにより、実用的な成膜操作において異常成長が少なぐ 比抵抗の低い良質の TiN膜を成膜することができる。なお、 NH分圧は希釈ガスとし
3
て導入される Nガスの流量によって調整することができる。 [0053] 従来は、 TiN膜の成膜にぉ 、て、成膜速度が還元ガスである NHのガス分圧に比
3
例することから、有害な粉状の副生成物が生じない範囲で可能な限り NH分圧を大
3 きくして高スループットの成膜が指向されていたが、本実施形態では、従来の技術常 識に反して、成膜の際の NH分圧を 30Pa以下と低くする。これにより、 TiClと NH
3 4 3 との反応時間を長くして成膜速度を下げることができ、 TiClを NH
4 3によって十分に 還元することができる。したがって、上述のような低温成膜が可能となる。
[0054] 異常成長の少な!/ヽ、比抵抗の低!、良質の TiN膜を成膜するためのプロセスマージ ンをより広くする観点からは、成膜の際の NH分圧を 20Pa以下にすることが望ましい
3
。さらに望ましくは 15Pa以下である。
[0055] また、このような TiN成膜処理の際には、第 1ステップおよび第 2ステップともチャン バー 51内の全圧を lOOPaより大きくする。これによりステップカバレージを良好にす ることができる。チャンバ一 51内の全圧の上限は特に決める必要はないが、 1300Pa 程度が装置的に事実上の上限となる。好ましくは lOOPa超 667Pa以下である。
[0056] 従来の TiN膜の成膜処理においては、 TiN膜の異常成長を抑制して表面モホロジ 一の良好な膜を成膜するために、成膜の際のチャンバ一 51内の全圧を lOOPa以下 の低 、値にして成膜して 、たが、ステップカバレージが悪 、と 、う問題を抱えて!/、た 。これに対して、本実施形態では、 NH分圧を低下させることにより異常成長の小さ
3
い良質の膜を形成可能として 、るため、チャンバ一 51内の全圧を lOOPaより大きく することにより、膜質とステップカバレージとを両立させることができる。
[0057] なお、 1サイクルあたりの膜厚は、例えば、 0. 25-2. 50nmが例示される。
[0058] 以上のことを実験によって確認した結果を図 3に示す。この図 3は、図 2に例示され るような間欠的なガス供給による成膜にぉ 、て、成膜対象のウェハ Wの温度 (成膜温 度)が 380°Cの場合における NHガス G2の分圧(Pa)と、得られる TiN膜の比抵抗
3
値 Ω— cm)との関係を示している。なお、チャンバ一内の全圧は、 260Paとした。
[0059] この図 3から明らかなように、 1サイクルで形成される膜厚が大きいほど、必要なサイ クル数は少なくなる。たとえば、最終膜厚が 16nmの TiN膜を形成する場合、 1サイク ルで形成される膜厚 Τ Ι λ. 00 、 1. 00 、 0. 50 、 0. 25 では、それぞ hk
れ、 8、 16、 32、 64サイクル反復する必要がある。そして、 1サイクルで形成される膜 厚が大きくサイクル数が少ないほど高スループットとなるが、異常成長や比抵抗の増 大も起こりやすくなる。
[0060] この図から、 NHガス G2の分圧が 30Pa以下であれば、 1サイクル当たりの膜厚が
3
実用的な膜厚である 0. 50nm (32サイクル)において、上部電極として適正な比抵 抗値の目安であり、異常成長が生じにくい 800 Ω— cm以下となることが確認される 。また、 NHガス G2の分圧力 20Pa以下であれば、 1サイクル当たりの膜厚がさらに
3
厚い 1. OOnm (16サイクル)や 2. OOnm (8サイクル)においても低比抵抗値で異常 成長のな 、膜が成膜されることが確認される。
[0061] その他の条件としては以下の通りである。第 1ステップの TiClガス G1の分圧として
4
は、 1一 lOOPaが例示され、ステップカバレージをより良好にする観点からは、 lOPa 超 lOOPa以下の範囲にすることが好ましい。また、第 2ステップの NHガス G2の分圧
3
は 10— 1300Paが例示され、 40Pa以上が好ましぐ lOOPa超がより好ましい。すな わち、ガス圧のほとんど NHガス圧になるようにすることが好ましい。各ガスの供給流
3
量については、 TiClガス G1は 5— 200mL/分が例示され、 NHガス G2は、第 1ス
4 3
テツプの成膜時は 5— 300mLZ分、第 2ステップのァニール時 30— 5000mLZ分 が例示される。また、パージ用の Nガス G3は、 50— 5000mLZ分、望ましくは 50—
2
lOOOmLZ分が例示される。
[0062] より異常成長の少ない良質の膜を得る観点からは、第 1ステップにおける NHガス
3
G2の流量を 20mLZ分以上とすることが好ましい。上限は特に存在しないが、実用 上 20— 300mLZ分が採用される。
[0063] 第 1ステップの NHガス分圧および全圧以外の条件を加味した、好ましい条件は以
3
下のようになる。
全圧: lOOPa超
第 1ステップの NH分圧: 30Pa以下
3
(好ましくは 20Pa以下、より好ましくは 15Pa)
TiClガス分圧: lOPa超 lOOPa以下
4
第 1ステップにおける NHガス流量: 20mLZ分以上
3
1サイクルの膜厚: NH分圧が 30Pa以下のとき 0. 50nm以下、 20Pa以下のとき 2. OOnm以下
[0064] そして、 TiN膜の成膜に際し、図 2に示す第 1ステップの時間としては 2— 8秒間が 例示され、その後のパージの時間としては 0. 5— 20秒間が例示され、第 2ステップの 時間としては 0. 5— 8秒間が例示され、その後のパージの時間としては 0. 5— 20秒 間が例示される。
[0065] TiN成膜工程が終了後、 NHガスおよび TiClガスを停止し、図示しないパージガ
3 4
スラインから Nガスをパージガスとして好ましくはそれぞれ 0. 5— lOLZminの流量
2
で流して、チャンバ一 51内のパージを行い、その後、 Nガスおよび NHガスを流し、
2 3
ウエノ、 Wに成膜した TiN薄膜の表面のナイトライド処理を行う。この際の Nガスの供
2 給は、第 1および第 2の Nガス供給源 113および 115のいずれか、または両方から
2
行われる。なお、このナイトライド処理は必須なものではない。
[0066] 所定時間経過後、 Nガスおよび NHガスを徐々に停止し、これらのガスの供給が
2 3
完全に停止された時点でプロセスを終了する。
[0067] このように、本第 1の実施形態では、 450°C未満、好ましくは 400°C未満、例えば 38 0°Cの成膜温度下において、前後にパージをはさんだ第 1ステップおよび第 2ステツ プという交互的なガスフローを行うことにより成膜する場合において、窒素含有還元 ガスである NHガス G2の分圧を 30Pa以下、好ましくは 20Pa以下、より好ましくは 15
3
Pa未満としているので、第 1ステップで成膜速度を抑制して、十分な時間をかけて成 膜された TiN膜が第 2ステップのァニールにより効率的に脱 C1され、膜中の残留塩素 を著しく低くすることができ、低温成膜であっても残留塩素の少ない低比抵抗値の良 質の TiN膜を成膜することができ、かつ、全圧を lOOPa超と高くしているのでステップ カバレージを良好にすることができる。
[0068] すなわち、 DRAMメモリー部のキャパシタ材として用いられる例えば Ta O、 HfO
2 5 2
、 HfSiO、 PZT、 BST、 RuO eOのような高誘電率膜や、コンタクト材料として用
2、 R
2
いられる NiSi膜に代表される熱的に不安定な下地膜上に形成する場合でも問題の ない 450°C未満、さらには 400°C未満の低温で、比抵抗値が低ぐ異常成長のない 良質の TiN膜を高ステップカバレージで成膜することが可能となる。
[0069] なお、 TiN薄膜の成膜初期のみ、上述の NH分圧を 30Pa以下にした交互的成膜 を 450°C未満の低温で行 、 (第 1成膜工程)、下地に対して影響を与えな 、厚さまで 成膜後、連続して、温度 450°C以上で通常の連続的な CVD - TiN成膜 (連続成膜) 、または NH分圧を 30Pa以上で図 2のサイクルを実施する成膜 (第 2成膜工程)を行
3
つてもよい。これにより、スループットを向上させることができる。
[0070] この場合に、第 1成膜工程の TiN膜の膜厚よりも第 2成膜工程の TiN膜の膜厚のほ うが大きい方が好ましい。ただし、第 1成膜工程の TiN膜の膜厚のほうが厚くてもよい 。第 1成膜工程による TiN膜の膜厚は、例えば 5— 50nmであり、第 2成膜工程による TiN膜の膜厚は、例えば 5— 95nmである。
[0071] 次に第 2の実施形態について説明する。
上述の、図 3からも読み取れるように、 1サイクルあたりの膜厚を小さくしてサイクル 数を増やせば、スループットは低下するものの、 NHガス G2の分圧が 30Paを超えて
3
も良質な TiN膜を形成することは可能である。そこで、本実施形態では、まず、 NH
3 ガスの分圧およびの膜質に対する相互作用を考慮して製造条件を設定する。
[0072] 上述したように、形成された膜の膜質は比抵抗で把握することができ、比抵抗が 80 Ο μ Ω— cm以下であれば異常成長がほとんど存在しない良好な膜であることが把握 されていることから、ここでは比抵抗が 800 Ω— cm以下になるように NHガス分圧
3 および 1サイクルあたりの膜厚を決定する。
[0073] 図 4は、 NHガス分圧 P と TiN膜の比抵抗との関係を示すグラフである。ここでは、
3 N
1サイクル当たりの膜厚 T を 0· 5nm、 NHガスの流量 Fを 30mLZmin、ウェハ温 hk 3 N
度 T を 400°Cとしている。図 4に示すように、 NHガス分圧 Pが上昇するに従って比
W 3 N
抵抗が上昇する曲線が描かれ、 TiN膜の比抵抗を Rとしてこの曲線の式を計算する と以下の(1)式となる。
R= 71. 576Ln (P ) + 338. 88 ( 1)
N
[0074] 図 5は、 1サイクル当たりの膜厚 T と TiN膜の比抵抗との関係を示すグラフである。
hk
ここでは、 NHガス分圧 Pを 30Pa、 NHガスの流量 Fを 30mL/min、ウェハ温度
3 N 3 N
T を 400°Cとしている。図 5に示すように、 1サイクル当たりの膜厚 T が大きくなるに
W hk
従って比抵抗が上昇する曲線が描かれ、 TiN膜の比抵抗を Rとしてこの曲線の式を 計算すると以下の(2)式となる。 R=115.75Ln(T )+662.55 (2)
hk
[0075] :れら図 4および図 5の関係から、 NHガス分圧 P および 1サイクル当たりの膜厚
NH
T の TiN膜の比抵抗 Rへの影響を示す式を求めると、以下の(3)式となる。
hk
R=115.75XLn(T )+71.576XLn(P ) +
hk N
418.8 (3)
[0076] したがって、上記(3)式の TiN膜の比抵抗 Rが 800 Ω— cmを超えないように、 N Hガス分圧 P および 1サイクル当たりの膜厚 T を決定する。これにより、異常成長の
3 N hk
ほとんど存在しない良質の膜を得ることができる。また、本実施形態においても第 1の 実施形態と同様、高ステップカバレージを得る観点から、成膜の際のチャンバ一 51 内の全圧を lOOPa超とする。なお、 NHガス分圧 P は、希釈ガスとして流入される N
3 N
ガスの流量により調整することができる。
2
[0077] ところで、 TiN膜の比抵抗は NHガス流量 F とも相関があり、これらの関係は図 6の
3 N
ようになる。ここでは、 NHガス分圧 P を 30Pa
3 N 、 1サイクル当たりの膜厚 T を 0· 5nm hk
、ウェハ温度 T を 400°Cとしている。図 6に示すように、 NHガス流量 F が大きくなる
W 3 N
に従って比抵抗が低下する曲線が描かれ、 TiN膜の比抵抗を Rとしてこの曲線の式 を計算すると以下の (4)式となる。
R=-57.685Ln(F ) +778.92 (4)
N
[0078] この図 6の関係と、上記図 4および図 5の関係とから、上記 NHガス分圧 P および 1
3 N サイクル当たりの膜厚 T に加えて NHガス流量 F を考慮した場合の TiN膜の比抵 hk 3 N
抗を示す式を求めると、以下の(5)式となる。
R=115.75XLn(T )+71.576XLn(P )
hk N
-57.685XLn(F ) +614 (5)
N
[0079] したがって、 NHガス分圧 P および 1サイクル当たりの膜厚 T に加えて NHガス
3 N hk 3 流量 F を考慮する場合には、上記(5)式の TiN膜の比抵抗 Rが 800 Ω— cmを超
N
えないように、 NHガス分圧 P サイクル当たりの膜厚 T NHガス流量 F
3 N、 1 、および
hk 3 N を決定すればよい。
[0080] 本実施形態は、成膜温度 450°C未満の低温成膜が前提であり、このような低温成 膜においても比抵抗の低い良好な膜質の TiN膜を得ようとするものであるが、 TiN膜 の比抵抗は成膜の際のウェハ温度 T とも相関があり、これらの関係は図 7のようにな
W
る。ここでは、 ΝΗガス分圧 Ρ を 30Pa、 1サイクル当たりの膜厚 T を 0· 5nm、 NH
3 N hk 3 ガス流量 Fを 30mLZminとしている。図 7に示すように、ウェハ温度が高くなるに従
N
つて比抵抗が低下する曲線が描かれ、 TiN膜の比抵抗を Rとしてこの曲線の式を計 算すると以下の(6)式となる。
R=-2844. 6Ln(T ) + 17568 (6)
w
[0081] この図 7の関係と、上記図 4、図 5および図 6の関係とから、上記 NHガス分圧 P 、 1
3 N サイクル当たりの膜厚 T 、および NHガス流量 F にカ卩えて、ウェハ温度 T を考慮し hk 3 N W た場合の TiN膜の比抵抗を示す式を求めると、以下の(7)式となる。
R= 115. 75 X Ln (T ) + 71. 576 X Ln (P )
hk N
-57. 685 X Ln (F )-2844. 6Ln (T )
N W
+ 17658. 3 (7)
[0082] したがって、 NHガス分圧 P 、 1サイクル当たりの膜厚 T 、および NHガス流量 F
3 N hk 3 N に加えてウェハ温度 T を考慮する場合には、上記(8)式の TiN膜の比抵抗 Rが 800
W
μ Ω— cmを超えないように、 NHガス分圧 P 、 1サイクル当たりの膜厚 T 、 NHガス
3 N hk 3 流量 F 、およびウェハ温度 T を決定すればよい。
N W
[0083] 上記複数のパラメータを変化させた場合において、 1サイクル当たりの膜厚 T を横 hk 軸にとり、上記(7)式で計算した TiN膜の比抵抗 Rを縦軸にとって、これらの関係を示 すグラフを図 8に示し、このような比抵抗の計算値と実際の比抵抗の値の関係を図 9 に示す。図 9に示すように、 TiN膜の比抵抗の値が 800 Ω cmまでは、実際の値と 計算値がほぼ一致しているのがわかる。比抵抗の値が 800 Ω— cmを超えると、計 算値よりも実際の値のほうが上昇する傾向にある力 これは、 800 /z Ω— cmまでは比 抵抗上昇の要因のほとんどが膜中の C1濃度の上昇によるものであるのに対し、 800 μ Ω cmを超えると異常成長により急激に膜が粗雑となり、このことによる比抵抗上 昇分が加わるためである。逆に、このような計算上の比抵抗と実際の比抵抗との間の ずれが、 800 ^ Ω— cmを超えた際における異常成長の証左となる。いずれにしても、 上記計算式は比抵抗の値が 800 μ Ω cmまでは実際の値とほぼ一致して 、ることか ら、上記計算式で計算した TiN膜の比抵抗 Rが 800 Ω— cm以下であれば、実際に も異常成長がほとんど生じて 、な 、と言える。
[0084] 本実施形態においては、上記(3)式、(5)式、(7)式のいずれかを満たし、かつチ ヤンバー 51内の全圧を lOOPa超にすればよいが、第 1ステップの NHガス分圧、 N
3
Hガス流量、 1サイクルの膜厚、ウェハ温度の好ましい範囲は、以下のとおりである。
3
第 1ステップの NH分圧: 70Pa以下
3
第 1ステップの NHガス
3 流量: lOmLZ分以上
1サイクルの膜厚: 2. 5nm以下
ウェハ温度: 300— 450°C
[0085] その他、第 1の実施形態と同様、 TiClガス分圧が lOPa超 lOOPa以下であることが
4
好ましい。その他の条件は第 1の実施形態に例示した条件を採用することができる。
[0086] このように本実施形態においては、上記(3)式、(5)式、(7)式のいずれかを満たし 、かつ全圧を lOOPa超とすることにより、 DRAMメモリー部のキャパシタ材として用い られる例えば Ta O、 HfO、 HfSiO、 PZT、 BST、 RuO、 ReOのような高誘電率
2 5 2 2 2
膜や、コンタクト材料として用いられる NiSi膜に代表される熱的に不安定な下地膜上 に形成する場合でも問題のない 450°C未満、さらには 400°C未満の低温で、比抵抗 値が低ぐ異常成長のない良質の TiN膜を高ステップカバレージで成膜することが可 能となる。
[0087] なお、本実施形態にお!ヽても、 TiN薄膜の成膜初期のみ、成膜ガスおよび還元ガ スを用いた、上記条件を満たす交互的成膜を 450°C未満の低温で行!、 (第 1成膜ェ 程)、下地に対して影響を与えない厚さまで成膜後、連続して、温度 450°C以上で通 常の連続的な CVD— TiN成膜 (連続成膜)(第 2成膜工程)を行ってもよい。これによ り、スループットを向上させることができる。この場合にも第 1の実施形態の場合と同 様、上記第 1の実施形態の場合と同様、第 1成膜工程の TiN膜の膜厚よりも第 2成膜 工程の TiN膜の膜厚のほうが大きい方が好ましい。ただし、第 1成膜工程の TiN膜の 膜厚のほうが厚くてもよい。また、この場合の膜厚も、第 1の実施形態と同様、第 1成 膜工程では、例えば 5— 50nmであり、第 2成膜工程では、例えば 5— 95nmである。
[0088] 次に、本発明に係る成膜方法によって成膜された TiN薄膜をメタル配線層のコンタ タト部の形成工程に用いた例について図 10を参照しながら説明する。この図 10の例 では、 Si基板上に形成された配線層等の NiSi膜 10上に層間絶縁膜 11が形成され ており、層間絶縁膜 11には NiSi膜 10に達するコンタクトホール 12が形成されている 。層間絶縁膜 11およびコンタクトホール 12には Ti薄膜 13が形成され、 Ti薄膜 13と N iSi膜 10の接合部には、 Ti薄膜 13側からの Tiと、 NiSi膜 10側からの Siが相互に拡 散し合うことで TiSi部 10aが形成されている。 Ti薄膜 13の上には本発明の方法で低 温形成された TiN薄膜 14が積層されて 、る。
[0089] TiN薄膜 14の下地となる NiSi膜 10は耐熱性が低く熱に敏感である力 本発明で は TiN薄膜 14を 450°C未満の低温で形成するため、 NiSi膜 10は熱的なダメージを 受けること力 よく、良好なコンタクトを形成することができる。
[0090] この TiN薄膜 14の上には例えば Cuまたは Wからなるメタル配線層 16が形成されて いる。このメタル配線層 16はコンタクトホール 12内にも充填され、 TiSi部 10aを介し て NiSi膜 10とメタル配線層 16とが導通される。上述のように TiSi部 10aの低抵抗値 を維持しつつ、 TiN薄膜 14が形成できるため、 TiSi部 10aを介したメタル配線層 16 と NiSi膜 10との良好な電気的導通が達成される。なお、下地が CoSi膜の場合にも 適用可能である。
[0091] 次に、本発明に係る TiN薄膜を DRAM等のキャパシタ構造に用いた例について図 11を参照しながら説明する。この図 11の例では、 Si基板 20の不純物拡散領域 20a には、表面が凹凸をなすことで大きな表面積 (すなわちキャパシタの大きな電荷蓄積 量)を実現する HSG (hemispherical grained)多結晶シリコンからなる下部電極層 21 が接続されており、この下部電極層 21の上部は、 RTN (Rapid
Thermal Nitrization)処理を施すことにより極薄い SiNバリア層 22が形成され、その上 に Ta Oからなる誘電体層 23が形成され、さら〖こ、その上には本発明の成膜方法で
2 5
形成された TiN薄膜からなる上部電極層 24が誘電体層 23の凹部内を含み高力バレ ージで形成されている。そして、上部電極層 24の上にはメタル配線層(図示せず)が 形成される。
[0092] TiN薄膜からなる上部電極層 24の成膜に際して下地となる Ta Oからなる誘電体
2 5
層 23は、熱的に不安定でダメージを受けやすいが、本発明では、上部電極層 24を 構成する TiN膜の成膜の際に 450°C未満の低温成膜が可能であるため、熱に敏感 な Ta O力もなる絶縁層 23がダメージを受けることがなぐ良好なキャパシタンスを維
2 5
持することができ、キャパシタ部、延いてはメモリ素子全体の歩留りが向上する。
[0093] 次に、本発明に係る TiN薄膜を DRAM等のキャパシタ構造に用いた他の例につ いて図 12を参照しながら説明する。この図 12の例では、大きな表面積 (すなわちキヤ パシタの大きな電荷蓄積量)を実現できるように高アスペクト比のフィン状をなす多結 晶シリコン力 なる下部電極 2 が Si基板の不純物拡散領域(図示せず)上に形成 されている。このフィン状の下部電極 21/ のアスペクト比は 12以上であり、好ましくは 15— 100である。この下部電極層 21/ の上部は、 RTN (Rapid Thermal Nitrization) 処理を施すことにより極薄い SiNバリア層 22' が形成され、その上に Ta Oからなる
2 5 誘電体層 23' が形成され、さら〖こ、その上には本発明の成膜方法で形成された TiN 薄膜からなる上部電極層 24' が誘電体層 2 の凹部内を含み高カバレージで形 成されている。そして、上部電極層 24' の上にはメタル配線層(図示せず)が形成さ れる。
[0094] この構造の場合にも、上部電極層 24^ を構成する TiN膜の成膜の際に 450°C未 満の低温成膜が可能であるため、熱に敏感な Ta Oカゝらなる誘電体層 23' がダメー
2 5
ジを受けることがなぐ良好なキャパシタンスを維持することができ、キャパシタ部、延 いてはメモリ素子全体の歩留りが向上する。
[0095] 次に、本発明に係る TiN薄膜を DRAM等のキャパシタ構造に用いたさらに他の例 について図 13を参照しながら説明する。この図 13の例では、 Si基板 30の不純物拡 散領域 30aには、アモルファス S もなる下部電極層 31が接続されており、この下部 電極層 31の上には、シリコンに RTN (Rapid Thermal Nitrization)処理を施して开成 された SiNバリア層 32を介して Ta Oからなる誘電体層 33が形成され、その上には
2 5
本発明の TiN系薄膜からなる上部電極層 34が形成されている。そして、上部電極層 34の上にはメタル配線層(図示せず)が形成されている。
[0096] この構造の場合にも、上部電極層 34を構成する TiN膜の成膜の際に 450°C未満 の低温成膜が可能であるため、熱に敏感な Ta O力もなる誘電体層 33がダメージを
2 5
受けること力 よく、良好なキャパシタンスを維持することができ、キャパシタ部、延いて はメモリ素子全体の歩留りが向上する。 なお、本発明は、上記実施の形態に限らず種々変形可能である。例えば、上記実 施の形態では Ti含有ィ匕合物ガスとして TiClを用いたが、例えば有機 Tiィ匕合物等、
4
他のガスを用いても良い。また窒素含有還元ガスとして NHを用いたが、 MMH等の
3
他のガスを用いても良い。さら〖こ、上記実施の形態では、 TiNの成膜に適用した場合 について示した力 TaNや WN等の一般の金属窒化膜にも適用することができる。さ らにまた、被処理基板として半導体ウェハを用いた例を示したが、液晶表示装置用 基板等の他の基板であってもよ 、。

Claims

請求の範囲
[1] 処理容器内で成膜温度に加熱された被処理基板に金属化合物ガスおよび窒素含 有還元ガスを供給して CVDにより金属窒化物からなる膜を形成する第 1ステップと、 前記金属化合物ガスを停止して前記窒素含有還元ガスを供給する第 2ステップとか らなるサイクルを 1サイクル以上繰り返し、前記被処理基板上に所定厚さの金属窒化 膜を成膜する方法であって、
成膜の際における前記被処理基板の温度を 450°C未満とし、前記処理容器内の 全圧を lOOPa超とし、前記第 1ステップにおける前記処理容器内の窒素含有還元ガ スの分圧を 30pa以下とする成膜方法。
[2] 請求項 1の成膜方法において、前記 1サイクルでの成膜厚さが 0. 50nm以下であ る。
[3] 請求項 1の成膜方法において、前記第 1ステップにおける前記処理容器内の窒素 含有還元ガスの分圧は 20Pa以下である。
[4] 請求項 3の成膜方法において、前記 1サイクルでの成膜厚さが 2. Onm以下である
[5] 請求項 1の成膜方法において、前記第 1ステップにおける前記処理容器内の窒素 含有還元ガスの分圧は 15Pa以下である。
[6] 請求項 1の成膜方法において、成膜の際における前記被処理基板の温度は 400
°C以下である。
[7] 処理容器内で成膜温度に加熱された被処理基板に Ti化合物ガスおよび窒素含有 還元ガスを供給して CVDにより TiNカゝらなる膜を形成する第 1ステップと、前記 Tiィ匕 合物ガスを停止して前記窒素含有還元ガスを供給する第 2ステップとからなるサイク ルを 1サイクル以上繰り返し、前記被処理基板上に所定厚さの TiN膜を成膜する方 法であって、
成膜の際における前記被処理基板の温度を 450°C未満とし、前記処理容器内の 全圧を lOOPa超とし、前記第 1ステップにおける前記処理容器内の窒素含有還元ガ スの分圧を 30pa以下とする成膜方法。
[8] 請求項 7の成膜方法にぉ 、て、前記 Tiィ匕合物ガスは TiClであり、前記窒素含有 還元ガスは NHである。
3
[9] 請求項 7の成膜方法において、前記 1サイクルでの成膜厚さが 0. 50nm以下であ る。
[10] 請求項 7の成膜方法において、前記第 1ステップにおける前記処理容器内の窒素 含有還元ガスの分圧は 20Pa以下である。
[11] 請求項 10の成膜方法において、前記 1サイクルでの成膜厚さが 2. Onm以下であ る。
[12] 請求項 7の成膜方法において、前記第 1ステップにおける前記処理容器内の窒素 含有還元ガスの分圧は 15Pa以下である。
[13] 請求項 7の成膜方法において、成膜の際における前記被処理基板の温度は 400
°C以下である。
[14] 請求項 7の成膜方法において、前記第 1ステップにおける窒素含有還元ガスの流 量は 20mLZ分以上である。
[15] 請求項 7の成膜方法において、前記第 1ステップにおける前記 Tiィ匕合物ガスの分 圧は、 lOPa超 50Pa以下である。
[16] 請求項 7の成膜方法において、 TiN膜の比抵抗が 800 Ω— cm以下である。
[17] 処理容器内で成膜温度に加熱された被処理基板に金属化合物ガスおよび窒素含 有還元ガスを供給して CVDにより金属窒化物からなる膜を形成する第 1ステップと、 前記金属化合物ガスを停止して前記窒素含有還元ガスを供給する第 2ステップとか らなるサイクルを 1サイクル以上繰り返し、前記被処理基板上に第 1の厚さで初期金 属窒化膜を成膜し、その後被処理基板に金属化合物ガスおよび窒素含有還元ガス を供給して連続的な CVDにより第 2の厚さで残余の金属窒化膜を成膜する方法であ つて、
前記初期金属窒化膜の成膜は、前記被処理基板の温度を 450°C未満とし、前記 処理容器内の全圧を lOOPa超とし、前記第 1ステップにおける前記処理容器内の窒 素含有還元ガスの分圧を 30pa以下として行う成膜方法。
[18] 請求項 17の成膜方法において、前記第 1の厚さは、前記第 2の厚さよりも小さい。
[19] 請求項 17の成膜方法において、前記残余の金属窒化膜を成膜する際の成膜温度 は 450°C以上である。
[20] 請求項 17の成膜方法において、前記第 1の厚さは 5— 50nmであり、前記第 2の厚 さは 5— 95nmである。
[21] 処理容器内で成膜温度に加熱された被処理基板に金属化合物ガスおよび窒素含 有還元ガスを供給して CVDにより金属窒化物からなる膜を形成する第 1ステップと、 前記金属化合物ガスを停止して前記窒素含有還元ガスを供給する第 2ステップとか らなるサイクルを 1サイクル以上繰り返して前記被処理基板上に第 1の厚さの初期金 属窒化膜し、その上に前記第 1ステップと前記第 2ステップとからなるサイクルを 1サイ クル以上繰り返して第 2の厚さの残余の金属窒化膜を成膜する方法であって、 前記初期金属窒化膜を成膜する際に、前記被処理基板の温度を 450°C未満とし、 前記処理容器内の全圧を lOOPa超とし、前記第 1ステップにおける前記処理容器内 の窒素含有還元ガスの分圧を 30pa以下として行 、、前記残余の金属窒化膜を成膜 する際に、前記第 1ステップにおける前記処理容器内の窒素含有還元ガスの分圧を 30pa超として行う成膜方法。
[22] 請求項 21の成膜方法において、前記残余の金属窒化膜を成膜する際の成膜温度 は 450°C以上である。
[23] 請求項 21の成膜方法において、前記第 1の厚さは 5— 50nmであり、前記第 2の厚 さは 5— 95nmである。
[24] 処理容器内で成膜温度に加熱された被処理基板に金属化合物ガスおよび窒素含 有還元ガスを供給して CVDにより金属窒化物からなる膜を形成する第 1ステップと、 前記金属化合物ガスを停止して前記窒素含有還元ガスを供給する第 2ステップとか らなるサイクルを 1サイクル以上繰り返し、前記被処理基板上に所定厚さの金属窒化 膜を成膜する方法であって、
成膜の際における前記被処理基板の温度を 450°C未満とし、前記処理容器内の 全圧を lOOPa超とし、かつ前記第 1ステップにおける処理容器内の前記窒素含有還 元ガスの分圧を P (Pa)、 1サイクル当たりの膜厚を T (nm)としたとき、以下の (A)
N hk
式で計算される金属窒化膜の比抵抗値 Rが 800 μ Ω cm以下となるようにする成膜 方法。 R= 115. 75 X Ln (T ) + 71. 576 X Ln (P ) +
hk N
418. 8 (A)
[25] 請求項 24の成膜方法にぉ 、て、前記金属化合物ガスは Tiィ匕合物ガスであり、前記 金属窒化膜は TiN膜である。
[26] 請求項 25の成膜方法にぉ 、て、前記 Tiィ匕合物ガスは TiClであり、前記窒素含有
4
還元ガスは NHである。
3
[27] 処理容器内で成膜温度に加熱された被処理基板に金属化合物ガスおよび窒素含 有還元ガスを供給して CVDにより金属窒化物からなる膜を形成する第 1ステップと、 前記金属化合物ガスを停止して前記窒素含有還元ガスを供給する第 2ステップとか らなるサイクルを 1サイクル以上繰り返し、前記被処理基板上に所定厚さの金属窒化 膜を成膜する方法であって、
成膜の際における前記被処理基板の温度を 450°C未満とし、前記処理容器内の 全圧を lOOPa超とし、かつ前記第 1ステップにおける処理容器内の前記窒素含有還 元ガスの分圧を P (Pa)、 1サイクル当たりの膜厚を T (nm)、前記第 1ステップにお
N hk
ける前記窒素含有還元ガスの流量を F (mLZ分)としたとき、以下の(B)式で計算さ
N
れる金属窒化膜の比抵抗値 Rが 800 μ Ω cm以下となるようにする成膜方法。
R= 115. 75 X Ln (T ) + 71. 576 X Ln (P )
hk N
-57. 685 X Ln (F ) +614 (B)
N
[28] 請求項 27の成膜方法にぉ 、て、前記金属化合物ガスは Tiィ匕合物ガスであり、前記 金属窒化膜は TiN膜である。
[29] 請求項 28の成膜方法にぉ 、て、前記 Tiィ匕合物ガスは TiClであり、前記窒素含有
4
還元ガスは NHである。
3
[30] 処理容器内で成膜温度に加熱された被処理基板に金属化合物ガスおよび窒素含 有還元ガスを供給して CVDにより金属窒化物からなる膜を形成する第 1ステップと、 前記金属化合物ガスを停止して前記窒素含有還元ガスを供給する第 2ステップとか らなるサイクルを 1サイクル以上繰り返し、前記被処理基板上に所定厚さの金属窒化 膜を成膜する方法であって、
成膜の際における前記被処理基板の温度を 450°C未満とし、前記処理容器内の 全圧を lOOPa超とし、かつ前記第 1ステップにおける処理容器内の前記窒素含有還 元ガスの分圧を P (Pa)、 1サイクル当たりの膜厚を T (nm)、前記第 1ステップにお
N hk
ける前記窒素含有還元ガスの流量を F (mLZ分)、被処理基板の温度を T (°C)と
N W
したとき、以下の(C)式で計算される金属窒化膜の比抵抗値 Rが 800 Ω— cm以下 となるようにする成膜方法。
R= 115. 75 X Ln (T ) + 71. 576 X Ln (P )
hk N
-57. 685 X Ln (F )-2844. 6Ln (T )
N W
+ 17658. 3 (C)
[31] 請求項 30の成膜方法にぉ 、て、前記金属化合物ガスは Tiィ匕合物ガスであり、前記 金属窒化膜は TiN膜である。
[32] 請求項 31の成膜方法にぉ 、て、前記 Tiィ匕合物ガスは TiClであり、前記窒素含有
4
還元ガスは NHである。
3
[33] 処理容器内で成膜温度に加熱された被処理基板に金属化合物ガスおよび窒素含 有還元ガスを供給して CVDにより金属窒化物からなる膜を形成する第 1ステップと、 前記金属化合物ガスを停止して前記窒素含有還元ガスを供給する第 2ステップとか らなるサイクルを 1サイクル以上繰り返し、前記被処理基板上に所定厚さの金属窒化 膜を成膜するにあたり、成膜の際における前記被処理基板の温度を 450°C未満とし 、前記処理容器内の全圧を lOOPa超とし、前記第 1ステップにおける前記処理容器 内の窒素含有還元ガスの分圧を 30pa以下とするように、コンピュータが成膜装置を 制御するソフトウェアを含む、コンピュータにより読み取り可能な記録媒体。
[34] 処理容器内で成膜温度に加熱された被処理基板に Ti化合物ガスおよび窒素含有 還元ガスを供給して CVDにより TiNカゝらなる膜を形成する第 1ステップと、前記 Tiィ匕 合物ガスを停止して前記窒素含有還元ガスを供給する第 2ステップとからなるサイク ルを 1サイクル以上繰り返し、前記被処理基板上に所定厚さの TiN膜を成膜するに あたり、成膜の際における前記被処理基板の温度を 450°C未満とし、前記処理容器 内の全圧を lOOPa超とし、前記第 1ステップにおける前記処理容器内の窒素含有還 元ガスの分圧を 30pa以下とするように、コンピュータが成膜装置を制御するソフトゥ エアを含む、コンピュータにより読み取り可能な記録媒体。 [35] 処理容器内で成膜温度に加熱された被処理基板に金属化合物ガスおよび窒素含 有還元ガスを供給して CVDにより金属窒化物からなる膜を形成する第 1ステップと、 前記金属化合物ガスを停止して前記窒素含有還元ガスを供給する第 2ステップとか らなるサイクルを 1サイクル以上繰り返し、前記被処理基板上に所定厚さの金属窒化 膜を成膜するにあたり、成膜の際における前記被処理基板の温度を 450°C未満とし 、前記処理容器内の全圧を lOOPa超とし、かつ前記第 1ステップにおける処理容器 内の前記窒素含有還元ガスの分圧を P (Pa)、 1サイクル当たりの膜厚を T (nm)と
N hk したとき、以下の (A)式で計算される金属窒化膜の比抵抗値 Rが 800 Ω— cm以下 となるように、コンピュータが成膜装置を制御するソフトウェアを含む、コンピュータに より読み取り可能な記録媒体。
R= 115. 75 X Ln (T ) + 71. 576 X Ln (P ) +
hk N
418. 8 (A)
[36] 請求項 35の記録媒体にお 、て、前記金属化合物ガスは Tiィ匕合物ガスであり、前記 金属窒化膜は TiN膜である。
[37] 処理容器内で成膜温度に加熱された被処理基板に金属化合物ガスおよび窒素含 有還元ガスを供給して CVDにより金属窒化物からなる膜を形成する第 1ステップと、 前記金属化合物ガスを停止して前記窒素含有還元ガスを供給する第 2ステップとか らなるサイクルを 1サイクル以上繰り返し、前記被処理基板上に所定厚さの金属窒化 膜を成膜するにあたり、成膜の際における前記被処理基板の温度を 450°C未満とし 、前記処理容器内の全圧を lOOPa超とし、かつ前記第 1ステップにおける処理容器 内の前記窒素含有還元ガスの分圧を P (Pa)、 1サイクル当たりの膜厚を T (nm) ,
N hk 前記第 1ステップにおける前記窒素含有還元ガスの流量を F (mLZ分)としたとき、
N
以下の(B)式で計算される金属窒化膜の比抵抗値 Rが 800 μ Ω— cm以下となるよう に、コンピュータが成膜装置を制御するソフトウェアを含む、コンピュータにより読み 取り可能な記録媒体。
R= 115. 75 X Ln (T ) + 71. 576 X Ln (P )
hk N
-57. 685 X Ln (F ) +614 (B)
N
[38] 請求項 37の記録媒体にお 、て、前記金属化合物ガスは Tiィ匕合物ガスであり、前記 金属窒化膜は TiN膜である。
[39] 処理容器内で成膜温度に加熱された被処理基板に金属化合物ガスおよび窒素含 有還元ガスを供給して CVDにより金属窒化物からなる膜を形成する第 1ステップと、 前記金属化合物ガスを停止して前記窒素含有還元ガスを供給する第 2ステップとか らなるサイクルを 1サイクル以上繰り返し、前記被処理基板上に所定厚さの金属窒化 膜を成膜するにあたり、成膜の際における前記被処理基板の温度を 450°C未満とし 、前記処理容器内の全圧を lOOPa超とし、かつ前記第 1ステップにおける処理容器 内の前記窒素含有還元ガスの分圧を P (Pa)、 1サイクル当たりの膜厚を T (nm)、
N hk 前記第 1ステップにおける前記窒素含有還元ガスの流量を F (mLZ分)、被処理基
N
板の温度を T (°C)としたとき、以下の(C)式で計算される金属窒化膜の比抵抗値 R
W
力 ¾00/ζ Ω— cm以下となるように、コンピュータが成膜装置を制御するソフトウェアを 含む、コンピュータにより読み取り可能な記録媒体。
R=115.75XLn(T )+71.576XLn(P )
hk N
-57.685XLn(F )-2844.6Ln(T )
N W
+ 17658.3 (C)
[40] 請求項 39の記録媒体にお 、て、前記金属化合物ガスは Tiィ匕合物ガスであり、前記 金属窒化膜は TiN膜である。
PCT/JP2005/000384 2004-01-15 2005-01-14 成膜方法 WO2005069358A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2005517080A JP4787020B2 (ja) 2004-01-15 2005-01-14 成膜方法
US10/585,732 US7776742B2 (en) 2004-01-15 2005-01-14 Film-forming method
EP05703623A EP1722405A4 (en) 2004-01-15 2005-01-14 FILM PRODUCTION PROCESS

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004008019 2004-01-15
JP2004-008019 2004-01-15

Publications (1)

Publication Number Publication Date
WO2005069358A1 true WO2005069358A1 (ja) 2005-07-28

Family

ID=34792204

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/000384 WO2005069358A1 (ja) 2004-01-15 2005-01-14 成膜方法

Country Status (7)

Country Link
US (1) US7776742B2 (ja)
EP (1) EP1722405A4 (ja)
JP (1) JP4787020B2 (ja)
KR (1) KR100762525B1 (ja)
CN (1) CN100477097C (ja)
TW (1) TW200526806A (ja)
WO (1) WO2005069358A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006245306A (ja) * 2005-03-03 2006-09-14 Renesas Technology Corp 半導体装置の製造方法
WO2007069599A1 (ja) * 2005-12-12 2007-06-21 Tokyo Electron Limited 成膜装置のプリコート方法
US8937022B2 (en) 2010-11-29 2015-01-20 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US9054206B2 (en) 2007-08-17 2015-06-09 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4947922B2 (ja) * 2005-05-23 2012-06-06 東京エレクトロン株式会社 成膜方法およびコンピュータにより読み取り可能な記憶媒体
KR20090094033A (ko) * 2006-12-28 2009-09-02 도쿄엘렉트론가부시키가이샤 절연막의 형성 방법 및 반도체 장치의 제조 방법
US20110216585A1 (en) * 2010-03-04 2011-09-08 Micron Technology, Inc. Metal containing materials
JP6108518B2 (ja) * 2011-10-20 2017-04-05 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法、基板処理装置及びプログラム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06283532A (ja) * 1993-03-26 1994-10-07 Kawasaki Steel Corp 半導体装置およびその製造方法
JPH08250596A (ja) * 1995-03-04 1996-09-27 Hyundai Electron Ind Co Ltd 半導体装置の金属配線形成方法
JPH11172438A (ja) * 1997-09-29 1999-06-29 Samsung Electron Co Ltd 化学気相蒸着法による金属窒化膜形成方法及びこれを用いた半導体装置の金属コンタクト形成方法
JP2001040477A (ja) * 1999-06-11 2001-02-13 Applied Materials Inc 窒化チタンの厚膜を堆積する方法
JP2003213418A (ja) * 2002-01-18 2003-07-30 Tokyo Electron Ltd 成膜方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279857A (en) * 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) * 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US6156107A (en) * 1996-11-13 2000-12-05 Tokyo Electron Limited Trap apparatus
KR100331545B1 (ko) 1998-07-22 2002-04-06 윤종용 다단계 화학 기상 증착 방법에 의한 다층 질화티타늄막 형성방법및 이를 이용한 반도체 소자의 제조방법
US7482283B2 (en) * 2000-12-12 2009-01-27 Tokyo Electron Limited Thin film forming method and thin film forming device
JP4178776B2 (ja) 2001-09-03 2008-11-12 東京エレクトロン株式会社 成膜方法
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06283532A (ja) * 1993-03-26 1994-10-07 Kawasaki Steel Corp 半導体装置およびその製造方法
JPH08250596A (ja) * 1995-03-04 1996-09-27 Hyundai Electron Ind Co Ltd 半導体装置の金属配線形成方法
JPH11172438A (ja) * 1997-09-29 1999-06-29 Samsung Electron Co Ltd 化学気相蒸着法による金属窒化膜形成方法及びこれを用いた半導体装置の金属コンタクト形成方法
JP2001040477A (ja) * 1999-06-11 2001-02-13 Applied Materials Inc 窒化チタンの厚膜を堆積する方法
JP2003213418A (ja) * 2002-01-18 2003-07-30 Tokyo Electron Ltd 成膜方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006245306A (ja) * 2005-03-03 2006-09-14 Renesas Technology Corp 半導体装置の製造方法
WO2007069599A1 (ja) * 2005-12-12 2007-06-21 Tokyo Electron Limited 成膜装置のプリコート方法
JP2007165479A (ja) * 2005-12-12 2007-06-28 Tokyo Electron Ltd 成膜装置のプリコート方法、成膜装置及び記憶媒体
US9054206B2 (en) 2007-08-17 2015-06-09 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8937022B2 (en) 2010-11-29 2015-01-20 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
KR100762525B1 (ko) 2007-10-01
JP4787020B2 (ja) 2011-10-05
EP1722405A1 (en) 2006-11-15
US20080226823A1 (en) 2008-09-18
TW200526806A (en) 2005-08-16
JPWO2005069358A1 (ja) 2007-12-27
EP1722405A4 (en) 2009-04-22
CN100477097C (zh) 2009-04-08
KR20060113763A (ko) 2006-11-02
US7776742B2 (en) 2010-08-17
CN1906736A (zh) 2007-01-31

Similar Documents

Publication Publication Date Title
US7981794B2 (en) Film forming method and substrate processing apparatus
JP5097554B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
US6548402B2 (en) Method of depositing a thick titanium nitride film
JP5087657B2 (ja) 半導体装置の製造方法及び基板処理装置
WO2005069358A1 (ja) 成膜方法
US20120034793A1 (en) Method for forming metal nitride film
US20050136660A1 (en) Method of forming titanium film by CVD
US20060231028A1 (en) Method for depositing metallic nitride series thin film
US20090071404A1 (en) Method of forming titanium film by CVD
JPWO2015080058A1 (ja) タングステン膜の成膜方法
WO2010087362A1 (ja) 成膜方法及びプラズマ成膜装置
JP4947922B2 (ja) 成膜方法およびコンピュータにより読み取り可能な記憶媒体
JP2018014477A (ja) ルテニウム配線およびその製造方法
WO2010001931A1 (ja) 薄膜の成膜方法及び成膜装置
JP5171192B2 (ja) 金属膜成膜方法
JP5193494B2 (ja) Ti膜の成膜方法および記憶媒体
JP6608026B2 (ja) タングステン膜の成膜方法および成膜装置
TW201134974A (en) Film forming method and method for forming capacitor
JP2008300436A (ja) バリヤ層の形成方法及び処理システム
JP2021008642A (ja) 基板処理方法及び基板処理装置
KR20210148279A (ko) 성막 방법, 반도체 장치의 제조 방법, 성막 장치 및 반도체 장치를 제조하는 시스템
JP2004091813A (ja) タングステン膜の形成方法およびcvd装置
JP2001192832A (ja) 成膜方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200580001444.6

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2005517080

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 10585732

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1020067014143

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2005703623

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Ref document number: DE

WWP Wipo information: published in national office

Ref document number: 1020067014143

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2005703623

Country of ref document: EP