WO2003060979A2 - Compositions organiques - Google Patents

Compositions organiques Download PDF

Info

Publication number
WO2003060979A2
WO2003060979A2 PCT/US2003/000948 US0300948W WO03060979A2 WO 2003060979 A2 WO2003060979 A2 WO 2003060979A2 US 0300948 W US0300948 W US 0300948W WO 03060979 A2 WO03060979 A2 WO 03060979A2
Authority
WO
WIPO (PCT)
Prior art keywords
formula
composition
aryl
porogen
vinyl
Prior art date
Application number
PCT/US2003/000948
Other languages
English (en)
Other versions
WO2003060979A3 (fr
Inventor
Chan-En Li
Ruslan Zherebin
Nassrin Sleiman
Amauel Gebrebrhan
Annath Naman
John G. Sikonia
Kreisler Lau
Paul G. Apen
Boris Korolev
Nancy Iwamoto
Original Assignee
Honeywell International Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/158,513 external-priority patent/US7141188B2/en
Application filed by Honeywell International Inc. filed Critical Honeywell International Inc.
Priority to KR10-2004-7010994A priority Critical patent/KR20040104454A/ko
Priority to JP2003560974A priority patent/JP2005516382A/ja
Priority to AU2003210504A priority patent/AU2003210504A1/en
Priority to EP03729654A priority patent/EP1466356A2/fr
Publication of WO2003060979A2 publication Critical patent/WO2003060979A2/fr
Publication of WO2003060979A3 publication Critical patent/WO2003060979A3/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/02Macromolecular compounds containing only carbon atoms in the main chain of the macromolecule, e.g. polyxylylenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L65/00Compositions of macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain; Compositions of derivatives of such polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating

Definitions

  • the present invention relates to semiconductor devices, and in particular, to semiconductor devices having an organic low dielectric constant material and processes for the manufacture thereof.
  • dielectric constant also referred to as "k"
  • Insulator materials having low dielectric constants are especially desirable, because they typically allow faster signal propagation, reduce capacitance and cross talk between conductor lines, and lower voltages required to drive integrated circuits.
  • devices having interconnect linewidths of 0.1 3 or 0.10 micron and below seek an insulating material having a dielectric constant (k) ⁇ 3.
  • SiO 2 silicon dioxide
  • FSG fluorinated silicon dioxide
  • FSG fluorinated silicon glass
  • SOD spin-on deposition
  • CVD chemical vapor deposition
  • dielectric materials and matrices disclosed in the publications shown in Table 1 fail to exhibit many of the combined physical and chemical properties desirable and even necessary for effective dielectric materials, such as higher mechanical stability, high thermal stability, high glass transition temperature, high modulus or hardness, while at the same time still being able to be solvated, spun, or deposited on to a substrate, wafer, or other surface. Therefore, it may be useful to investigate other compounds and materials that may be used as dielectric materials and layers, even though these compounds or materials may not be currently contemplated as dielectric materials in their present form.
  • Reichert and Mathias describe compounds and monomers that comprise adamantane molecules, which are in the class of cage-based molecules and are taught to be useful as diamond substitutes.
  • Polym, Prepr. Am. Chem. Soc, Div. Polym. Chem.
  • 1 993, Vol. 34 (1 ), pp. 495-6 Polym, Prepr. (Am. Chem. Soc, Div. Polym. Chem.), 1992, Vol. 33 (2), pp. 144-5; Chem. Mater., 1 993, Vol. 5 (1 ), pp. 4-5; Macromolecules, 1994, Vol. 27 (24), pp. 7030-7034; Macromolecules, 1994, Vol. 27 (24), pp.
  • adamantane-based compounds and monomers described by Reichert and Mathias are preferably used to form polymers with adamantane molecules at the core of a thermoset.
  • thermosetting mixtures wherein Z is selected from cage compound and silicon atom;
  • R' 1 f R' 2 , R' 3 , R' 4 , R' 5 , and R' 6 are independently selected from aryl, branched aryl, and arylene ether; at least one of the aryl, the branched aryl, and the arylene ether has an ethynyl group; and
  • R' 7 is aryl or substituted aryl.
  • thermosetting monomer or dimer mixture ideal for film formation at thicknesses of about 0.1 ⁇ m to about 1 .0 ⁇ m.
  • US Patents 6,271 ,273; 6, 1 56,81 2; 6, 1 71 ,687; and 6, 1 72, 1 28 teach that the amount of the thermally labile monomer unit is limited to amounts less than about 30% by volume because if more than about 30% by volume of the thermally labile monomer is used, the resulting dielectric material has cylindrical or lamellar domains, instead of pores or voids, which lead to interconnected or collapsed structures upon removal, i.e., heating to degrade the thermally labile monomer units.
  • various methods are known in the art to lower the dielectric constant of a material, these methods have disadvantages.
  • the present invention advantageously provides demonstrated extendibility so that semiconductor device manufacturers can use the present compositions for numerous generations of microchips.
  • porogen comprising at least two fused aromatic rings wherein each of the fused aromatic rings has at least one alkyl substituent thereon and a bond exists between at least two of the alkyl substituents on adjacent aromatic rings.
  • composition comprising a cage structure having a dielectric constant of less than 2.7.
  • thermosetting component comprising: (1 ) optionally at least one monomer of Formula I Q
  • E is a cage compound
  • each Q is the same or different and selected from hydrogen, aryl, branched aryl, and substituted aryl wherein the substituents include hydrogen, halogen, alkyl, aryl, substituted aryl, heteroaryl, aryl ether, alkenyl, alkynyl, alkoxyl, hydroxyalkyl, hydroxyaryl, hydroxyalkenyl, hydroxyalkynyl, hydroxyl, or carboxyl;
  • G w is aryl or substituted aryl where substituents include halogen and alkyl; h is from 0 to 10; i is from 0 to 10; j is from 0 to 1 0; and w is 0 or 1 ; and (b) porogen.
  • w is 0 in Formula II above or Formula IV, VI, VII, VIII, or IX below, two cage compounds are directly bonded.
  • E having at least one Q attached thereto, preferably that E does not have more than one Q that is hydrogen and more preferably, that E has no Q that is hydrogen.
  • Q is substituted aryl, more preferably the aryl is substituted with alkenyl and alkynyl groups.
  • the most preferred Q groups include (phenylethynyl)phenyl, bis(phenylethynyl)phenyl, phenylethynyKphenylethynyDphenyl, and (phenylethynyl)phenylphenyl moiety.
  • Preferred aryls for G include phenyl, biphenyl, and terphenyl. The more preferred G group is phenyl.
  • w is one.
  • the present invention also includes a method of using the above composition.
  • the steps comprise: decomposing the porogen; and volatilizing the decomposed porogen whereby the dielectric constant of the dielectric material is lowered.
  • the steps comprise: decomposing the porogen; and volatilizing the decomposed porogen whereby pores form in the dielectric material.
  • porogen comprising at least two fused aromatic rings wherein each of the fused aromatic rings has at least one alkyl substituent thereon and a bond exists between at least two of the alkyl substituents on adjacent aromatic rings.
  • Figures 1 A through 1 F illlustrate how to make adamantane based compositions useful as the thermosetting component in the present compositions.
  • Figure 2 illustrates one method for making diamantane based compositions useful as the thermosetting component in the present compositions.
  • Figures 3A through 3F illustrate another method for making diamantane based compositions useful as the thermosetting component in the present compositions.
  • Figure 4 shows scanning electron microscopy pictures for the cross section of the film of Inventive Examples 44-47.
  • Figure 5 shows scanning electron microscopy pictures for the surface of the film of Inventive Examples 44-47.
  • Figure 6 shows Thermal Desorption Mass Spectroscopy plots for Inventive Examples 46-49.
  • dielectric materials such as inorganic, organic, or organic and inorganic hybrid materials may be used with the porogens set forth below.
  • examples include phenylethynylated-aromatic monomer or oligomer; fluorinated or non-fluorinated poly(arylene ethers) such as taught by commonly assigned US Patents 5,986,045; 6, 1 24,421 ; 6,291 ,628 and 6,303,733; bisbenzocyclobutene; and organosiloxanes such as taught by commonly assigned pending US patent application Serial 10/078,91 9 filed February 19, 2002.
  • a cage structure, cage molecule, or cage compound comprises a plurality of rings formed by covalently bound atoms, wherein the structure, molecule, or compound defines a volume, such that a point located within the volume cannot leave the volume without passing through the ring.
  • the bridge and/or the ring system may comprise one or more heteroatoms, and may contain aromatic groups, partially cyclic or acyclic saturated hydrocarbon groups, or cyclic or acyclic unsaturated hydrocarbon groups.
  • cage structures include fullerenes, and crown ethers having at least one bridge.
  • an adamantane or diamantane is considered a cage structure, while a naphthalene or an aromatic spirocompound are not considered a cage structure under the scope of this definition, because a naphthalene or an aromatic spirocompound do not have one, or more than one bridge and thus, do not fall within the description of the cage compound above.
  • Cage compounds are preferably adamantane and diamantane and more preferably adamantane.
  • bridgehead carbon refers to any cage structure carbon bound to three other carbons.
  • adamantane has four bridgehead carbons while diamantane has eight bridgehead carbons.
  • thermosetting component disclosed and claimed in our commonly assigned pending patent application 60/3471 95 filed January 8, 2002 and 60/384303 filed on even date herewith and P-
  • the present composition comprises (a) at least one adamantane monomer of Formula III
  • w is preferably one in Formula VII
  • examples of preferred dimers are in the following Table 3.
  • the present composition comprises at least one oligomer or polymer of Formula VI above where Q, G, h, i, j, and w are as previously defined.
  • Q, G, h, i, j, and w are as previously defined.
  • the diamantane dimer is as shown in Formula IX below
  • thermosetting component (a) comprises: (i : adamantane monomer of Formula XA
  • each R, in Formulae XA, XB, XC, XD, XI, XIIA, XIIB, XIIC, and XIID is the same or different and selected from hydrogen, halogen, alkyl, aryl, substituted aryl, heteroaryl, aryl ether, alkenyl, alkynyl, alkoxyl, hydroxyalkyl, hydroxyaryl, hydroxyalkenyl, hydroxyalkynyl, hydroxyl, or carboxyl; and each Y in Formulae XA, XB, XC, XD, XI, XIIA, XIIB, XIIC, and XIID is the same or different and selected from hydrogen, alkyl, aryl, substituted aryl, or halogen.
  • Formulae II, IV, VI, XI, and XIII represent random or irregular structures in that any one of the units h, i, and j may or may not repeat numerous times before another unit is present. Thus, the sequence of units in Formulae II, IV, VI, XI, and XIII above is random or irregular.
  • the thermosetting component comprises adamantane monomer of Formula XA, XB, XC, or XD above and at least one adamantane oligomer or polymer of Formula XI above where at least one of h, i, and j is at least 1 .
  • the thermosetting component comprises diamantane monomer of Formula XIIA, XIIB, XIIC, or XIID above and at least one diamantane oligomer or polymer of Formula XIII above where at least one of h, i, and j is at least 1 .
  • the thermosetting component comprises adamantane monomer of Formula XA, XB, XC, or XD above and adamantane oligomer or polymer of Formula XIV below where R 1 r Y, and w are as defined above and h is 0 or 1 .
  • the adamantane oligomer or polymer is of the following formula
  • thermosetting component comprises diamantane monomer of Formula XIIA, XIIB, XIIC, or XIID above and diamantane oligomer or polymer of Formula XV below where R 1 f Y, and w are as defined above and h is 0 or 1 .
  • the diamantane oligomer or polymer is of the following formula
  • thermosetting component comprises adamantane monomer of Formula XA, XB, XC, or XD above and adamantane dimer of Formula XVI below where R 1 f Y, and w are as defined above.
  • the adamantane dimer is of the following formula
  • thermosetting component comprises diamantane monomer of Formula XIIA, XIIB, XIIC, or XIID above and diamantane dimer of Formula XVII below where R- , Y, and w are as defined above.
  • the diamantane dimer is of the following formula
  • thermosetting component comprises adamantane monomer of Formula XA, XB, XC, or XD above and adamantane trimer of Formula XVIII below where R, , Y, and w are as defined above.
  • the adamantane trimer is of the following formula.
  • thermosetting component comprises diamantane monomer of Formula XIIA, XIIB, XIIC, or XIID above and diamantane trimer of Formula XIX below.
  • the diamantane trimer is of the following formula
  • the thermosetting component comprises adamantane monomer of Formula XA, XB, XC, or XD above, adamantane dimer of Formula XVI above, and at least one adamantane oligomer or polymer of Formula XI above where at least one of h, i, and j is at least 1 .
  • the thermosetting component comprises diamantane monomer of Formula XIIA, XIIB, XIIC, or XIID above, diamantane dimer of Formula XVII above, and at least one diamantane oligomer or polymer of Formula XIII above where at least one of h, i, and j is at least 1 .
  • the thermosetting component comprises adamantane monomer of Formula XA, XB, XC, or XD above, adamantane dimer of Formula XVI above, adamantane trimer of Formula XVIII above, and at least one adamantane oligomer or polymer of Formula XI above where at least one of i and j is at least 1 .
  • the thermosetting component comprises diamantane monomer of Formula XIIA, XIIB, XIIC, or XIID above, diamantane dimer of Formula XVIII above, diamantane trimer of Formula XIX above, and at least one diamantane oligomer or polymer of Formula XIII above where at least one of i and j is at least 1 .
  • thermosetting component comprises adamantane monomer of
  • Formula XA that is a tetrasubstituted adamantane or a diamantane monomer of Formula XIIA that is a tetrasubstituted diamantane.
  • the preferred monomer is the adamantane monomer of Formula XA.
  • the adamantane framework carries a substituted aryl radical in each of positions 1 , 3, 5, and 7.
  • the compound with the Formula XI is an oligomer or polymer, linked via unsubstituted and/or substituted aryl units, of the adamantane monomer of Formula XA.
  • the compound with the Formula XIII is an oligomer or polymer, linked via unsubstituted and/or substituted aryl units, of the diamantane monomer of Formula XII.
  • h, i, and j are whole numbers from 0 to 10, preferably 0 to 5, and more preferably 0 to 2.
  • the simplest adamantane oligomer is thus the dimer (h is 0, i is 0, and j is 0 in Formula XI) as shown in Formula XVI above, in which two adamantane frameworks are linked via an unsubstituted or substituted aryl unit.
  • the simplest diamantane oligomer is thus the dimer (h is 0, i is 0, and j is 0 in Formula XIII) as shown in Formula XVII above, in which two diamantane frameworks are linked via an unsubstituted or substituted aryl unit.
  • the present thermosetting component comprises at least one adamantane oligomer or polymer of Formula XI above where h is from 0 to 10, i is from 0 to 10, and j is from 0 to 1 0.
  • the present thermosetting component comprises at least one diamantane oligomer or polymer of Formula XIII above where h is from 0 to 10, i is from 0 to 10, and j is from 0 to 10.
  • the present thermosetting component comprises at least one adamantane oligomer or polymer of Formula XI above where h is 0 or 1 , i is 0, and j is 0.
  • This adamantane structure is shown as Formula XIV above.
  • the present thermosetting component comprises at least one diamantane oligomer or polymer of Formula XIII above where h is 0 or 1 , i is 0, and j is 0.
  • This diamantane structure is shown as Formula XV above.
  • thermosetting component comprises at least one adamantane oligomer or polymer of Formula XI above where h is 0, i is 0, and j is 0.
  • This adamantane dimer is shown as Formula XVI above.
  • thermosetting component comprises at least one diamantane oligomer or polymer of Formula XIII above where h is 0, i is 0, and j is 0.
  • This diamantane dimer is shown as Formula XVII above.
  • thermosetting component comprises at least one adamantane oligomer or polymer of Formula XI above where h is 1 , i is 0, and j is 0.
  • This adamantane trimer is as shown in Formula XVIII above.
  • the thermosetting component (a) comprises at least one diamantane oligomer or polymer of Formula XIII above where h is 1 , i is 0, and j is 0.
  • This diamantane trimer is as shown in Formula XIX above.
  • the thermosetting component comprises a mixture of at least one adamantane oligomer or polymer of Formula XI above where h is 2, i is 0, and j is 0 (linear oligomer or polymer) and h is 0, i is 1 , and j is O (branched oligomer or polymer).
  • this composition comprises a mixture of an adamantane linear tetramer as shown in Formula XX below where R 1 f Y, and w are as defined above
  • linear adamantane tetramer is of the following formula
  • the thermosetting component comprises at least one diamantane oligomer or polymer of Formula XIII above where h is 2, i is 0, and j is 0 resulting in linear oligomer or polymer and h is 0, i is 1 , and j is 0 resulting in resulting in branched oligomer or polymer.
  • the present composition comprises diamantane linear tetramer as shown in Formula XXII below where R 1 f Y, and w are as defined above
  • the linear diamantane tetramer is of the following formula
  • thermosetting component comprises adamantane dimer of Formula XVI above and adamantane trimer of Formula XVIII above.
  • thermosetting component comprises diamantane dimer of Formula XVII above and diamantane trimer of Formula XIX above.
  • the thermosetting component comprises adamantane dimer of Formula XVI above and at least one adamantane oligomer or polymer of Formula XI above where h is 0, i is at least 1 , and j is 0.
  • the thermosetting component comprises diamantane dimer of Formula XVII above and at least one diamantane oligomer or polymer of Formula XIII above where h is 0, i is at least 1 , j is 0, and w is 0 or 1 .
  • preferred Q groups include aryl and aryl substituted with alkenyl and alkynyl groups and more preferred Q groups include (phenylethynyl)phenyl, bis(phenylethynyl)phenyl, phenylethynyl(phenylethynyl)phenyl, and (phe ⁇ ylethynyl)phenylphenyl moiety.
  • Preferred aryls for G include phenyl, biphenyl, and terphenyl. The more preferred G group is phenyl.
  • the individual radicals R, of the substituted ethynyl radical on the phenyl ring attached to the adamantane or diamantane ring of the type R, ⁇ C- are in each case the same or different in Formulae XA, XB, XD, XD, XI, XIIA, XIIB, XIIC, XIID, XIII, XIV, XV, XVI, XVII, XVIII, XIX, XX, XXI, XXII, and XXIII above.
  • R is selected from hydrogen, halogen, alkyl, aryl, substituted aryl, heteroaryl, aryl ether, alkenyl, alkynyl, alkoxyl, hydroxyalkyl, hydroxyaryl, hydroxyalkenyl, hydroxyalkynyl, hydroxyl, or carboxyl.
  • Each R may be unbranched or branched and unsubstituted or substituted and the substituents may be unbranched or branched.
  • radicals alkyl, alkenyl, alkynyl, alkoxyl, hydroxyalkyl, hydroxyalkenyl, and hydroxyalkynyl contain from about 2 to about 10 carbon atoms and the radicals aryl, aryl ether, and hydroxyaryl contain from about 6 to about 1 8 carbon atoms.
  • R stands for aryl, R, is preferably phenyl.
  • at least two of the R ⁇ C groups on the phenyl groups are two different isomers. Examples of at least two different isomers include meta-, para-, and ortho- isomers.
  • the at least two different isomers are meta- and para- isomers.
  • Each Y of the phenyl rings in the Formulae XA, XB, XC, XD, XI, XIIA, XIIB, XIIC, XIID, XIII, XIV, XV, XVI, XVII, XVIII, XIX, XX, XXI, XXII, and XXIII above is in each case the same or different and selected from hydrogen, alkyl, aryl, substituted aryl, or halogen.
  • aryl groups include phenyl or biphenyl.
  • Y is selected from preferably hydrogen, phenyl, and biphenyl and more preferably hydrogen.
  • At least one of the phenyl groups between two bridgehead carbons of adamantane or diamantane exists as at least two different isomers.
  • at least two different isomers include meta-, para-, and ortho- isomers.
  • the at least two isomers are meta- and para- isomers.
  • seven isomers of the R T C ⁇ C groups on the phenyl groups exist as follows: (1 ) para-, para-, para-, para-, para-; (2) para-, para-, para-, para-, para-, meta-; (3) para-, para-, para- , para-, meta-, meta--- (4) para-, para-, para-, meta-, meta-, meta-; (5) para-, para-, meta-, meta-, meta-; (6) para-, meta-, meta-, meta-, meta-, meta-, meta-; and (7) meta-, meta-, meta-, meta-, meta-, meta-, meta-.
  • Formula XI above when h is 0, i is 0, and j is 1 represents further branching as shown in Formula XXIV below where R. , Y, and w are as defined above. It should be understood that branching may occur beyond that of the Formula XXIV structure because further branching of the pending adamantane units of the Formula XXIV structure may also occur.
  • the adamantane structure is of the following formula
  • Formula XIII above when h is 0, i is 0, and j is 1 represents further branching as shown in Formula XXV below. It should be understood that branching may occur beyond that of the Formula XXV structure because further branching of the pending diamantane units of the Formula XXV structure may also occur.
  • the diamantane structure is of the following formula
  • thermosetting component the monomer and oligomer or polymer contents are determined by the gel permeation chromatography techniques set forth below in the Analytical Test Methods section.
  • the present composition comprises the adamantane or diamantane monomer in a quantity of about 30 to about 70 area-%, more preferably about 40 to about 60 area- % and even more preferably about 45 to about 55 area-% and the oligomer or polymer in a quantity of about 70 to about 30 area-%, more preferably about 60 to about 40 area-%, and even more preferably about 55 to about 45 area-%.
  • the present composition comprises the monomer ( 1 ) in a quantity of approximately 50 area-% and the oligomer or polymer (2) in a quantity of approximately 50 area-%.
  • the quantity ratio of the adamantane or diamantane monomer (1 ) to oligomer br polymer (2) can be set in a desired manner, e.g. by altering the molar ratio of the starting components during the preparation of the composition according to the invention, by adjusting reaction conditions, and by altering the ratio of nonsolvent to solvent during precipitation/isolation steps.
  • thermosetting component (a) comprises the following steps.
  • step (A) adamantane or diamantane is reacted with halogeno benzene compound of Formula XXVI
  • Y is selected from hydrogen, alkyl, aryl, substituted aryl, or halogen and Yi is halogen
  • adamantane comprises at least one monomer of Formula III above and at least one oligomer or polymer of Formula IV above where h is from 0 to 10, i is from 0 to 10, j is from 0 to 10, w is 0 or 1 , and Q is hydrogen or -C 6 H 3 Y,Y where Y, and Y are as defined above.
  • the at least one oligomer or polymer is of the following formula
  • reaction may occur on diamantane at bridgehead carbons other than those indicated in Formulae X and XVI above.
  • step (B) the mixture resulting from step (A) is reacted with terminal alkyne of the formula R T C ⁇ CH .
  • the present process forms compositions of Formulae XA and XI or XIIA and XIII above.
  • step (A) adamantane or diamantane is reacted with halogeno benzene compound with the Formula XXVI.
  • halogeno benzene compound in addition to the halogen radical Y, and the previously described radical Y, the halogeno benzene compound can also contain further substituents.
  • the halogeno benzene compound is preferably selected from bromobenzene, dibromobenzene, and iodobenzene. Bromobenzene and/or dibromobenzene are preferred, bromobenzene being even more preferred.
  • step (A) The reaction of adamantane or diamantane with the halogeno benzene compound (step (A)) takes place preferably through Friedel-Crafts reaction in the presence of a Lewis acid catalyst.
  • a Lewis acid catalyst contains at least one compound selected from aluminum(lll) chloride (AICI 3 ), aluminum(lll) bromide (AIBr 3 ), and aluminum (III) iodide (All 3 ).
  • Aluminum(lll) chloride (AICI 3 ) is most preferred.
  • the Friedel-Crafts reaction is carried out in the presence of a second catalyst component.
  • the second catalyst component preferably contains at least one compound selected from tertiary halogen alkane with 4 to 20 carbon atoms, tertiary alkanol with 4 to 20 carbon atoms, secondary and tertiary olefin with 4 to 20 carbon atoms and tertiary halogen alkyl aryl compound.
  • the second catalyst component contains at least one compound selected from 2-bromo-2- methylpropane (tert.-butyl bromide), 2-chloro-2-methylpropane (tert.-butyl chloride), 2-methyl-2-propanol (tert.-butyl alcohol), isobutene, 2- bromopropane, and tert.-butylbromobenzene, with 2-bromo-2-methylpropane (tert.- butyl bromide) being most preferred.
  • 2-bromo-2-methylpropane tert.-butyl bromide
  • 2-bromo-2-methylpropane tert.-butyl bromide
  • the Lewis acid catalyst is aluminum(ill) chloride (AICI 3 ) and the second catalyst component is 2-bromo-2-methylpropane (tert.- butyl bromide) or tert.-butylbromobenzene.
  • the preferable procedure for carrying out the Friedel-Crafts reaction is that adamantane or diamantane, halogeno benzene compound (e.g. bromobenzene), and Lewis acid catalyst (e.g. aluminium chloride) are mixed and heated at a temperature of 30°C to 50°C, preferably 35°C to 45°C and in particular 40°C. At temperatures lower than 30°C, the reaction is not completed, i.e. a higher proportion of tri-substituted adamantane forms for example. In principle it is conceivable to use even higher temperatures than those given above (e.g. 60°C), but this leads in an undesirable manner to a higher proportion of non-halogenated aromatic material (e.g.
  • step (A) in the reaction mixture of step (A).
  • the second component of the catalyst system say tert.-butyl bromide, is then added to the above reaction solution generally over a period of 5 to 10 hours, preferably 6 to 7 hours and after the addition has ended, mixed into the reaction mixture in the temperature range named above customarily for a further 5 to 10 hours, preferably 7 hours.
  • oligomers or polymers thereof were also found in the mixture obtained after step (A). It was wholly unexpected that the quantity ratio of adamantane monomer of Formula III to adamantane oligomer or polymer of Formula IV or diamantane monomer of Formula V to diamantane oligomer or polymer of Formula VI was controllable through the quantities of adamantane or diamantane, halogeno benzene compound (e.g. bromobenzene), and second catalyst component (e.g.
  • the molar ratio of adamantane or diamantane to halogeno benzene compound to second catalyst component in the reaction mixture of step (A) is preferably 1 :(5-1 5): (2-10) and even more preferably 1 :(8-1 2): (4-8).
  • the position of the halogen substituent Y is undefined.
  • the mixtures comprise meta- and para-isomers which, unlike all para-isomers, advantageously produce improved solubility and good film properties.
  • starting components and by-products such as not wholly phenylated adamantanes, can also occur.
  • the mixture resulting from step (A) is optionally worked up using methods known to those skilled in the art. For example, it may be necessary to remove non-reacted halogen phenyl compound, say bromobenzene, from the mixture in order to obtain a product, usable for further reaction, with a high proportion of compounds of Formulae III, IV, V, and VI. Any solvent or solvent mixture which is miscible with the halogeno benzene compound, say bromobenzene, and is suitable for the precipitation of the compounds of Formulae III, IV, V, and VI may be used for the isolation of such a product. It is preferred to introduce the mixture resulting from step (A) into a nonpolar solvent or solvent mixture, e.g.
  • the weight ratio of organic mixture to nonpolar solvent is preferably about 1 :2 to about 1 :20, more preferably about 1 :5 to about 1 : 1 3, and even more preferably about 1 :7 to about 1 : 1 1 .
  • a polar solvent or solvent mixture e.g. methanol or ethanol
  • ethanol a polar solvent or solvent mixture
  • step (A) we have found that the peak ratio of monomer resulting from step (A) above to its dimer and trimer and oligomer in the reaction mixture shifts dramatically if the step (A) mixture is precipitated into certain solvents.
  • This discovery advantageously allows one skilled in the art to adjust process conditions in order to achieve a targeted ratio of monomer to dimer and trimer and oligomer.
  • a solvent is used in which the monomer and oligomer or polymer have different solubilities.
  • Preferred solvents for achieving this monomer to dimer and trimer ratio shift include Spezial Benzin 80-1 10°C (petroleum ether with boiling point of 80°C-1 10°C), ligroine (boiling point 90-1 10°C), and heptane (boiling point 98°C).
  • the more preferred solvent is Spezial Benzin.
  • the step (A) mixture is precipitated into Spezial Benzin or to attain a shift from about 3: 1 monomer:dimer + trimer + oligomer to about 1 .7-2.0: 1 .0, the step (A) reaction mixture is precipitated into ligroine and heptane.
  • the loss of monomer in the precipitation filtrates 2/3 loss in Spezial Benzin and >1 /3 loss in ligroine and heptane, which correspond to monomer yield losses of 50 and 25-33%.
  • step (A) reaction mixture is precipitated into methanol where no yield losses are observed. This is corroborated by determination of yield losses of the filtrates and GPC analysis of the filtrates.
  • step (A) of the present process starts direct from adamantane which is coupled with the halogeno benzene compound.
  • the present process is particularly advantageous because it is no longer necessary to produce tetrabrominated adamantanes first, which saves a reaction step. Also, less unwanted benzene forms.
  • halogen radical Y in the compounds of Formulae III, IV, V, and VI above can also be introduced, apart from a direct reaction of adamantane with halogen phenyl compound (e.g. with the help of a Friedel-Crafts reaction), by a multi-stage synthesis, for example, by coupling adamantane with a phenyl compound (i.e. without halogen radical Y,) followed by introduction of the radical Y, say through addition with (Y, ) 2 (e.g. Br 2 ) although this is not preferred.
  • a direct reaction of adamantane with halogen phenyl compound e.g. with the help of a Friedel-Crafts reaction
  • a multi-stage synthesis for example, by coupling adamantane with a phenyl compound (i.e. without halogen radical Y,) followed by introduction of the radical Y, say through addition with (Y, ) 2 (e.g. Br
  • step (B) of the preferred process the (optionally worked-up) mixture obtained after step (A) is reacted with terminal alkyne of the formula R T C ⁇ CH where R, is as previously defined.
  • R is identical with the previously described radical R, of the adamantane product of Formulae XA, XB, XC, XD, and XI and the diamantane product of Formulae XIIA, XIIB, XIIC, XIID, and XIII. Accordingly it is most preferred to use ethynyl benzene (phenylacetylene) as terminal alkyne for the reaction in step (B).
  • ethynyl benzene phenylacetylene
  • step (B) to couple the terminal alkyne to the halogeno benzene radicals located at the adamantane system
  • all conventional coupling methods suitable for this purpose may be used, as described for example in Diederich, F., and Stang, P.J., (Eds.) "Metal-Catalyzed Cross-Coupling Reactions", Wiley-VCH 1 998 and March, J., “Advanced Organic Chemistry", 4th Edition, John Wiley & Sons 1 992, pages 71 7/71 8.
  • Y When Y on the phenyl groups is attached to two cage structure bridgehead carbons in Formula XI above or in Formula XIII above, Y may react with phenylacetylene to generate terminal alkyne groups.
  • the reaction of the (optionally worked-up) mixture obtained after step (A) with terminal alkyne is carried out in the presence of a catalyst system as used in the so-called Sonogashira coupling (cf. Sonogashira; Tohda; Hagihara; Tetrahedron Lett. 1975, page 4467).
  • this preferred catalyst system can equally well consist of the named components.
  • the co- solvent preferably contains at least one component selected from toluene, xylene, chlorobenzene, N,N-dimethylformamide and 1 -methyl-2-pyrrolidone (N-methylpyrrolidone (NMP)).
  • a catalyst system which contains the components bis-(triphenylphosphine)palladium(ll)dichloride (i.e. [Ph 3 P] 2 .PdCI 2 ), triphenylphosphine (i.e. [Ph 3 P]), copper(l)-iodide, triethylamine and toluene as co-solvent is most preferred.
  • the preferred procedure- for the reaction of the mixture obtained from step (A) (and optionally worked-up) with terminal alkyne is that the mixture is first mixed with the base (e.g. triethylamine) and the co-solvent (e.g. toluene) and this mixture is stirred for some minutes at room temperature.
  • the palladium-triphenylphosphine complex e.g. Pd(PPh 3 ) 2 CI 2
  • Terminal alkyne is then added in the named temperature range within 1 to 20 hours (more preferably 3 hours). After the ending of the addition, the mixture is heated for at least 5 to 20 hours (more preferably 1 2 hours) at a temperature of 75°C to 85°C (more preferably 80°C). Solvent is then added to the reaction solution and distilled off under reduced pressure.
  • the reaction solution is then cooled to a temperature of 20°C to 30°C (more preferably 25°C).
  • the reaction mixture of step (B), in particular for the removal of metal traces (e.g. Pd), is worked up with conventional methods which are known to those skilled in the art.
  • step (B) The peak ratio of monomer resulting from step (B) above to its dimer and trimer and oligomer in the reaction mixture shifts if the step (B) mixture is precipitated into certain solvents.
  • the reaction sequence starting direct from adamantane leads to an oligomeric or polymeric content in the reaction product of step (A) which can be controlled via the use ratio of adamantane, halogeno benzene compound and the second catalyst component, say tert.- butyl bromide.
  • the benzene content in the reaction mixture of step (A) is also successfully regulated via this use ratio, which, because of the toxicity of benzene in industrial-scale syntheses, is of great importance.
  • the oligomeric or polymeric content permits the same secondary chemistry as the monomer (e.g.
  • thermosetting component and porogen are combined.
  • the amount of thermosetting component used is about 50 to about 90 weight percent while the amount of porogen used is about 10 to about 50 weight percent.
  • the thermosetting component and porogen may or may not react together.
  • an adhesion promoter is then added.
  • the present composition comprises a mixture comprises of at least two different isomers of Formula XXVII
  • the mixture comprises at least two different isomers of Formula XXVIII
  • each Y is the same or different and selected from hydrogen, alkyl, aryl, substituted aryl, or halogen and each R, is the same or different and selected from hydrogen, halogen, alkyl, aryl, substituted aryl, heteroaryl, aryl ether, alkenyl, alkynyl, alkoxyl, hydroxyalkyl, hydroxyaryl, hydroxyalkenyl, hydroxyalkynyl, hydroxyl, or carboxyl.
  • the mixture comprises at least two different isomers of Formula XXXI
  • the mixture comprises at least two different isomers of Formula XXXII
  • thermosetting component means any component that when added to thermosetting component, improves the adhesion thereof to substrates compared with thermosetting component alone.
  • the phrase "compound having at least bifunctionality" as used herein means any compound having at least two functional groups capable of interacting or reacting, or forming bonds as follows.
  • the functional groups may react in numerous ways including addition reactions, nucleophilic and electrophilic substitutions or eliminations, radical reactions, etc. Further alternative reactions may also include the formation of non-covalent bonds, such as Van der Waals, electrostatic bonds, ionic bonds, and hydrogen bonds.
  • the adhesion promoter and porogen are combined. Based on a composition comprising adhesion promoter, porogen, and thermosetting compound, the amount of adhesion promoter used is about 3 to about 1 8 weight percent while the amount of porogen used is about 10 to about 50 weight percent. The adhesion promoter and porogen may or may not react together.
  • a thermosetting component is then added in an amount of about 32 to about 87 weight percent.
  • adhesion promoter advantageously improves compatibility between the porogen and the thermosetting component.
  • adhesion promoter acts as an emulsifier so that a homogeneous system is formed.
  • Adhesion promoter is disclosed in our commonly assigned pending patent applications 60/3501 87 filed January 1 5, 2002 and 10/1 60773 filed May 30, 2002, which are incorporated herein by reference in their entirety.
  • the adhesion promoter preferably at least one of the first functionality and the second functionality is selected from Si containing groups; N containing groups; C bonded to O containing groups; hydroxyl groups; and C double bonded to C containing groups.
  • the Si containing groups are selected from Si-H, Si-O, and Si-N;
  • the N containing groups are selected from such as C-NH 2 or other secondary and tertiary amines, imines, amides, and imides;
  • the hydroxyl group is phenol; and the C double bonded to C containing groups are selected from allyl and vinyl groups.
  • the more preferred functional groups include the Si containing groups; C bonded to O containing groups; hydroxyl
  • An example of a preferred adhesion promoter having Si containing groups is silanes of the Formula XXXVI: (R 2 ) k (R 3 ) ! Si(R 4 ) m (R 5 ) n wherein R 2 , R 3 , R 4 , and R 5 each independently represents hydrogen, hydroxyl, unsaturated or saturated alkyl, substituted or unsubstituted alkyl where the substituent is amino or epoxy, saturated or unsaturated alkoxyl, unsaturated or saturated carboxylic acid radical, or aryl; at least two of R 2 , R 3 R 4 , and R 5 represent hydrogen, hydroxyl, saturated or unsaturated alkoxyl, unsaturated alkyl, or unsaturated carboxylic acid radical; and k + 1 + m + n ⁇ 4.
  • glycidyl ethers including but not limited to 1 , 1 , 1 -tris- (hydroxyphenyl)ethane tri-glycidyl ether which is commercially available from TriQuest.
  • An example of a preferred adhesion promoter having C bonded to O containing groups is esters of unsaturated carboxylic acids containing at least one carboxylic acid group.
  • esters of unsaturated carboxylic acids containing at least one carboxylic acid group examples include trifunctional methacrylate ester, trifunctional acrylate ester, trimethylolpropane triacrylate, dipentaerythritol pentaacrylate, and glycidyl methacrylate. The foregoing are all commercially available from Sartomer.
  • An example of a preferred adhesion promoter having vinyl groups is vinyl cyclic pyridine oligomers or polymers wherein the cyclic group is pyridine, aromatic, or heteroaromatic.
  • Useful examples include but not limited to 2-vinylpyridine and 4-vinylpyridine, commercially available from Reilly; vinyl aromatics; and vinyl heteroaromatics including but not limited to vinyl quinoline, vinyl carbazole, vinyl imidazole, and vinyl oxazole.
  • polycarbosilane disclosed in commonly assigned copending allowed US patent application Serial 09/471 299 filed December 23, 1 999 incorporated herein by reference in its entirety.
  • the polycarbosilane is of the Formula XXXVII:
  • R 8 , R 14 , and R 17 each independently represents substituted or unsubsalltituted alkylene, cycloalkylene, vinylene, allylene, or arylene;
  • R 9 , R 10 , R , R 10 , R 15 and R 16 each independently represents hydrogen atom or organo group comprising alkyl, alkylene, vinyl, cycloalkyl, allyl, or aryl and may be linear or branched;
  • R 13 represents organosilicon, silanyl, siloxyl, or organo group; and p, q, r, and s satisfy the conditions of [4 ⁇ p + q + r + s _ ⁇ 100,000], and q and r and s may collectively or independently be zero.
  • the organo groups may contain up to 1 8 carbon atoms but generally contain from about 1 to about 10 carbon atoms.
  • Useful alkyl groups include -CH 2 - and -(CH 2 ) t - where
  • Preferred polycarbosilanes of the present invention include dihydrido polycarbosilanes in which R 8 is a substituted or unsubstituted alkylene or phenyl, R 9 group is a hydrogen atom and there are no appendent radicals in the polycarbosilane chain; that is, q, r, and s are all zero.
  • Another preferred group of polycarbosilanes are those in which the R 9 , R 10 , R 1 1 f R 12 , R 15 , and R 16 groups of Formula XXXVII are substituted or unsubstituted alkenyl groups having from 2 to 10 carbon atoms.
  • the alkenyl group may be ethenyl, propenyl, allyl, butenyl or any other unsaturated organic backbone radical having up to 10 carbon atoms.
  • the alkenyl group may be dienyl in nature and includes unsaturated alkenyl radicals appended or substituted on an otherwise alkyl or unsaturated organic polymer backbone.
  • these preferred polycarbosilanes include dihydrido or alkenyl substituted polycarbosilanes such as polydihydridocarbosilane, polyallylhydrididocarbosilane and random copolymers of polydihydridocarbosilane and polyallylhydridocarbosilane.
  • the R 9 group of Formula XXXVII is a hydrogen atom and R 8 is methylene and the appendent radicals q, r, and s are zero.
  • Other preferred polycarbosilane compounds of the invention are polycarbosilanes of Formula XXXVII in which R 9 and R 15 are hydrogen, R 8 and R 17 are methylene, and R 16 is an alkenyl, and appendent radicals q and r are zero.
  • the polycarbosilanes may be prepared from well known prior art processes or provided by manufacturers of polycarbosilane compositions.
  • the R 9 group of Formula XXXVII is a hydrogen atom; R 8 is -CH 2 -; q, r, and s are zero and p is from 5 to 25.
  • R 8 is -CH 2 -; q, r, and s are zero and p is from 5 to 25.
  • These most preferred polycarbosilanes may be obtained from Starfire Systems, Inc. Specific examples of these most preferred polycarbosilanes follow:
  • the polycarbosilanes utilized in the subject invention may contain oxidized radicals in the form of siloxyl groups when r > 0.
  • R 13 represents organosilicon, silanyl, siloxyl, or organo group when r > 0. It is to be appreciated that the oxidized versions of the polycarbosilanes (r > 0) operate very effectively in, and are well within the purview of the present invention.
  • r can be zero independently of p, q, and s the only conditions being that the radicals p, q, r, and s of the Formula XXXVII polycarbosilanes must satisfy the conditions of [4 ⁇ p + q + r + s ⁇ 100,000], and q and r can collectively or independently be zero.
  • the polycarbosilane may be produced from starting materials that are presently commercially available from many manufacturers and by using conventional polymerization processes.
  • the starting materials may be produced from common organo silane compounds or from polysilane as a starting material by heating an admixture of polysilane with polyborosiloxane in an inert atmosphere to thereby produce the corresponding polymer or by heating an admixture of polysilane with a low molecular weight carbosilane in an inert atmosphere to thereby produce the corresponding polymer or by heating an admixture of polysilane with a low molecular carbosilane in an inert atmosphere and in the presence of a catalyst such as polyborodiphenylsiloxane to thereby produce the corresponding polymer.
  • Polycarbosilanes may also be synthesized by Grignard Reaction reported in U.S. Patent 5, 1 53,295 hereby incorporated by reference.
  • Examples of useful alkyl groups include -CH 2 - an d -(CH 2 ) V - where v > 1 .
  • a particularly useful phenol- formaldehyde resin oligomer has a molecular weight of 1 500 and is commercially available from Schenectady International Inc.
  • the present adhesion promoter is preferably added in small, effective amounts from about 0.5% to up to 20% based on the weight of the present thermosetting composition and amounts up to about 5.0 % by weight of the composition are generally more preferred.
  • the resulting compositions have superior adhesion characteristics throughout the entire polymer so as to ensure affinity to any contacted surface of the coating.
  • the present adhesion promoters also improve striation control, viscosity, and film uniformity. Visual inspection confirms the presence of improved striation control.
  • compositions may also comprise additional components such as additional adhesion promoters, antifoam agents, detergents, flame retardants, pigments, plasticizers, stabilizers, and surfactants.
  • pore as used herein includes void and cells in a material and any other term meaning space occupied by gas in the material.
  • gases include relatively pure gases and mixtures thereof. Air, which is predominantly a mixture of N 2 and O 2 , is commonly distributed in the pores but pure gases such as nitrogen, helium, argon, CO 2 , or CO are also contemplated.
  • Pores are typically spherical but may alternatively or additionally include tubular, lamellar, discoidal, voids having other shapes, or a combination of the preceding shapes and may be open or closed.
  • porogen as used herein means a decomposable material that is radiation, thermally, chemically, or moisture decomposable, degradable, depolymerizable, or otherwise capable of breaking down and includes solid, liquid, or gaseous material.
  • the decomposed porogen is removable from or can volatilize or diffuse through a partially or fully cross-linked matrix to create pores in a subsequently fully cured matrix and thus, lower the matrix's dielectric constant and includes sacrificial polymers.
  • Supercritical materials such as CO 2 may be used to remove porogen and decomposed porogen fragments.
  • the porogen comprises a material having a decomposition temperature less than the glass transition temperature (Tg) of a dielectric material combined with it and greater than the curing temperature of the dielectric material combined with it.
  • Tg glass transition temperature
  • the dielectric material and porogen are different materials.
  • the present novel porogens have a degradation or decomposition temperature of about 350°C or greater.
  • the degraded or decomposed porogens volatilize at a temperature greater than the cure temperature of the material with which the porogen is combined and less than the Tg of said material.
  • the degraded or decomposed porogens volatilize at a temperature of about 280°C o r greater.
  • Porogens comprising at least two fused aromatic rings wherein each of the fused aromatic rings has at least one alkyl substituent thereon and a bond exists between at least two of the alkyl substituents on adjacent aromatic rings may be used in the present invention.
  • Preferred porogens include unfunctionalized polyacenaphthylene homopolymer, functionalized polyacenaphthylene homopolymer, the polyacenaphthylene copolymers described below, poly(2-vinylnaphthalene), and vinyl anthracene, and blends with each other.
  • Other useful porogens include adamantane, diamantane, fullerene, and polynorbornene.
  • Each of these porogens may be blended with each other or other porogen materials such as polycaprolactone, polystyrene, and polyester.
  • Useful blends include unfunctionalized polyacenaphthylene homopolymer and polycaprolactone.
  • the more preferred porogens are unfunctionalized polyacenaphthylene homopolymer, functionalized polyacenaphthylene homopolymer, polyacenaphthylene copolymer, and polynorbornene.
  • Useful polyacenaphthylene homopolymers may have weight average molecular weights ranging from preferably about 300 to about 20,000; more preferably about 300 to about 10,000; and most preferably about 1000 to about 7,000 and may be polymerized from acenaphthylene using different initiators such as 2,2'-azobisisobutyronitrile (AIBN); di-tert-butyl azodicarboxylate; di-isopropyl azodicarboxylate; di-ethyl azodicarboxylate; di- benzyl azodicarboxylate; di-phenyl azodicarboxylate; 1 , 1 '- azobis(cyclohexanecarbonitrile); benzoyl peroxide (BPO); t-butyl peroxide; and boron trifluoride diethyl etherate.
  • AIBN 2,2'-azobisisobutyronitrile
  • BPO t-butyl peroxide
  • the polyacenaphthylene homopolymer may have functional end groups such as triple bonds or double bonds to the chain end or cationic polymerization quenched with a double or triple bond alcohol such as allyl alcohol; propargyl alcohol; butynol; butenol; or hydroxyethylmethacrylate.
  • a double or triple bond alcohol such as allyl alcohol; propargyl alcohol; butynol; butenol; or hydroxyethylmethacrylate.
  • European Patent Publication 31 5453 teaches that silica and certain metal oxides may react with carbon to form volatile sub oxides and gaseous carbon oxide to form pores and teaches that sources of carbon include any suitable organic polymer including polyacenaphthylene.
  • sources of carbon include any suitable organic polymer including polyacenaphthylene.
  • polyacenaphthylene is a porogen useful in non-metallic materials or in reducing the dielectric constant of a matrix.
  • Useful polyacenaphthylene copolymers may be linear polymers, star polymers, or hyperbranched.
  • the comonomer may have a bulky side group that will result in copolymer conformation that is similar to that of polyacenaphthylene homopolymer or a nonbulky side group that will result in copolymer conformation that is dissimilar to that of polyacenaphthylene homopolymer.
  • Comonomers having a bulky side group include vinyl pivalate; tert-butyl acrylate; styrene; ⁇ -methylstyrene; tert-butylstyrene; 2- vinylnaphthalene; 5-vinyl-2-norbornene; vinyl cyclohexane; vinyl cyclopentane; 9-vinylanthracene; 4-vinylbiphenyl; tetraphenylbutadiene; stilbene; tert-butylstilbene; and indene; and preferably, vinyl pivalate.
  • Hydridopolycarbosilane may be used as an additional co-monomer or copolymer component with acenaphthylene and at least one of the preceding comonomers.
  • An example of a useful hydridopolycarbosilane has 10% or 75% allyl groups.
  • Comonomers having a nonbulky side group include vinyl acetate; methyl acrylate; methyl methacrylate; and vinyl ether and preferably, vinyl acetate.
  • the amount of comonomer ranges from about 5 to about 50 mole percent of the copolymer. These copolymers may be made by free radical polymerization using initiator.
  • Useful initiators include preferably 2,2'- azobisisobutyronitrile (AIBN); di-tert-butyl azodicarboxylate; di-isopropyl azodicarboxylate; di-ethyl azodicarboxylate; di-benzyl azodicarboxylate; di- phenyl azodicarboxylate; 1 , 1 '-azobis(cyclohexanecarbonitrile); benzoyl peroxide (BPO); and t-butyl peroxide and more preferably, AIBN.
  • Copolymers may also be made by cationic polymerization using initiator such as boron trifluoride diethyl etherate. Preferably, the copolymers have a molecular weight from about 500 to about 1 5,000.
  • Suitable linear polymers are polyethers such as poly(ethylene oxide) and poly(propylene oxide); polyacrylates such as poly(methylmethacrylate); aliphatic polycarbonates such as poly(propylene carbonate) and poly(ethylene carbonate); polyesters; polysulfones; polystyrene (including monomer units selected from halogenated styrene and hydroxy-substituted styrene); poly( -methylstyrene); polylactides; and other vinyl based polymers.
  • polyester porogens include polycaprolactone; polyethylene terephthalate; poly (oxyadipoyloxy- 1 ,4- phenylene); poly(oxyterephthaloyloxy-1 ,4-phenylene); poly(oxyadipoyloxy-1 ,6- hexamethylene); polycarbonate such as poly(hexamethylene carbonate) diol having a molecular weight from about 500 to about 2500; and polyether such as poly(bisphenol A-co-epichlorohydrin) having a molecular weight from about 300 to about 6,500.
  • Suitable crosslinked, insoluble nanospheres are suitably comprised of polystyrene or poly(methylmethacrylate).
  • Suitable block copolymers are poly(styrene-co- ⁇ - methylstyrene), poly(styrene-ethylene oxide), poly(etherlactones), poly(estercarbonates), and poly(lactonelactide).
  • Suitable hyperbranched polymers are hyperbranched polyester, e.g., hyperbranched poly(caprolactone), and polyethers such as polyethylene oxide and polypropylene oxide. Another useful porogen is ethylene glycol-poly(caprolactone).
  • Useful polymer blocks include polyvinylpyridines, hydrogenated polyvinyl aromatics, polyacrylonitriles, polysiloxanes, polycaprolactams, polyurethanes, polydienes such as polybutadienes and polyisoprenes, polyvinyl chlorides, polyacetals, and amine- capped alkylene oxides.
  • Other useful thermoplastic materials include polyisoprenes, polytetrahydrofurans, and polyethyloxazolines.
  • break refers to the breaking of covalent bonds. Such breaking of bonds may occur in numerous ways including heterolytic and homolytic breakage. The breaking of bonds need not be complete, i.e., not all breakable bonds must be cleaved. Furthermore, the breaking of bonds may occur in some bonds faster than in others. Ester bonds, for example, are generally less stable than amide bonds, and therefore, are cleaved at a faster rate. Breakage of bonds may also result in the release of fragments differing from one another, depending on the chemical composition of the degraded portion.
  • thermal energy is applied to the porogen containing material to substantially degrade or decompose the porogen into its starting components or monomers.
  • substantially degrade preferably means at least 80 weight percent of the porogen degrades or decomposes.
  • the Tg is from about 400°C to about 450°C so the present porogens which have a degradation or decomposition temperature of about 350°C or greater are particularly useful with this thermosetting component.
  • porogen For the preferred polyacenaphthylene based homopolymer or copolymer porogen, we have found by using analytical techniques such as Thermal Desorption Mass Spectroscopy that the porogen degrades, decomposes, or depolymerizes into its starting components of acenaphthylene monomer and comonomer.
  • Thermal energy is also applied to volatilize the substantially degraded or decomposed porogen out of the thermosetting component matrix.
  • the same , thermal energy is used for both the degradation and volatilization steps.
  • the amount of volatilized degraded porogen increases, the resulting porosity of the thermosetting component increases.
  • the Tg is from about 400°C to about 450°C so the present substantially degraded porogens which have a volatilization temperature of about 280°C or greater are particularly useful with the thermosetting component.
  • the cure temperature used for cross-linking the thermosetting component will also substantially degrade the porogen and volatilize it out of the thermosetting matrix.
  • Typical cure temperature and conditions will be described in the Utility section below.
  • the resulting pores may be uniformly or randomly dispersed throughout the matrix.
  • the pores are uniformly dispersed throughout the matrix.
  • the porogen is substantially removed.
  • Typical removal methods include, but are not limited to, exposure to radiation, such as but not limited to, electromagnetic radiation such as ultraviolet, x-ray, laser, or infrared radiation; mechanical energy such as sonication or physical pressure; or particle radiation such as gamma ray, alpha particles, neutron beam, or electron beam.
  • low dielectric constant polymer refers to an organic, organometallic, or inorganic polymer with a dielectric constant of approximately 3.0, or lower.
  • the low dielectric material is typically manufactured in the form of a thin layer having a thickness from 100 to 25,000 Angstroms but also may be used as thick films, blocks, cylinders, spheres etc.
  • thermosetting component adhesion promoter, and porogen is useful in lowering the dielectric constant of a material.
  • the dielectric material has a dielectric constant k of less than or equal to about 3.0 and more preferably, from about 1 .9 to 3.0.
  • the dielectric material has a glass transition temperature of preferably at least about 350°C.
  • Layers of the instant compositions of thermosetting component, adhesion promoter, and porogen may be formed by solution techniques such as spraying, rolling, dipping, spin coating, flow coating, or casting, with spin coating being preferred for microelectronics.
  • the present composition is dissolved in a solvent.
  • Suitable solvents for use in such solutions of the present compositions include any suitable pure or mixture of organic, organometallic, or inorganic molecules that are volatized at a desired temperature.
  • Suitable solvents include aprotic solvents, for example, cyclic ketones such as cyclopentanone, cyclohexanone, cycloheptanone, and cyclooctanone; cyclic amides such as N-alkylpyrrolidinone wherein the alkyl has from about 1 to 4 carbon atoms; and N-cyclohexylpyrrolidinone and mixtures thereof.
  • aprotic solvents for example, cyclic ketones such as cyclopentanone, cyclohexanone, cycloheptanone, and cyclooctanone; cyclic amides such as N-alkylpyrrolidinone wherein the alkyl has from about 1 to 4 carbon atoms; and N-cyclohexylpyrrolidinone and mixtures thereof.
  • aprotic solvents for example, cyclic ketones such as cyclopentanone, cyclohexanone, cycloheptanone
  • solvents include methyethylketone, methylisobutylketone, dibutyl ether, cyclic dimethylpolysiloxanes, butyrolactone, ⁇ -butyrolactone, 2- heptanone, ethyl 3-ethoxypropionate, polyethylene glycol [di]methyl ether, propylene glycol methyl ether acetate (PGMEA), and anisole, and hydrocarbon solvents such as mesitylene, xylenes, benzene, and toluene.
  • Preferred solvent is cyclohexanone.
  • layer thicknesses are between 0.1 to about 1 5 microns. As a dielectric interlayer for microelectronics, the layer thickness is generally less than 2 microns.
  • the present composition may be used in electrical devices and more specifically, as an interlayer dielectric in an interconnect associated with a single integrated circuit ("IC") chip.
  • An integrated circuit chip typically has on its surface a plurality of layers of the present composition and multiple layers of metal conductors. It may also include regions of the present composition between discrete metal conductors or regions of conductor in the same layer or level of an integrated circuit.
  • a solution of the present composition is applied to a semiconductor wafer using conventional wet coating processes such as, for example, spin coating; other well known coating techniques such as spray coating, flow coating, or dip coating may be employed in specific cases.
  • a cyclohexanone solution of the present composition is spin-coated onto a substrate having electrically conductive components fabricated therein and the coated substrate is then subjected to thermal processing.
  • An exemplary formulation of the instant composition is prepared by dissolving the present composition in cyclohexanone solvent under ambient conditions with strict adherence to a clean-handling protocol to prevent trace metal contamination in any conventional apparatus having a non-metallic lining.
  • the resulting solution comprises based on the total solution weight, from preferably about 70 to about 98 weight percent of thermosetting component, about 2 to about 30 weight percent adhesion promoter, about 5 to about 25 weight percent porogen, and about 75 to about 95 weight percent solvent.
  • compositions used herein have a controlled viscosity suitable for such a coater.
  • Evaporation of the solvent by any suitable means, such as simple air drying during spin coating, by exposure to an ambient environment, or by heating on a hot plate up to 350°C, may be employed.
  • the substrate may have on it at least one layer of the present preferred composition of thermosetting component, adhesion promoter, and porogen.
  • Substrates contemplated herein may comprise any desirable substantially solid material.
  • Particularly desirable substrate layers comprise films, glass, ceramic, plastic, metal or coated metal, or composite material.
  • the substrate comprises a silicon or gallium arsenide die or wafer surface, a packaging surface such as found in a copper, silver, nickel or gold plated leadframe, a copper surface such as found in a circuit board or package interconnect trace, a via-wall or stiffener interface ("copper” includes considerations of bare copper and its oxides), a polymer-based packaging or board interface such as found in a polyimide-based flex package, lead or other metal alloy solder ball surface, glass and polymers.
  • the substrate comprises a material common in the packaging and circuit board industries such as silicon, copper, glass, and polymers.
  • the present compositions may also be used as a dielectric substrate material in microchips, multichip modules, laminated circuit boards, or printed wiring boards.
  • the circuit board made up of the present composition will have mounted on its surface patterns for various electrical conductor circuits.
  • the circuit board may include various reinforcements, such as woven non-conducting fibers or glass cloth. Such circuit boards may be single sided, as well as double sided.
  • Layers made from the present compositions possess a low dielectric constant, high thermal stability, high mechanical strength, and excellent adhesion to electronic substrate surfaces. Because the adhesion promoter is molecularly dispersed, these layers demonstrate excellent adhesion to all affixed surfaces including underlying substrates and overlaid capping or masking layers, such as SiO 2 and Si 3 N 4 capping layers. The use of these layers eliminates the need for an additional process step in the form of at least one primer coating application to achieve adhesion of the film to a substrate and/or overlaid surface.
  • the coated structure is subjected to a bake and cure thermal process at increasing temperatures ranging from about 50°C up to about 450°C to polymerize the coating.
  • the curing temperature is at least about 300°C because a lower temperature is insufficient to complete the reaction herein.
  • curing is carried out at temperatures of from about 375°C to about 425°C.
  • Curing may be carried out in a conventional curing chamber such as an electric furnace, hot plate, and the like and is generally performed in an inert (non-oxidizing) atmosphere (nitrogen) in the curing chamber.
  • the present compositions may also be cured by exposure to ultraviolet radiation, microwave radiation, or electron beam radiation as taught by commonly assigned patent publication PCT/US96/08678 and US Patents 6,042,994; 6,080,526; 6, 1 77, 143; and 6,235,353, which are incorporated herein by reference in their entireties.
  • Any non oxidizing or reducing atmospheres e.g. , argon, helium, hydrogen, and nitrogen processing gases
  • the processing used to prepare the present low dielectric constant composition results in a homogeneous solution of thermosetting component, adhesion promoter, and porogen.
  • the preferred silane adhesion promoter advantageously serves multiple functions in the low dielectric constant composition.
  • the processing of the present composition enables the preferred polycarbosilane adhesion promoter to interact with both the porogen and the unsaturated structures of thermosetting component. It is believed that the silane portions of the preferred polycarbosilane interact with the porogen and thermosetting component.
  • the polycarbosilane acts as a surfactant or emulsification agent to uniformly disperse the porogen within the thermosetting component in the low dielectric composition. This is critical to producing a composition that gives a homogeneous film (or layer) with uniformly dispersed pores of very small dimension.
  • the silane portion of the polycarbosilane also reacts with the substrate surfaces, thereby creating a chemically bonded adherent interface for the dominant thermosetting monomer precursor. It has been proposed that silylene/silyl radicals being available throughout the composition act as attachment sources to fasten and secure any interface surface of contact by chemical bonding therewith. The interactions between the various components and the reactions of the silane portion may occur during formulation and treatment prior to layer formation.
  • the dispersion of silane functionality with the porogen and thermosetting component throughout the composition accounts for the uniform porosity in the resulting layers.
  • the dispersion of the silane functionality also leads to reactive radicals as well as the superb adhesion of the instant layers to both underlying substrate surfaces as well as overlayered surface structures such as cap or masking layers.
  • Crucial to the materials discovered herein are the findings that the preferred Formula XXXVII polycarbosilane adhesion promoters have a hydrido substituted silicon in the backbone structure of the polycarbosilane.
  • This feature of the polycarbosilane enables it to: (1 ) mix uniformly with the porogen to form a homogeneous composition, (2) be reactive with thermosetting component; (3) uniformly blend and disperse the porogen within the thermosetting component providing a uniform composition leading to uniform distribution of small pores in the final porous layer, and (4) generate a polycarbosilane-modified thermosetting composition and porous layer that possesses improved adhesion performance.
  • the present adhesion promoter-modified thermosetting component (a) coating may act as an interlayer and be covered by other coatings, such as other dielectric (SiO 2 ) coatings, SiO 2 modified ceramic oxide layers, silicon containing coatings, silicon carbon containing coatings, silicon nitrogen containing coatings, silicon-nitrogen-carbon containing coatings, diamond like carbon coatings, titanium nitride coatings, tantalum nitride coatings, tungsten nitride coatings, aluminum coatings, copper coatings, tantalum coatings, organosiloxane coatings, organo silicon glass coatings, and fluorinated silicon glass coatings.
  • Such multilayer coatings are taught in U.S. Pat. No.
  • thermosetting component (a) prepared in the instant process may be readily formed as interlined dielectric layers between adjacent conductor paths on fabricated electronic or semiconductor substrates.
  • the present layer has a dielectric constant of less than 2.7, preferably less than 2.5, more preferably less than 2.2, and most preferably less than 2.0.
  • the present films may be used in dual damascene (such as copper) processing and substractive metal (such as aluminum or aluminum/tungsten) processing for integrated circuit manufacturing.
  • the present compositions may be used as an etch stop, hardmask, air bridge, or passive coating for enveloping a completed wafer.
  • the present composition may be used in a desirable all spin-on stacked film as taught by Michael E. Thomas, "Spin-On Stacked Films for Low k eff Dielectrics", Solid State Technology (July 2001 ), incorporated herein in its entirety by reference.
  • the present layers may be used in stacks with other layers comprising organosiloxanes such as taught by commonly assigned US Patent 6, 143,855 and pending US Serial No.
  • Proton NMR A 2-5 mg sample of the material to be analyzed was put into an NMR tube. About 0.7 ml deuterated chloroform was added. The mixture was shaken by hand to dissolve the material. The sample was then analyzed using a Varian 400MHz NMR.
  • GPC Gel Permeation Chromatography
  • the column was calibrated with relatively monodisperse polystyrene standards between 20,000 and 500 molecular weight. With the lower molecular weight standards nine distinct components could be resolved corresponding to butyl terminated styrene monomer through oligomers with nine styrenes. The logs of the peak molecular weight of the standards were fit with a third order polynomial of the elution time. The instrumental broadening was evaluated from the ratio of the full width at half maximum to the mean elution time of toluene.
  • the absorbance for Preparations 1 and 2 below was a maximum at about 284nm.
  • the chromatograms had similar shapes at absorbance at wavelengths below about 300 nm.
  • the results presented here correspond to 254 nm absorbance.
  • the peaks were identified by the molecular weight of the polystyrene that would be eluting at the same time. These values should not be considered as measurements of molecular weight of the Preparation 1 and 2 oligomers.
  • the sequential elution of higher oligomers, trimers, dimers, oligomers, and incomplete oligomers at increasing times can be quantitated.
  • width corrected [width observed 2 - wid th instrument
  • width instrument is the observed width of toluene corrected by the ratio of the elution times of the peak to that for toluene.
  • the peak width was converted to a molecular weight width through the calibration curve and ratioed to the peak molecular width. Since the molecular weight of styrene oligomers was proportional to the square of their size, the relative molecular weight width can be converted to a relative oligomer size width by dividing by 2. This procedure accounted for the difference in molecular configuration of the two species.
  • LC-MS Liquid Chromatography-Mass Spectroscopy
  • Atmospheric Pressure Chemical Ionization (APCI) mass spectra were recorded in both positive and negative ionization, in separate experiments.
  • Positive APCI was more informative of molecular structure for these final products, providing protonated pseudomolecular ions including adducts with acetonitrile matrix.
  • the APCI corona discharge was 5 microamps, about 5kV for positive ionization, and about 4kV for negative ionization.
  • the heated capillary line was maintained at 200°C and the vaporizer cell at 400°C.
  • the ion detection system after quadrupole mass analysis was set at 1 5kV conversion dynode and 1 500V electron multiplier voltage. Mass spectra were typically recorded at 1 .0 second/scan from about m/z 50 to 2000 a.m.u. for negative ionization, and from about m/z 1 50 a.m.u. up for positive ionization. In separate positive ion experiments, the mass range was scanned up both to
  • DSC Differential Scanning Calorimetry
  • Sample was heated under nitrogen from 0°C to 450°C at a rate of 100°C/minute (cycle 1 ), then cooled to 0°C at a rate of 100°C/minute.
  • a second cycle was run immediately from 0°C to 450°C at a rate of 100°C/minute (repeat of cycle 1 ).
  • the cross-linking temperature was determined from the first cycle.
  • FTIR analysis FTIR spectra were taken using a Nicolet Magna 550 FTIR spectrometer in transmission mode. Substrate background spectra were taken on uncoated substrates. Film spectra were taken using the substrate as background. Film spectra were then analyzed for change in peak location and intensity.
  • Dielectric Constant The dielectric constant was determined by coating a thin film of aluminum on the cured layer and then doing a capacitance-voltage measurement at 1 MHz and calculating the k value based on the layer thickness.
  • Tg Glass Transition Temperature
  • the glass transition temperature of a thin film was determined by measuring the thin film stress as a function of temperature. The thin film stress measurement was performed on a KLA 3220 Flexus. Before the film measurement, the uncoated wafer was annealed at 500°C for 60 minutes to avoid any errors due to stress relaxation in the wafer itself. The wafer was then deposited with the material to be tested and processed through all required process steps. The wafer was then placed in the stress gauge, which measured the wafer bow as function of temperature. The instrument calculated the stress versus temperature graph, provided that the wafer thickness and the film thickness were known. The result was displayed in graphic form. To determine the Tg value, a horizontal tangent line was drawn (a slope value of zero on the stress vs. temperature graph). Tg value was where the graph and the horizontal tangent line intersect.
  • Tg was determined after the first temperature cycle or a subsequent cycle where the maximum temperature was used because the measurement process itself may influence Tg.
  • TGA Thermogravimetric Analyzer
  • Samples were heated under nitrogen with a purge rate of 100 ml/min (60 ml/min going to the furnace and 40 ml/min to the balance). Sample was equilibrated under nitrogen at 20°C for 20 minutes, then temperature was raised to 200°C at a rate of 10°C/minute and held at 200°C for 10 minutes. Temperature was then ramped to 425°C at a rate of 10°C/minute and held at 425°C for 4 hours. The weight loss at 425°C for the 4 hour period was calculated.
  • Shrinkage Film shrinkage was measured by determining the film thickness before and after the process. Shrinkage was expressed in percent of the original film thickness. Shrinkage was positive if the film thickness decreased. The actual thickness measurements were performed optically using a J.A. Woollam M-88 spectroscopic ellipsometer. A Cauchy model was used to calculate the best fit for Psi and Delta (details on Ellipsometry can be found in e.g. "Spectroscopic Ellipsometry and Reflectometry" by H.G. Thompkins and William A. McGahan, John Wiley and Sons, Inc., 1 999).
  • the refractive index measurements were performed together with the thickness measurements using a J.A. Woollam M-88 spectroscopic ellipsometer. A Cauchy model was used to calculate the best fit for Psi and Delta. Unless noted otherwise, the refractive index was reported at a wavelenth of 633nm (details on Ellipsometry can be found in e.g. "Spectroscopic Ellipsometry and Reflectometry" by H.G. Thompkins and William A. McGahan, John Wiley and Sons, Inc., 1 999).
  • Modulus and Hardness were measured using instrumented indentation testing. The measurements were performed using a MTS Nanoindenter XP (MTS Systems Corp., Oak Ridge, TN). Specifically, the continuous stiffness measurement method was used, which enabled the accurate and continuous determination of modulus and hardness rather than measurement of a discrete value from the unloading curves.
  • the system was calibrated using fused silica with a nominal modulus of 72 + - 3.5 GPa. The modulus for fused silica was obtained from average value between 500 to 1000 nm indentation depth. For the thin films, the modulus and hardness values were obtained from the minimum of the modulus versus depth curve, which is typically between 5 to 1 5% of the film thickness.
  • the tape test was performed following the guidelines given in ASTM D3359-95. A grid was scribed into the dielectric layer according to the following. A tape test was performed across the grid marking in the following manner: (1 ) a piece of adhesive tape, preferably Scotch brand #3m600- 1 /2X1 296, was placed on the present layer, and pressed down firmly to make good contact; and (2) the tape was then pulled off rapidly and evenly at an angle of 1 80° to the layer surface. The sample was considered to pass if the layer remained intact on the wafer, or to have failed if part or all of the film pulled up with the tape.
  • a piece of adhesive tape preferably Scotch brand #3m600- 1 /2X1 296, was placed on the present layer, and pressed down firmly to make good contact; and (2) the tape was then pulled off rapidly and evenly at an angle of 1 80° to the layer surface. The sample was considered to pass if the layer remained intact on the wafer, or to have failed if part or all of the film pulled up with the tape.
  • Epoxy-coated studs were attached to the surface of a wafer containing the layers of the present invention.
  • a ceramic backing plate was applied to the back side of the wafer to prevent substrate bending and undue stress concentration at the edges of the stud.
  • the studs were then pulled in a direction normal to the wafer surface by a testing apparatus employing standard pull protocol steps. The stress applied at the point of failure and the interface location were then recorded.
  • Compatibility with Solvents was determined by measuring film thickness, refractive index, FTIR spectra, and dielectric constant before and after solvent treatment. For a compatible solvent, no significant change should be observed.
  • the material was first deposited on silicon wafers using standard processing conditions. For each sample, three wafers were prepared with a film thickness of approximately 6000 Angstroms. The films were then removed from the wafers by scraping with a razor blade to generate powder samples. These powder samples were pre-dried at 1 80°C in an oven before weighing them, carefully pouring the powder into a 10 mm inner diameter sample tube, then degassing at 180 °C at 0.01 Torr for > 3 hours.
  • the adsorption and desorption N 2 sorption was then measured automatically using a 5 second equilibration interval, unless analysis showed that a longer time was required.
  • the time required to measure the isotherm was proportional to the mass of the sample, the pore volume of the sample, the number of data points measured, the equilibration interval, and the P/Po tolerance. (P is actual pressure of the sample in the sample tube. Po is the ambient pressure outside the instrument.)
  • the instrument measures the N 2 isotherm and plots N 2 versus P/Po.
  • the apparent BET (Brunauer, Emmett, Teller method for multi-layer gas absorption on a solid surface disclosed in S. Brunauer, P. H. Emmett, E. Teller; J. Am. Chem. Soc , 60, 309-319 (1 938)) surface area was calculated from the lower P/Po region of the N2 adsorption isotherm using the BET theory, using the linear section of the BET equation that gives an R 2 fit > 0.9999.
  • the pore volume was calculated from the volume of N 2 adsorbed at the relative pressure P/Po value, usually P/Po ⁇ 0.95, which is in the flat region of the isotherm where condensation is complete, assuming that the density of the adsorbed N 2 is the same as liquid N 2 and that all the pores are filled with condensed N 2 at this P/Po.
  • the pore size distribution was calculated from the adsorption arm of the N 2 isotherm using the BJH (E. P. Barret, L. G. Joyner, P. P. Halenda; J. Am. Chem. Soc , 73, 373-380 (1 951 )) pore size distribution from the N2 isotherm using the Kelvin equation) theory.
  • This uses the Kelvin equation, which relates curvature to suppression of vapor pressure, and the Halsey equation, which describes the thickness of the adsorbed N 2 monolayer versus P/Po, to convert the volume of condensed N 2 versus P/Po to the pore volume in a particular range of pore sizes.
  • Thermal Desorption Mass Spectroscopy is used to measure the thermal stability of a material by analyzing the desorbing species while the material is subjected to a thermal treatment.
  • the TDMS measurement was performed in a high vacuum system equipped with a wafer heater and a mass spectrometer, which was located close to the front surface of the wafer.
  • the wafer was heated using heating lamps, which heat the wafer from the backside.
  • the wafer temperature was measured by a thermocouple, which was in contact with the front surface of the wafer. Heater lamps and thermocouple were connected to a programmable temperature controller, which allowed several temperature ramp and soak cycles.
  • the mass spectrometer was a Hiden Analytical HAL IV RC RGA 301 . Both mass spectrometer and the temperature controller were connected to a computer, which read and recorded the mass spectrometer and the temperature signal versus time.
  • the material was first deposited as a thin film onto an 8 inch wafer using standard processing methods.
  • the wafer was then placed in the TDMS vacuum system and the system was pumped down to a pressure below 1 e-7 torr.
  • the temperature ramp was then starting using the temperature controller.
  • the temperature and the mass spectrometer signal were recorded using the computer. For a typical measurement with a ramp rate of about 10 degree C per minute, one complete mass scan and one temperature measurement are recorded every 20 seconds. The mass spectrum at a given time and temperature at a given time can be analyzed after the measurement is completed.
  • a first reaction vessel was loaded with adamantane (200 grams), bromobenzene (1 550 milliliters), and aluminum trichloride (50 grams). The reaction mixture was heated to 40°C by a thermostatted water bath. Tert-butyl bromide (1 206 grams) was added slowly over a period of 4-6 hours to the reaction mixture. The reaction mixture at 40°C was stirred overnight.
  • a second reaction vessel was loaded with 1000 milliliters of aqueous hydrogen chloride (5%w/w). The contents of the first reaction vessel were gradually discharged into the second reaction vessel while maintaining the reaction mixture at 25-35°C by an external ice bath. An organic phase (dark brown lower phase) was separated and washed with water (1000 milliliters). About 1 700 milliliters of the organic phase remained.
  • a third reaction vessel was loaded with 20.4 liters of petroleum ether (mainly isooctane with a boiling range of 80°C-1 10°C). The contents of the second reaction vessel were slowly added over a period of one hour to the third reaction vessel. The resulting mixture was stirred for at least one hour. The precipitate was filtered off and the filter cake was washed twice with 300 milliliters per wash of the aforementioned petroleum ether. The washed filter cake was dried overnight at 45°C at 40mbar.
  • the P1 Step (a) Product yield was 407 grams dry weight.
  • This reaction is shown in Figures 1 A through 1 C as follows.
  • Figure 1 A shows the resulting monomer.
  • Figure 1 B shows the resulting generic dimer and higher products while Figure 1 C shows the resulting specific dimer and trimer covered by the Figure 1 B structure.
  • a first reactor under nitrogen was loaded with toluene ( 1 500 milliliters), triethylamine (4000 milliliters), and the P1 Step (a) Product prepared above (1000 grams dry).
  • the mixture was heated to 80°C and bis-(triphenyl- phosphine)palladium(ll)dichloride (i.e., [Ph 3 P] 2 PdCI 2 ) (7.5 grams) and triphenylphosphine (i.e. [Ph 3 P]) (1 5 grams) were added. After ten minutes, copper(l)iodide (7.5 grams) was added.
  • Dolomite was filtered off with a cloth filter having fine pores and the remainder was washed with toluene (200 milliliters).
  • Silica 100 grams was added. The reaction mixture was stirred for 30 minutes. The silica was filtered off with a cloth filter having fine pores and the remainder was washed with toluene (200 milliliters).
  • Aqueous NH 3 (20 w/w%), in an amount of 2500 milliliters, and 1 2.5g of N-acetylcysteine were added. The phases were separated. The organic phase was washed with 1000 milliliters of HCI (10% w/w) and then washed two times with 1000 milliliters per wash of water.
  • the toluene was distilled off under a reduced pressure of about 1 20mbar.
  • the pot temperature did not exceed about 70°C.
  • a dark brown viscous oil (1 500-1 700 milliliters) remained.
  • iso-butyl acetate (2500 milliliters) was added and a dark brown solution formed (4250 milliliters).
  • the ratio of the monomeric and small molecules to oligomeric compounds was 50+5%.
  • a first reaction vessel was loaded with 1 ,4-dibromobenzene (587.4 grams) and aluminum trichloride (27.7 grams). This reaction mixture was heated to 90°C by a thermostatted water bath and maintained at this temperature for one hour without stirring and for an additional one hour with stirring. The reaction mixture was cooled down to 50°C. Adamantane (1 1 3.1 grams) was added to the cooled reaction mixture. Over a period of four hours, t-butyl-bromobenzene (796.3 grams) was added to the reaction mixture. The reaction mixture was stirred for an additional 1 2 hours.
  • a second reaction vessel was loaded with HCI (566 milliliters, 10% aqueous w/w).
  • HCI 566 milliliters, 10% aqueous w/w.
  • the contents of the first reaction vessel at 50°C were discharged into the second reaction vessel while maintaining the mixture at 25- 35°C by an external ice bath.
  • the reaction mass was a light brown suspension.
  • the organic phase was a dark brown lower phase and separated from the reaction mixture.
  • the separated organic phase was washed with water (380 milliliters). After this washing, about 800 milliliters of organic phase remained.
  • a first reaction pot under nitrogen was loaded with toluene (698 milliliters), triethylamine (1860 milliliters), and the P2 Step (a) Product prepared above (465 grams dry).
  • the mixture was heated to 80°C.
  • Palladium-triphenylphosphine complex i.e. [Ph(PPh 3 ) 2 CI 2 )(4.2 grams) was added to the reaction mixture.
  • triphenylphosphine i.e., PPh 3 )(8.4 grams
  • copper(l)-iodide 4.2 grams was added to the reaction mixture.
  • the dolomite was filtered off with a cloth filter having fine pores and the organic material was washed with toluene (200 milliliters).
  • Silica 50 grams was added and the reaction mixture was stirred for 30 minutes.
  • the silica was filtered off with a cloth filter having fine pores and the organic material was washed with toluene (200 milliliters).
  • Aqueous NH 3 (20% w/w)(250 milliliters) and N- acetylcysteine (12.5 grams) were added.
  • the phases were separated.
  • the organic phase was washed with HCI(10% w/w)(500 milliliters).
  • the organic material was washed twice with 500 milliliters per wash of water.
  • the toluene was distilled off under reduced pressure of about 1 20mbar.
  • the pot temperature did not exceed 70°C.
  • a dark brown viscous oil (about 500-700 milliliters) remained.
  • iso-butyl acetate (1 162 milliliters) was added.
  • a dark brown solution (about 1 780 milliliters) formed.
  • a second reaction pot was loaded with heptane (71 20 milliliters). Over a period of one hour, the contents of the first reaction pot were added to the second reaction pot. The precipitate was stirred for at least three hours and filtered off. The product was washed four times with 250 milliliters per wash of heptane. The product was dried under reduced pressure of 40mbar at 80°C. The P2 Step (b) Product yield was 700 grams wet or 41 9 grams dry.
  • 1,3,5,7-tetrar/s[374'-(phenylethynyl)phenyl]adamantane (shown in Figure 3D) had a peak molecular weight of about 763; 1 ,3/ -bis ⁇ 3 5'-tris[3' ''- ⁇ phen ⁇ et ⁇ /n ⁇ )phe y]adamar ⁇ x-7'- / ⁇ benze e (shown in Figure 3F) had a peak molecular weight of about 1330;
  • the ratio of the monomeric and small molecules to oligomeric compounds was 50+5%.
  • Precipitation into hydrocarbons resulted in very dispersed light beige powders that dried without complications.
  • Precipitation into methanol gave heavy, brownish granular solid (particles size approximately 1 mm), which formed tar when dried at 20°C. This product was dried further.
  • the peak ratio of monomer to (dimer + trimer) in the reaction mixture was about 3: 1 .
  • the product lost in hydrocarbons precipitation filtrates was mostly ( > 90%) monomer while losses in washing filtrates were negligible.
  • the diamantane monomer of Formula XIIA, XIIB, XIIC, or XIID and oligomer or polymer of diamantane monomer of Formulae XIII, XV, XXII, and XXV are prepared using the following method. As shown in Figure 2, diamantane is converted using bromine and a Lewis Acid catalyst to brominated diamantane product. The brominated diamantane product is then reacted with bromobenzene in the presence of a Lewis Acid catalyst to form bromophenylated diamantane. The bromophenylated diamantane is then reacted with a terminal alkyne in the presence of a catalyst system as used in the so-called Sonogashira coupling reaction. The product at each step is worked up as described in our pending patent application PCT/US01 /22204 filed October 1 7, 2001 .
  • the diamantane monomer of Formula XIIA, XIIB, XIIC, or XIID and oligomer or polymer of diamantane monomer of Formulae XIII, XVI, XXII, and XXV are prepared using the following method. As shown in Figures 1 A through 1 F, diamantane is converted to the bromophenylated compositions of diamantane using similar synthetic procedures as described in Preparations 1 and 2. In Figures 1 A through 1 C, diamantane is reacted with a substituted halogen phenyl compound in the presence of a Lewis Acid catalyst as described in Preparations 1 and 2, and/or a second catalyst component as described in Preparation 2.
  • a mixture of monomers, dimers, trimers, and higher oligomers is obtained after work-up of the reaction mixtures.
  • the bromophenylated diamantane mixture is then reacted with a terminal alkyne in the presence of catalyst to produce the alkyne-substituted diamantane compositions of the present invention.
  • a porogen comprising copolymer of acenaphthylene and vinyl pivalate was made as follows. To a 250-milliliter flask equipped with a magnetic stirrer were added 20 grams of technical grade acenaphthylene (75% pure - corresponds to 0.986 mole of pure acenaphthylene), 3.1 579 grams (0.0246 mole) of vinyl pivalate, 0.5673 gram (2.464 millimole) of di-tert-butyl azodicarboxylate and 95 milliliters of xylenes. The mixture was stirred at room temperature for ten minutes until a homogeneous solution was obtained.
  • the reaction solution was then degassed at reduced pressure for five minutes and purged with nitrogen. This process was repeated three times.
  • the reaction mixture was then heated to 140°C for six hours under nitrogen.
  • the solution was cooled to room temperature and added into 237 milliliters of ethanol dropwise.
  • the mixture was kept stirring at room temperature for another 20 minutes.
  • the precipitate that formed was collected by filtration and dried under vacuum.
  • the resulting copolymer properties are listed as Copolymer 18 in Table 5 above.
  • Other porogens comprising copolymers of acenaphthylene and vinyl pivalate were prepared in a similar manner but varying the comonomer percentage used, initiator type and percentage used, and reaction time and temperature as set forth in Table 5 above.
  • Copolymer 2 The solution was cooled to room temperature and added into 230 milliliters of ethanol dropwise. The mixture was kept stirring at room temperature for another 20 min. The precipitate that formed was collected by filtration and dried under vacuum. The resulting copolymer properties are listed as Copolymer 2 in Table 5 above.
  • Other porogens comprising copolymers of acenaphthylene and tert-butylacrylate were prepared in a similar manner but varying the comonomer percentage used, initiator type and percentage used, and reaction time and temperature as set forth in Table 5 above.
  • a porogen comprising copolymer of acenaphthylene and vinyl acetate was made as follows. To a 250-milliliter flask equipped with a magnetic stirrer were added 20 grams of technical grade acenaphthylene (75% pure - corresponds to 0.986 mole of pure acenaphthylene), 1 .6969 grams (0.01 971 mole) of vinyl acetate, 0.3884 gram (2.365 millimole) of 2,2'- azobisisobutyronitrile and 88 milliliters xylenes. The mixture was stirred at room temperature for 10 minutes until a homogeneous solution was obtained.
  • the reaction solution was then degassed at reduced pressure for 5 minutes and purged with nitrogen. This process was repeated three times.
  • the reaction mixture was then heated to 70°C for 24 hours under nitrogen.
  • the solution was cooled to room temperature and added into 220 milliliters of ethanol dropwise.
  • the mixture was kept stirring at room temperature for another 20 minutes.
  • the precipitate that formed was collected by filtration and dried under vacuum.
  • the resulting copolymer properties are listed as Copolymer 1 8 in Table 5 above.
  • Another porogen comprising copolymers of acenaphthylene and vinyl acetate was prepared in a similar manner but varying the comonomer percentage used; the resulting copolymer properties are listed as Copolymer 1 9 in Table 5 above.
  • a polymer of acenaphthylene was made as follows. To a 250-milliliter flask equipped with a magnetic stirrer were added 30 grams of technical grade acenaphthylene (75% pure - corresponds to 0.148 mole of pure acenaphthylene), 0.3404 gram of di-tert-butyl azodicarboxylate (1 .478 millimole) and 1 21 milliliters xylenes. The mixture was stirred at room temperature for 10 minutes until a homogeneous solution was obtained. The reaction solution was then degassed at reduced pressure for five minutes and purged with nitrogen. This process was repeated three times. The reaction mixture was then heated to 140°C for six hours under nitrogen.
  • the bottle was washed with xylene (approximately 1 1 1 grams) and the resulting xylene solution was added to the 2-neck flask until the overall weight of the reaction mixture reached 500 grams.
  • the flask was clamped to a water condenser and the water turned on.
  • the system was flashed with N 2 (strong stream) from the top of the condenser (inlet) to the side neck (outlet) for 30 minutes.
  • the N 2 inlet on the top of the condenser was replaced to the inlet-outlet and the side neck was closed by a stopper.
  • the weak N 2 stream was continued.
  • the flask was lowered into an oil bath (pre-heated to 145°C and under constant stirring) to cover the reaction flask.
  • the stir bar was stirring in the reaction flask and the reaction mixture was boiling and left to reflux for 1 5.5 hours. The heating and stirring were then stopped.
  • the flask was taken out of the oil bath and the flask allowed to cool to room temperature.
  • the stir bar was removed using a magnetic rod and solvent exchange with cyclohexanone started.
  • Inventive Example 6 Inventive Example 5 was repeated except that no adhesion promoter was added so that the composition was 50% weight percent polyacenaphthylene homopolymer and the remainder thermosetting component.
  • Inventive Example 7 Inventive Example 5 was repeated except that the amount of polycarbosilane (CH 2 SiH 2 ) q where q is 20-30 (supplied by Starfire Systems, Inc.) used was 2.68 grams. The final composition was 20% solids with 1 2 weight percent polycarbosilane, 50 weight percent polyacenaphthylene homopolymer, and the remainder was thermosetting component.
  • Inventive Examples 8-10 Inventive Example 7 was repeated except that the weight percent polyacenaphthylene homopolymer was varied as set forth in the following Table 9.
  • Example 5 Inventive Example 5 was repeated except that the amount of polycarbosilane (CH 2 SiH 2 ) q where q is 20-30 (supplied by Starfire Systems, Inc.) used was 1 .92 grams.
  • the amount of polyacenaphthylene homopolymer was varied as set forth in the following Table 10.
  • thermosetting component (4.1 7 grams) similar to Preparation 1 or 2 above, ortho-cresol novolak (0.1 25 gram) as adhesion promoter, polyacenaphthylene (1 .074 grams) as porogen, and cyclohexanone (24.46 grams).
  • thermosetting component 4.1 7 grams
  • ortho-cresol novolak 0.1 25 gram
  • polyacenaphthylene (1 .074 grams) as porogen
  • cyclohexanone 24.46 grams
  • the remaining reaction mixture weighed about 10 to 1 2 grams. 100 grams of cyclohexanone was then added to the flask. Most solvent was then removed by rotary evaporator until a viscous liquid was obtained. The remaining reaction mixture weighed about 10 to 1 2 grams. This process was repeated two more times to ensure that all xylene was exchanged to cyclohexanone. The solution was then diluted with cyclohexanone to make a 1 8% solid concentration solution. The solution was filtered slowly at less than 20 pounds per square inch through a 0.1 ⁇ m teflon filter. The preceding step was repeated. The final composition was 18% solids with 1 2% weight percent polycarbosilane, 50% weight percent polyacenaphthylene copolymer, and the remainder was thermosetting component.
  • the solvent used was xylene.
  • Polycaprolactone (4.48 grams) as porogen, polycarbosilane (CH 2 SiH 2 ) q where q is 20-30 (0.48 gram) as adhesion promoter, and xylenes (59.4 grams) were added to a plastic bottle equipped with a magnetic stirring bar. The solution was stirred at room temperature for 24 hours. The solution was then transferred to a 250 milliliter three neck flask. Thermosetting component (4.00 grams) similar to Preparation 1 or 2 above and additional 19.8 grams of xylene were added. The solution was flushed with nitrogen for 5 minutes and heated at 145°C for 1 5.5 hours.
  • the solution was filtered slowly at less than 20 pounds per square inch through a 0.1 ⁇ m teflon filter. The preceding step was repeated.
  • the final composition was 10% solids with 1 2% weight percent polycarbosilane, 50% weight percent polycaprolactone, and the remainder was thermosetting component.
  • the solvent used was cyclohexanone.
  • Polycaprolactone (4.48 grams) as porogen, polycarbosilane (CH 2 SiH 2 ) q where q is 20-30 (0.48 gram), and xylenes (59.4 grams) were added to a plastic bottle equipped with a magnetic stirring bar. The solution was stirred at room temperature for 24 hours. The solution was then transferred to a 250 milliliters three neck flask. Thermosetting component (4.00 grams) similar to Preparation 1 or 2 above and additional 1 9.8 grams of xylene were added. The solution was flushed with nitrogen for 5 minutes and heated at 145°C for 1 5.5 hours.
  • Thermosetting component (4.00 grams) similar to Preparation 1 or 2 above, ortho-cresol novolak (0.1 2 gram; molecular weight of 1 760; supplied by Schenectady International Inc.) as adhesion promoter, polycaprolactone (2.53 grams) as porogen, and 37.66 grams of cyclohexanone were added to a plastic bottle equipped with a magnetic stirring bar. The solution was stirred at room temperature for 2 hours. The solution was filtered slowly at less than 20 pounds per square inch through a 0.1 ⁇ m teflon filter. The preceding step was repeated. The final composition was 1 5% solids with 3 weight percent ortho-cresol novolak with respect to the thermosetting component, 35 weight percent polycaprolactone with respect to the total solids, and the remainder being thermosetting component.
  • the solvent used was xylene.
  • Polycaprolactone (4.48 grams) and commercially available polyacenaphthylene homopolymer (0.7906 gram) as a porogen blend, polycarbosilane (CH 2 SiH 2 ) q where q is 20-30 (0.48 gram) (supplied by Starfire Systems, Inc.) as adhesion promoter, and xylenes (64.74 grams) were added to a plastic bottle equipped with a magnetic stirring bar. The solution was stirred at room temperature for 24 hours. The solution was then transferred to a 250 milliliters three neck flask.
  • Thermosetting component (4.00 grams) similar to Preparation 1 or 2 above and additional xylene (21 .58 grams) were added. The solution was flushed with nitrogen for 5 minutes and heated at 145°C for 1 5.5 hours. The solution was filtered slowly at less than 20 pounds per square inch through a 0.1 ⁇ m teflon filter. The preceding step was repeated. The final composition was 1 6.5% solids with 12 weight percent polycarbosilane with respect to the thermosetting component, 1 5 weight percent polyacenaphthylene with respect to the weight of the thermosetting component, and the remainder being thermosetting component.
  • Polycaprolactone (4.48 grams) and commercially available polyacenaphthylene homopolymer (0.7906 gram) as a porogen blend, polycarbosilane (CH 2 SiH 2 ) q where q is 20-30 (0.48 gram) (supplied by Starfire Systems, Inc. ) as adhesion promoter, and xylenes (64.74 grams) were added to a plastic bottle equipped with a magnetic stirring bar. The solution was stirred at room temperature for 24 hours. The solution was then transferred to a 250 milliliters three neck flask. Thermosetting component (4.00 grams) similar to Preparation 1 or 2 above and additional 21 .58 grams of xylene were added.
  • the solution was flushed with nitrogen for 5 minutes and heated at 145°C for 1 5.5 hours. Most xylene was then removed by rotary evaporator until a viscous liquid was obtained. The remaining reaction mixture weighed about 10 to 1 2 grams. 100 grams of cyclohexanone was then added to the flask. Again most solvent was then removed by rotary evaporator until a viscous liquid was obtained. The remaining reaction mixture weighed about 10 to 12 grams. This process was repeated two more times to ensure that all the xylenes was exchanged to cyclohexanone. The solution was then diluted with cyclohexanone to make a 18% solid concentration solution. The solution was filtered slowly at less than 20 pounds per square inch through a 0.1 ⁇ m teflon filter. The preceding step was repeated.
  • Inventive Example 37 was repeated except that the amount of polycarbosilane was varied as set forth in Table 14.
  • Inventive Example 36 was repeated except the amount of polycarbosilane was varied as set forth in Table 14.
  • PAN stands for polyacenaphthylene homopolymer
  • PCL stands for polycaprolactone
  • PCS stands for polycarbosilane.
  • the composition of Inventive Example 5 was applied to a substrate using typical coating conditions known to those skilled in the art.
  • the resulting spun-on composition was baked for one minute under N 2 ( ⁇ 50 ppm O 2 ) at each of the following temperatures: 1 25°C, 250°C, and 300°C.
  • the furnace cure condition was 400°C for 60 minutes in N 2 (26 liters/minute) with ramping up from 250°C at 5°K per minute.
  • the cure temperature range was from 350°C to 450°C.
  • the porogen decomposed and the decomposed porogen volatilized whereby pores formed in the composition.
  • the resulting layers were analyzed according to the analytical test methods set forth above and the analyzed resulting layer properties are reported in Table 1 5 below.
  • composition of Inventive Example 6 was applied to a substrate using typical coating conditions known to those skilled in the art and using the baking and curing conditions of Inventive Example 42.
  • the porogen decomposed and the decomposed porogen volatilized whereby pores formed in the composition.
  • the resulting layer was analyzed according to the analytical test methods set forth above and the analyzed resulting properties are reported in Table 1 6 below.
  • the Inventive Example 43 results show the benefit of the adhesion promoter presence in Inventive Example 42.
  • composition of Inventive Examples 7-10 was applied to a substrate using typical coating conditions known to those skilled in the art and using the baking and curing conditions of Inventive Example 42.
  • the porogen decomposed and the decomposed porogen volatilized whereby pores formed in the composition.
  • the resulting layers were analyzed according to the analytical test methods set forth above and the analyzed resulting layer properties are reported in Table 1 7 below.
  • FIG. 4 shows the cross section of the film while Figure 5 shows the surface of the film.
  • SEM Scanning Electron Microscope
  • composition of Inventive Examples 24-30 was applied to a substrate using typical coating conditions known to those skilled in the art and using the baking and curing conditions of Inventive Example 42.
  • the porogen decomposed and the decomposed porogen volatilized whereby pores formed in the composition.
  • the resulting layers were analyzed according to the analytical test methods set forth above and the analyzed resulting layer properties are reported in Table 20 below.
  • composition comprising porogen of copolymer of acenaphthylene and vinyl pivalate has a lower dielectric constant than a composition comprising porogen of copolymer of acenaphthylene and tert-butyl acrylate.
  • Each composition of Inventive Examples 31 -32 was applied to a substrate using typical coating conditions known to those skilled in the art and using the baking and curing conditions of Inventive Example 42.
  • the porogen decomposed and the decomposed porogen volatilized whereby pores formed in the composition.
  • the resulting layers were analyzed according to the analytical test methods set forth above and the analyzed resulting layer properties are reported in Table 21 below where NM means not measured and Rl stands for refractive index.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Organic Insulating Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Polyoxymethylene Polymers And Polymers With Carbon-To-Carbon Bonds (AREA)

Abstract

Cette invention concerne une composition renfermant : (a) un matériau diélectrique ; et (b) un porogène comprenant au moins deux noyaux aromatiques fusionnés, chacun de ces noyaux aromatiques fusionnés comprenant au moins un substituant alkyle, et une liaison reliant au moins deux des substituants alkyle sur des noyaux aromatiques adjacents. Le matériau diélectrique est de préférence une composition renfermant : (a) un composant thermodurcissable comprenant (1) éventuellement un monomère représenté par la formule (I) telle que définie dans la description et (2) au moins un oligomère ou un polymère représenté par la formule (II) telle que définie dans la description et dans laquelle Q, G, h, i, j et w sont tels que définis dans la description ; et (b) un porogène. Le porogène est de préférence sélectionné dans un groupe comprenant homopolymère polyacénaphthylène non fonctionnalisé, homopolymère polyacénaphthylène fonctionnalisé, copolymère polyacénaphthylène, polynorbornène, polycaprolactone, poly(2-vinylnaphthalène), vinyl anthracène, polystyrène, dérivés polystyrène, polysiloxane, polyester, polyéther, polyacrylate, polycarbonate aliphatique, polysulfone, polylactide et des mélanges de ces éléments. Les compositions de la présente invention servent en particulier de matériau de substrat diélectrique dans des micropuces, des modules multipuces, des cartes de circuit imprimé stratifiées et des tableaux de connexions imprimés.
PCT/US2003/000948 2002-01-15 2003-01-14 Compositions organiques WO2003060979A2 (fr)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR10-2004-7010994A KR20040104454A (ko) 2002-01-15 2003-01-14 유기 조성물
JP2003560974A JP2005516382A (ja) 2002-01-15 2003-01-14 有機組成物
AU2003210504A AU2003210504A1 (en) 2002-01-15 2003-01-14 Organic compositions for low dielectric constant materials
EP03729654A EP1466356A2 (fr) 2002-01-15 2003-01-14 Compositions organiques

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
US35018702P 2002-01-15 2002-01-15
US60/350,187 2002-01-15
US35055702P 2002-01-22 2002-01-22
US60/350,557 2002-01-22
US35301102P 2002-01-30 2002-01-30
US60/353,011 2002-01-30
US37621902P 2002-04-29 2002-04-29
US60/376,219 2002-04-29
US37842402P 2002-05-07 2002-05-07
US60/378,424 2002-05-07
US10/158,513 2002-05-30
US10/158,513 US7141188B2 (en) 2001-05-30 2002-05-30 Organic compositions

Publications (2)

Publication Number Publication Date
WO2003060979A2 true WO2003060979A2 (fr) 2003-07-24
WO2003060979A3 WO2003060979A3 (fr) 2004-07-15

Family

ID=27558468

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/000948 WO2003060979A2 (fr) 2002-01-15 2003-01-14 Compositions organiques

Country Status (6)

Country Link
EP (1) EP1466356A2 (fr)
JP (1) JP2005516382A (fr)
KR (1) KR20040104454A (fr)
CN (1) CN1643669A (fr)
AU (1) AU2003210504A1 (fr)
WO (1) WO2003060979A2 (fr)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1605016A2 (fr) * 2004-06-10 2005-12-14 Fuji Photo Film Co., Ltd. Composition de formation de film, composition de formation des matériaux isolants, film isolant et dispositif electronique
JP2006245117A (ja) * 2005-03-01 2006-09-14 Fuji Photo Film Co Ltd 膜形成用組成物、それを用いた絶縁膜および電子デバイス
JP2006257212A (ja) * 2005-03-16 2006-09-28 Fuji Photo Film Co Ltd 膜形成用組成物、それを用いた絶縁膜および電子デバイス
GB2451865A (en) * 2007-08-15 2009-02-18 Univ Liverpool Microporous polymers from alkynyl monomers
CN100552084C (zh) * 2004-10-13 2009-10-21 国际商业机器公司 形成介电膜的方法和介电膜
US7790234B2 (en) 2006-05-31 2010-09-07 Michael Raymond Ayers Low dielectric constant materials prepared from soluble fullerene clusters
US7875315B2 (en) 2006-05-31 2011-01-25 Roskilde Semiconductor Llc Porous inorganic solids for use as low dielectric constant materials
US7883742B2 (en) 2006-05-31 2011-02-08 Roskilde Semiconductor Llc Porous materials derived from polymer composites
US7919188B2 (en) 2006-05-31 2011-04-05 Roskilde Semiconductor Llc Linked periodic networks of alternating carbon and inorganic clusters for use as low dielectric constant materials
US8034890B2 (en) 2005-02-24 2011-10-11 Roskilde Semiconductor Llc Porous films and bodies with enhanced mechanical strength
WO2024044460A1 (fr) * 2022-08-26 2024-02-29 Applied Materials, Inc. Systèmes et procédés de dépôt de films diélectriques à faible κ

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007031663A (ja) * 2005-07-29 2007-02-08 Fujifilm Corp 膜形成用組成物、それを用いて形成された絶縁膜及び電子デバイス
JP2007314778A (ja) * 2006-04-26 2007-12-06 Fujifilm Corp 膜形成用組成物、該組成物を用いて形成した絶縁膜及び電子デバイス
EP2094738B1 (fr) * 2006-11-17 2013-02-27 University Of Massachusetts Lowell Research Foundation Polymères hydrocarbonés fonctionnels et leur procédé de fabrication
JP2008231259A (ja) * 2007-03-20 2008-10-02 Sumitomo Bakelite Co Ltd 有機絶縁材料
JP2009013116A (ja) 2007-07-05 2009-01-22 Daicel Chem Ind Ltd エチニルフェニルビアダマンタン誘導体

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0869516A1 (fr) * 1997-03-31 1998-10-07 Dow Corning Toray Silicone Company, Limited Composition et peocédé pour former des films minces électriquement isolants
WO2000031183A1 (fr) * 1998-11-24 2000-06-02 The Dow Chemical Company Composition contenant un precurseur de matrice reticulable et porogene et matrice poreuse prepares a partir de ladite composition
WO2001078110A2 (fr) * 2000-04-07 2001-10-18 Honeywell International Inc. Matieres dielectriques organiques a faible constante dielectrique basees sur des structures en forme de cage
JP2002003683A (ja) * 2000-06-26 2002-01-09 Hitachi Chem Co Ltd 低吸湿低複屈折樹脂組成物、これから得られる成形材、シート又はフィルムおよび光学用部品

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3483500B2 (ja) * 1999-05-28 2004-01-06 富士通株式会社 絶縁膜形成材料、絶縁膜形成方法及び半導体装置
JP2001192539A (ja) * 2000-01-13 2001-07-17 Jsr Corp 熱硬化性樹脂組成物、その硬化物およびその硬化物を含む回路基板
JP4651774B2 (ja) * 2000-04-11 2011-03-16 新日鐵化学株式会社 芳香族オリゴマー、それを配合したフェノール樹脂組成物並びにエポキシ樹脂組成物およびその硬化物
EP1197998A3 (fr) * 2000-10-10 2005-12-21 Shipley Company LLC Agent porogène antireflet
US20030006477A1 (en) * 2001-05-23 2003-01-09 Shipley Company, L.L.C. Porous materials
JP2003131001A (ja) * 2001-05-25 2003-05-08 Shipley Co Llc 多孔性光学物質
US7049005B2 (en) * 2001-05-30 2006-05-23 Honeywell International Inc. Organic compositions

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0869516A1 (fr) * 1997-03-31 1998-10-07 Dow Corning Toray Silicone Company, Limited Composition et peocédé pour former des films minces électriquement isolants
WO2000031183A1 (fr) * 1998-11-24 2000-06-02 The Dow Chemical Company Composition contenant un precurseur de matrice reticulable et porogene et matrice poreuse prepares a partir de ladite composition
WO2001078110A2 (fr) * 2000-04-07 2001-10-18 Honeywell International Inc. Matieres dielectriques organiques a faible constante dielectrique basees sur des structures en forme de cage
JP2002003683A (ja) * 2000-06-26 2002-01-09 Hitachi Chem Co Ltd 低吸湿低複屈折樹脂組成物、これから得られる成形材、シート又はフィルムおよび光学用部品

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
DATABASE WPI Section Ch, Week 200230 Derwent Publications Ltd., London, GB; Class A13, AN 2002-245992 XP002263344 & JP 2001 192539 A (JSR CORP) 17 July 2001 (2001-07-17) *
PATENT ABSTRACTS OF JAPAN vol. 2002, no. 05, 3 May 2002 (2002-05-03) & JP 2002 003683 A (HITACHI CHEM CO LTD), 9 January 2002 (2002-01-09) *

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7501185B2 (en) 2004-06-10 2009-03-10 Fujifilm Corporation Film-forming composition, insulating material-forming composition, insulating film and electronic device
KR101157471B1 (ko) * 2004-06-10 2012-06-20 후지필름 가부시키가이샤 막형성용 조성물, 절연재료 형성용 조성물, 절연막 및 전자디바이스
EP1605016A2 (fr) * 2004-06-10 2005-12-14 Fuji Photo Film Co., Ltd. Composition de formation de film, composition de formation des matériaux isolants, film isolant et dispositif electronique
EP1605016A3 (fr) * 2004-06-10 2006-10-04 Fuji Photo Film Co., Ltd. Composition de formation de film, composition de formation des matériaux isolants, film isolant et dispositif electronique
JP2006265513A (ja) * 2004-06-10 2006-10-05 Fuji Photo Film Co Ltd 膜形成用組成物、絶縁材料形成用組成物、絶縁膜及び電子デバイス
CN100552084C (zh) * 2004-10-13 2009-10-21 国际商业机器公司 形成介电膜的方法和介电膜
US8034890B2 (en) 2005-02-24 2011-10-11 Roskilde Semiconductor Llc Porous films and bodies with enhanced mechanical strength
JP2006245117A (ja) * 2005-03-01 2006-09-14 Fuji Photo Film Co Ltd 膜形成用組成物、それを用いた絶縁膜および電子デバイス
JP2006257212A (ja) * 2005-03-16 2006-09-28 Fuji Photo Film Co Ltd 膜形成用組成物、それを用いた絶縁膜および電子デバイス
US7790234B2 (en) 2006-05-31 2010-09-07 Michael Raymond Ayers Low dielectric constant materials prepared from soluble fullerene clusters
US7875315B2 (en) 2006-05-31 2011-01-25 Roskilde Semiconductor Llc Porous inorganic solids for use as low dielectric constant materials
US7883742B2 (en) 2006-05-31 2011-02-08 Roskilde Semiconductor Llc Porous materials derived from polymer composites
US7919188B2 (en) 2006-05-31 2011-04-05 Roskilde Semiconductor Llc Linked periodic networks of alternating carbon and inorganic clusters for use as low dielectric constant materials
GB2451865A (en) * 2007-08-15 2009-02-18 Univ Liverpool Microporous polymers from alkynyl monomers
US8809409B2 (en) 2007-08-15 2014-08-19 The University Of Liverpool Microporous polymers, methods for the preparation thereof, and uses thereof
US9309364B2 (en) 2007-08-15 2016-04-12 The University Of Liverpool Microporous polymers, methods for the preparation thereof, and uses thereof
WO2024044460A1 (fr) * 2022-08-26 2024-02-29 Applied Materials, Inc. Systèmes et procédés de dépôt de films diélectriques à faible κ

Also Published As

Publication number Publication date
AU2003210504A8 (en) 2003-07-30
JP2005516382A (ja) 2005-06-02
KR20040104454A (ko) 2004-12-10
CN1643669A (zh) 2005-07-20
EP1466356A2 (fr) 2004-10-13
AU2003210504A1 (en) 2003-07-30
WO2003060979A3 (fr) 2004-07-15

Similar Documents

Publication Publication Date Title
US7141188B2 (en) Organic compositions
US6740685B2 (en) Organic compositions
EP1578839A1 (fr) Compositions organiques
US20040084774A1 (en) Gas layer formation materials
EP1466356A2 (fr) Compositions organiques
US7060204B2 (en) Organic compositions
US6998178B2 (en) Organic compositions
US20040247896A1 (en) Organic compositions
US7049005B2 (en) Organic compositions
CA2443846A1 (fr) Compositions organiques
EP1463770B1 (fr) Composition organique
JP5239968B2 (ja) 樹脂組成物、樹脂膜及び半導体装置
US20050090596A1 (en) Organic composition
TW200406031A (en) Organic compositions
TW200408662A (en) Organic compositions
TW200424228A (en) Organic compositions

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2003729654

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2003560974

Country of ref document: JP

Ref document number: 1020047010994

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2003805938X

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2003729654

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 2003729654

Country of ref document: EP