WO2000059019A1 - Systeme de traitement au plasma - Google Patents

Systeme de traitement au plasma Download PDF

Info

Publication number
WO2000059019A1
WO2000059019A1 PCT/JP2000/002081 JP0002081W WO0059019A1 WO 2000059019 A1 WO2000059019 A1 WO 2000059019A1 JP 0002081 W JP0002081 W JP 0002081W WO 0059019 A1 WO0059019 A1 WO 0059019A1
Authority
WO
WIPO (PCT)
Prior art keywords
lower electrode
plasma processing
wall
processing apparatus
processing chamber
Prior art date
Application number
PCT/JP2000/002081
Other languages
English (en)
French (fr)
Inventor
Tomoki Suemasa
Kouichiro Inazawa
Tsuyoshi Ono
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Publication of WO2000059019A1 publication Critical patent/WO2000059019A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Definitions

  • the present invention relates to a plasma processing device.
  • FIG. 6 there has been proposed a plasma processing apparatus 10 in which an upper electrode 14 and a lower electrode 16 are arranged in an airtight processing chamber 12 so as to face each other.
  • a glow discharge occurs between the lower electrode 16 and the upper electrode 14, and the processing chamber 12
  • the processing gas introduced into the inside is turned into plasma, and the object W is subjected to plasma processing.
  • ground return current flows on the inner wall surface of the processing chamber 12 due to the skin effect, which is a phenomenon peculiar to high-frequency power, during plasma generation.
  • the strong ground return current flows from the inner wall surface a of the discharge space 18 of the processing chamber 12 to the knople plate disposed around the lower electrode 16. After passing through the inner wall b near the 22, it goes into the inner wall c of the exhaust space 20 below the buffer plate 22, and reaches the floor d. After that, it flows on the surface e of the bellows cover 26 forming the surface of the lifting mechanism 24 of the lower electrode 16, and further passes through the surface f of the lifting mechanism 24 to return to the matching box 28. It is flowing to do.
  • the plasma processing apparatus includes: an airtight processing chamber; a lower electrode having a mounting portion on which the object to be processed is mounted and lifted and lowered in the processing chamber; A power supply system for supplying a power; a lifting mechanism for vertically driving the lower electrode; and a conductive wall substantially surrounding the lifting mechanism at a short distance to form a conduction path reaching the floor of the processing chamber; And a conductive member that is provided around and electrically connects the inner wall of the processing chamber and the wall body.
  • the path of such a ground return current is shorter than the conventional path described with reference to Fig. 6, and the elevating mechanism and the wall face each other at a short distance and abnormal discharge occurs. Because the space between the surface of the lifting mechanism and the surface of the wall is a narrow space, high-frequency power of a high frequency (for example, 10 MHz or more) was used as the plasma source. Even in this case, abnormal discharge does not occur.
  • a through hole or a groove for allowing gas remaining in the space between the elevating mechanism and the wall to escape is formed in the wall.
  • an opening through which the object is loaded and unloaded is provided on the wall so as not to hinder the operation of loading and unloading the object.
  • the lifting mechanism is driven.
  • the cover that covers the part is formed in the lifting mechanism, the cover is at least a part through which the workpiece passes, for example, at least between the drive part and the opening part. It is preferred that they are located in Furthermore, if the conductive member is configured as a buffer plate that communicates between the processing chamber and the exhaust path, the configuration of the device can be simplified and the initial cost can be reduced.
  • FIG. 1 is a schematic sectional view showing an etching apparatus to which the present invention can be applied;
  • FIG. 2A and 2B are schematic perspective views showing a current conducting member of the etching apparatus shown in FIG. 1;
  • Figure 3 is a graph showing the change in plasma density with and without a current conducting member
  • FIG. 4A and 4B are schematic perspective views showing other cylindrical members that can be employed in the etching apparatus shown in FIG. 1;
  • FIG. 5 is a schematic perspective view showing another conductive member that can be employed in the etching apparatus shown in FIG. 1;
  • FIG. 6 is a schematic cross-sectional view for explaining a conduction path of a ground return current of a conventional plasma processing apparatus.
  • the processing chamber 102 of the etching apparatus 100 shown in FIG. 1 is formed in a conductive airtight processing container 104.
  • Processing vessel 104 is grounded.
  • the inner wall surface of the processing vessel 104 is thinly oxidized.
  • An object to be processed, for example, a semiconductor wafer (hereinafter, simply referred to as a “wafer”) W is provided in the processing chamber 102.
  • a conductive lower electrode 106 provided with a mounting surface on which is mounted.
  • An electrostatic chuck 105 for adsorbing and holding the wafer W is formed on the mounting surface of the lower electrode 106.
  • the lower electrode 106 is provided with an electrically insulating focusing ring 1 • 7 so as to surround the mounting surface described above.
  • the lower electrode 106 is supported by an elevating shaft 108 connected to a drive mechanism (not shown), and is configured to move vertically.
  • the elevating shaft 108 functions as a power supply rod for supplying high-frequency power to the lower electrode 106.
  • the lower electrode 106 receives a relatively high frequency output from the high-frequency power supply 112, for example, a high-frequency power of 27.12 MHz, and the matching box 114 and the elevating shaft (feed rod). ) Applied via 108 and.
  • the side and bottom of the lower electrode 106 are covered with an insulating member 116 made of, for example, ceramics.
  • a tubular member 120 that constitutes an elevating mechanism together with the elevating shaft 108 is arranged around the elevating shaft 108.
  • the tubular member 120 is made of a conductive material such as anodized aluminum, and is connected to the insulating member 116 and the matching box 114.
  • the tubular member 120 and the insulating member 116 constitute a conductive path for a ground return current, which will be described later.
  • a telescopic free-standing bellows 118 made of a conductive material such as stainless steel is arranged.
  • the bellows 118 are connected to the conductive member 119 covering the side and bottom of the insulating member 116, respectively, and the floor of the processing chamber 1 ⁇ 2, and are processed. Maintain airtightness in chamber 102
  • the bellows 118 is covered with, for example, anodized aluminum bellows 122.
  • the bellows cover 122 is supported by an insulating member 116 so that deposits such as reaction products generated during processing adhere to the bellows 118.
  • the bellows 118 and the bellows cover 122 also constitute a ground return current conduction path.
  • a conductive upper electrode 124 is provided on the ceiling of the processing chamber 102 facing the mounting surface of the lower electrode 106.
  • a large number of gas discharge holes 124a are formed in the upper electrode 124 so that a processing gas supplied from a gas supply source 126, for example, a fluorocarbon gas, can be opened and closed.
  • the gas is supplied into the processing chamber 102 through the valve 128, the flow regulating valve 130, and the gas discharge hole 124a.
  • the gas in the processing chamber 102 is exhausted by a vacuum pump 132 via an exhaust system provided at the bottom of the processing container 104.
  • a rotating magnet 134 for generating a magnetic field between the upper electrode 124 and the lower electrode 106 to uniformly generate plasma is disposed outside the processing vessel 104.
  • the wafer is loaded and unloaded on the lower side wall of the processing vessel 104 so as not to hinder the arrangement of the magnets 134 and to form irregularities that disturb the plasma in the discharge space 144 described below.
  • An opening 104a is provided.
  • the current conducting member 1336 disposed in the processing chamber 102 includes a baffle plate (conductive member) 1338. It is composed of a cylindrical member (wall) 140 and carbon, and forms a conductive path for a ground return current.
  • a discharge space 144 where plasma is generated by a current conductive member 136 and an exhaust space 144 where the above-described exhaust system is connected are provided in the processing room 102. It is divided into four and four.
  • FIG. 2A is a schematic perspective view showing the current conducting member 1336
  • FIG. 2B is a diagram showing the current conducting member 13
  • FIG. 4 is a schematic perspective view showing a state separated into 0 and.
  • Knuffle plate 1338 is made of, for example, a substantially annular member made of anodized aluminum, and is arranged so as to surround lower electrode 106, and is processed. It is electrically connected to the inner side wall of chamber 102. In addition, a plurality of through holes 1338a are formed in the buffer plate 1338 to allow the gas in the discharge space 144 to pass through the exhaust space 144. RU In addition, the inner diameter of the knife plate 1338 is set to a size that does not hinder the vertical movement of the lower electrode 106.
  • the cylindrical member 140 is made of, for example, a substantially cylindrical member made of anodized aluminum and has a lower electrode 106, a top cover 118, and a bellows cover 122. It is placed so as to surround the circumference of 2.
  • the upper part of the cylindrical member 140 is electrically connected to the inner edge of the knife plate 138, and the lower part of the cylindrical member 140 is electrically connected to the floor of the processing chamber 102. .
  • processing The side wall of the chamber 102, the knife plate 1338, the knife plate 1338, the cylindrical member 140, the cylindrical member 140, and the floor of the processing chamber 102 Are electrically conducted.
  • the cylindrical member 140 and the bellows 118 are connected to each other.
  • the distance is set so that abnormal discharge does not occur between them.
  • the distance can be determined from Passion's law. That is, according to Paschen's law, the smaller the product of the distance between the cylindrical member 140 and the bellows 1 18 and the pressure of the space between them, the smaller the product, The voltage required to cause abnormal discharge between the cylindrical member 140 and the bellows 118 increases. Therefore, if the distance between the cylindrical member 140 and the bellows 118 is made sufficiently small, for example, 1 mm or less, so that the voltage becomes high, a high frequency high frequency Abnormal discharge can be prevented even when power is supplied.
  • an opening 140 a for loading / unloading the wafer W is provided on the side wall of the cylindrical member 140.
  • the opening portion 140a is set to have a size that allows entry of the hopper, the W, and the transport mechanism 202, and is opposed to the opening portion 104a of the processing container 104. It is arranged with.
  • the lower electrode 106 is lowered to a predetermined mounting position by a drive mechanism (not shown).
  • the wafer W placed on the transfer mechanism 202 is transferred to the transfer chamber 200 by the transfer mechanism 202.
  • the force passes through the opening 104 a of the processing container 104, the exhaust space 144 in the processing container 104, and the opening 140 a of the cylindrical member 140. Then, it is made to penetrate into the cylindrical member 140 and is mounted on the mounting surface of the lower electrode 106.
  • wafer W is subjected to etching processing.
  • the wafer W on the lower electrode 106 is conveyed by the transport mechanism 202. It is unloaded into the transfer chamber 200.
  • Gas on the side wall of the cylindrical member 140 is discharged to the exhaust space 144 when the lower electrode 106 descends, and the gas remaining in the space between the bellows 118 and the cylindrical member 140 is discharged to the exhaust space 144.
  • a through hole 140b is formed.
  • the processing chamber 10 surrounding the discharge space 14 2 is formed by the green discharge generated between the lower electrode 10 6 and the upper electrode 12 4 as in the conventional case.
  • a ground return current flows through the inner wall surface A of 2.
  • the buffer plate 1338 is electrically connected to the inner side wall of the processing chamber 102. Therefore, the above-mentioned ground return current flows to the surface B of the processing chamber 102 on the side of the discharge space 1442 of the inner wall surface A of the processing chamber 102.
  • the ground return current does not enter the exhaust space 144 from the surface B of the kaffle plate 138 by the skin effect, and the ground return current can be reduced. After passing through the inner wall surface C of 40, it flows to the floor surface D of the processing chamber 102. With such a configuration, the ground return current does not enter the exhaust space 144, so that no electric field is generated in the exhaust space 144, and high-frequency high Even if frequency power is used, abnormal discharge does not occur in the exhaust space 144. As a result, the inner wall surface of the processing chamber 102 exposed in the exhaust space 144 is not consumed, and no loss of high-frequency energy is generated.
  • the buffer plate 1338 forming the discharge space 144 is electrically connected to the processing vessel 104 which is grounded.
  • the ground potential can be kept constant, and uniform plasma can be generated.
  • the above-mentioned ground return current is generated from the floor surface D of the processing chamber 102, the outer wall surface E of the bellows 118, and the bellows cover 122. Flow through the outer wall surface Ea, the insulating member 1 16 (F a), and the tubular member 1 20 (F), and return to the matching box 1 14. Since 40 is arranged close to the bellows 1.18, as can be seen from the following equation (1), the ground return current is reduced as in the conventional device shown in FIG.
  • the inductance can be made smaller than when the air flows through the exhaust space 20 and returns to the matching box 28, and the distance between the output side and the input side of the matching box 114 can be reduced. Potential difference can be reduced, 0
  • L ( ⁇ ⁇ ⁇ ⁇ / ⁇ ) ⁇ In (d / R)... (1)
  • L represents inductance
  • represents a constant.
  • represents the length of the path of the ground return current
  • d represents the bellows 118 R represents the distance from the cylindrical member 140 and R represents the thickness of the processing container 104.
  • the etching apparatus 100 shown in FIG. 1 is used, and in the comparative example, the conventional apparatus 10 shown in FIG.
  • the plasma density was measured.
  • Ar is supplied at a flow rate of 200 sccm into the discharge spaces 18 and 14 2, and the pressure in the spaces 18 and 14 2 is maintained at 40 mTorr.
  • high frequency powers of 13.56 MHz and 27.12 MHz were applied to the lower electrodes 16 and 106, respectively.
  • the use of the current conducting member 1336 is more effective than the case where it is not used.
  • the plasma density could be increased proportionally as the power was increased.
  • the occurrence of abnormal discharge is prevented, and high-frequency energy; hardly lost;
  • the etching apparatus 100 is particularly effective when high-frequency power having a frequency higher than 13.56 MHz capable of generating high-density plasma is employed.
  • the abnormal discharge in the processing chamber 102 can be prevented, so that the life of the plasma processing apparatus can be extended. Furthermore, high-density plasma can be generated because no transmission loss of high-frequency energy is generated. In addition, since the ground potential in the plasma generation space is kept constant, uniform plasma can be generated.
  • the present invention is not limited to such a configuration.
  • a person skilled in the art will be able to come up with various modified examples and modified examples. It is to be understood that these also belong to the technical scope of the present invention.
  • the cylindrical member 140 surrounding the entire bellows 118 is described as an example, but the present invention is not limited to such a configuration.
  • a cylindrical member 200 partially cut off as shown in FIG. 4A or a cylindrical member 300 partially cut out as shown in FIG. 4B may be used.
  • the present invention can be put into practice.
  • the configuration in which the inner wall of the processing chamber 102 and the cylindrical member 140 are connected by the knife plate 138 has been described as an example.
  • the configuration is not limited to this.
  • a configuration is adopted in which the inner wall of the processing chamber 102 and the cylindrical member 140 are connected by a conductive member 400.
  • the present invention can be implemented.
  • the configuration has been described by taking as an example a configuration in which the knople plate 1338 is formed in a substantially annular shape and the cylindrical member 140 is formed in a substantially cylindrical shape.
  • the configuration of the wall 140 and the conductive member 138 is not limited to the configuration described above, and the shape of the processing chamber 102, the arrangement of the lower electrode 106, and the elevating mechanism may be changed.
  • the present invention can be implemented even if it is appropriately changed according to the shape and the like.
  • the configuration in which the bellows cover 122 is attached to the insulating member 116 has been described as an example, but the present invention is not limited to this configuration.
  • the present invention can be implemented even when the bellows cover 122 is attached to the tubular member 120.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Drying Of Semiconductors (AREA)

Description

明 細 書
プラ ズマ処理装置
技術分野
本発明はプラ ズマ処理装置に関する。
技術背景
従来、 図 6 に示すよ う に、 気密な処理室 1 2 内に上部電極 1 4 と 下部電極 1 6 と を対向配置 したプラ ズマ処理装置 1 0 が提案 さ れている。 かかる装置では、 被処理体 Wが載置 され た下部電極 1 6 に高周波電力 を印加する と 、 下部電極 1 6 と 上部電極 1 4 と の間でグロ 一放電が生 じて、 処理室 1 2 内に 導入 された処理ガス がプラ ズマ化 し、 被処理体 Wにプラ ズマ 処理が施される。
こ こ でプラ ズマ生成時には、 高周波電力特有の現象である 表皮効果に よ り 、 処理室 1 2 の内壁面に、 いわゆる グラ ン ド リ タ ー ン電流が流れる こ と が知 られている。 そ して、 力ゝカ る グラ ン ド リ タ ーン電流は、 処理室 1 2 の放電空間 1 8 の内壁 面 a 力ゝ ら 、 下部電極 1 6 の周囲に配されたノ ッ フ ル板 2 2 付 近の内壁面 b を通過 して、 バ ッ フ ル板 2 2 下方の排気空間 2 0 の内壁面 c に回 り 込み、 床面 d に到達する。 その後、 下部 電極 1 6 の昇降機構 2 4 の表面を成すベ ロ ー ズカバー 2 6 の 表面 e を流れて、 さ ら に、 昇降機構 2 4 の表面 f を通っ て、 整合器 2 8 へと 回帰する よ う に流れている。
と こ ろで、 従来、 プラ ズマ生成用の高周波電力 と して 、 比 較的低い周波数、 例えば 3 8 0 k H z の も の を使用 していた が、 近年、 よ り 高密度のプラ ズマ を生成する ために、 よ り 高 い周波数、 例えば 1 3 . 5 6 M H z や、 2 7 . 1 2 M H z の 高周波電力 を使用す る技術が開発 されている。 そ して、 こ の よ う な高い周波数の高周波電力 を用いた場合には、 従来は問 題が生 じなかっ た空間、 例えば排気空間に異常放電が生 じ る こ と が観測 さ れてい る。 かかる異常放電現象を放置 した場合 には、 各部材が消耗 して装置の寿命が短 く な つた り 、 電力ェ ネルギ一が減少 しプラ ズマ密度が低下 した り して、 ブラ ズマ 処理に不利な影響を与える ため、 何ら かの対策が必要であ る 発明の開示
本発明の 目 的は、 比較的高い周波数を使用 した場合であ つ て も 、 処理室内 に異常放電が生 じないよ う な グラ ン ド リ タ一 ン電流の経路を確保する こ と ができ る新規かつ改良 されたプ ラズマ処理装置を提供する こ と にある。
前記 目 的は以下のプラ ズマ処理装置に よ っ て達成される。 すなわち、 こ のプラ ズマ処理装置は、 気密な処理室と ; 記処 理室内に昇降 自 在に設け られ、 被処理体が載置 さ れる載置部 を有する 下部電極 と ; 下部電極に高周波電力 を供給する電力 供給系 と ; 下部電極を昇降駆動する昇降機構 と ; 昇降機構を 近接距離で実質的に囲み、 処理室の床部に達する導通経路を 成す導電性の壁体 と ; 下部電極の周囲に設け られ、 処理室の 内壁 と壁体 と を電気的に接続する 導電性部材 と ; を備えた こ と を特徴とする。
かかる構成に よれば、 処理室の内壁面—導電性部材の表面 —壁体の表面—処理室の床面—昇降機構→電力供給系へ と 回 帰する グラ ン ド リ タ ー ン電流の経路を確保する こ と ができ る こ の よ う な グラ ン ド リ タ ー ン電流の経路は図 6 に関連 して説 明 した従来の経路よ り も短い上、 昇降機構 と 壁体 と が近接距 離で対向 して異常放電が生 じゃすい昇降機構の表面 と 壁体の 表面 と の間の空間が狭小空間 と な っている ため、 プラ ズマ源 と して高い周波数 (例えば 1 0 M H z 以上) の高周波電力 を 使用 した場合でも、 異常放電が生 じない。
ま た、 本発明のよ う に昇降機構を壁体で囲んだ場合、 昇降 機構の昇降動作時に、 処理室内 に気流変化や圧力変化が生 じ 昇降機構付近に滞在するパーテ ィ ク ルが巻き 上げ られる こ と が懸念 さ れる。 そのため、 本発明の一形態では、 昇降機構 と 壁体 と の間の空間に残存する ガス を逃がすための貫通孔また は溝が壁体に形成 されている。 これに よ り 、 処理室内の気流 変化や圧力変化が緩和 され、 プ ロ セ ス に対する影響を最小限 に抑える こ と ができ る。
ま た、 本発明の一形態では、 被処理体の搬入搬出動作の妨 げにな ら ないよ う に、 被処理体が搬入搬出 さ れる 開 口 部が壁 体に設け られている。 また、 昇降機構の駆動部への付着物の 付着を防ぎ且つ被処理体の搬入搬出時に昇降機構から剥がれ 落ちた付着物が被処理体に付着する こ と を防止する ために、 昇降機構の駆動部を覆 う カ バーが昇降機構に形成 されている こ の際、 カ バーは、 少な く と も被処理体が通過する部分、 例 えば、 少な く と も駆動部 と 開 口 部 と の間に配置 さ れている こ と が好ま しい。 さ ら に、 導電性部材を、 処理室内 と排気経路 と を連通する バ ッ フ ル板 と して構成すれば、 装置構成を簡略 化でき 、 イ ニ シャ ル コ ス ト を軽減でき る。 図面の簡単な説明
図 1 は、 本発明を適用可能なエ ッチン グ装置を示す概略的 な断面図 ;
図 2 Aお よび図 2 B は、 図 1 に示すエ ッ チン グ装置の電流 導通部材を示す概略的な斜視図 ;
図 3 は、 電流導通部材の有無に よ る プラ ズマ密度の変化を 示すグラ フ ;
図 4 Aお よび図 4 B は、 図 1 に示すエ ッ チン グ装置に採用 可能な他の円筒部材を示す概略的な斜視図 ;
図 5 は、 図 1 に示すエ ッ チン グ装置に採用可能な他の導電 性部材を示す概略的な斜視図 ;
図 6 は、 従来のプラ ズマ処理装置のグラ ン ド リ ターン電流 の導通経路を説明するための概略的な断面図である。
発明を実施するための最良の形態
以下、 図面を参照 しなが ら、 本発明 に係る プラ ズマ処理装 置をプラ ズマエ ッチ ン グ装置に適用 した好適な実施形態につ いて詳細に説明する。
( 1 ) エ ッチング装置の構成
まず、 図 1 お よび図 2 を参照 しなが ら、 本実施形態が適用 されるエ ッ チング装置 1 0 0 の基本的な構成について説明す る。 図 1 に示すエ ッ チング装置 1 0 0 の処理室 1 0 2 は、 導 電性の気密な処理容器 1 0 4 内 に形成 されている。 処理容器 1 0 4 は接地さ れて レ、る。 また、 処理容器 1 0 4 の内壁表面 は薄 く 酸化処理 されている。 処理室 1 0 2 内には、 被処理体 例えば半導体 ウ ェハ (以下、 単に 「 ウ ェハ」 と 称する。 ) W を載置する載置面を備えた導電性の下部電極 1 0 6 が配置 さ れている。 また、 下部電極 1 0 6 の載置面には、 ウ ェハ Wを 吸着保持する た めの静電チャ ッ ク 1 0 5 が形成 さ れてい る。 さ ら に、 下部電極 1 0 6 には、 上記載置面を囲 う よ う に電気 絶縁性の フ ォーカ ス リ ン グ 1 ◦ 7 が設け られてい る。 ま た、 下部電極 1 0 6 は、 不図示 の駆動機構に接続された昇降軸 1 0 8 に よ り 支持 されて、 上下動 自 在に構成 さ れている。 なお 昇降軸 1 0 8 は、 下部電極 1 0 6 へ高周波電力 を供給する給 電棒と して機能する。
下部電極 1 0 6 には、 高周波電源 1 1 2 カゝ ら出力 さ れる比 較的高い周波数、 例 えば 2 7 . 1 2 M H z の高周波電力が、 整合器 1 1 4 と 昇降軸 (給電棒) 1 0 8 と を介 して印加 され る。 また、 下部電極 1 0 6 の側部およ び底部は、 例えばセ ラ ミ ッ ク ス製の絶縁部材 1 1 6 で覆われている。 ま た、 昇降軸 1 0 8 の周囲には、 昇降軸 1 0 8 と と も に昇降機構を構成す る管状部材 1 2 0 が配置 さ れている。 管状部材 1 2 0 は、 陽 極酸化処理 されたア ル ミ 二 ゥ ム な どの導電性材料か ら成 り 、 絶縁部材 1 1 6 と 整合器 1 1 4 と に接続さ れてい る。 なお、 管状部材 1 2 0 と絶縁部材 1 1 6 は、 後述の グラ ン ド リ タ 一 ン電流の導通経路を構成 している。
昇降軸 1 0 8 の周囲には、 ス テ ン レ ス な どの導電性材料か ら成る伸縮 自 在なべ ロ ーズ 1 1 8 が配置 さ れている。 ベロ 一 ズ 1 1 8 は、 絶縁部材 1 1 6 の側部およ び底部を覆 う 導電部 材 1 1 9 と 処理室 1 ◦ 2 の床部 と に各 々 接続 されてお り 、 処 理室 1 0 2 内の気密性を維持する ベ ロ ーズ 1 1 8 は、 例えば陽極酸化処理 さ れたアル ミ ニ ゥ ム製のベ ロ 一ズカノく一 1 2 2 に よ り 覆われてい る。 ベロ 一ズ カバー 1 2 2 は、 絶縁部材 1 1 6 に よ り 支持 されてお り 、 処 理時に生 じた反応生成物な どの付着物がベ ロ 一ズ 1 1 8 に付 着する こ と を防止する。 したが っ て、 ベロ ーズ 1 1 8 の伸縮 時に、 ベ ロ ーズ 1 1 8 から付着物が剥がれ落ちて、 ウ ェハ W が汚染 さ れ る こ と が防止 さ れる。 なお、 ベ ロ 一ズ 1 1 8 と べ ロ ー ズ カ バ ー 1 2 2 も 、 グラ ン ド リ タ 一 ン電流の導通経路を 構成 している。
下部電極 1 0 6 の載置面 と 対向する処理室 1 0 2 の天井部 には、 導電性の上部電極 1 2 4 が設け られている。 上部電極 1 2 4 には多数のガス吐出孔 1 2 4 a が形成 されてお り 、 ガ ス供給源 1 2 6 カゝら供給さ れる処理ガス 、 例えばフルォ ロ カ 一ボン系ガスが、 開閉バルブ 1 2 8 、 流量調整バルブ 1 3 0 およ びガス 吐出孔 1 2 4 a を介 して処理室 1 0 2 内に供給さ れる。 ま た、 処理室 1 0 2 内のガス は、 処理容器 1 0 4 の底 部に設け られた排気系 を介 して、 真空ポンプ 1 3 2 に よ り 排 気される。
処理容器 1 0 4 の外部には、 上部電極 1 2 4 と 下部電極 1 0 6 と の間に磁界を生 じ させてプラ ズマ を均一に生成 さ せる ための回転磁石 1 3 4 が配置 さ れて レ、る。 ま た、 磁石 1 3 4 の配置を妨げず、 後述の放電空間 1 4 2 内 にプラ ズマを乱す 凹凸部を形成 しない よ う に、 処理容器 1 0 4 の下部側壁に ゥ ェハ搬入出用の開 口部 1 0 4 a が設け られている。
次に、 本実施形態の特徴であ る 電流導電部材 1 3 6 につい て詳細に説明する。
図 1 、 図 2 Aおよび図 2 B に示すよ う に、 処理室 1 0 2 内 に配置 さ れた電流導通部材 1 3 6 は、 バ ッ フ ル板 (導電性部 材) 1 3 8 と 、 円筒部材 (壁体) 1 4 0 と カゝ ら構成 さ れてお り 、 グラ ン ド リ ターン電流の導通経路を形成する。 ま た、 処 理室 1 0 2 内は、 電流導電部材 1 3 6 に よ り 、 プラ ズマが生 成 さ れる放電空間 1 4 2 と 、 上述 した排気系が接続さ れた排 気空間 1 4 4 と に区画 されて レ、 る。 なお、 図 2 Aは、 電流導 通部材 1 3 6 を示す概略的な斜視図であ り 、 図 2 B は、 電流 導通部材 1 3 6 をバ ッ フ ル板 1 3 8 と 円筒部材 1 4 0 と に分 離 した状態を示す概略的な斜視図である。
ノ ッ フ ル板 1 3 8 は、 例えば陽極酸化処理されたアル ミ 二 ゥ ム製の略環状部材か ら成 り 、 下部電極 1 0 6 の周囲を囲 う よ う に配置 さ れて、 処理室 1 0 2 の内部側壁に電気的に接続 されてレ、 る。 ま た、 バ ッ フ ノレ板 1 3 8 には、 放電空間 1 4 2 内のガス を排気空間 1 4 4 内に通過 さ せる ための複数の貫通 孔 1 3 8 a 力 S形成 さ れて レ、る。 ま た、 ノく ッ フ ル板 1 3 8 の内 径は、 下部電極 1 0 6 の上下動を妨げる こ と がない大き さ に 設定されている。
一方、 円筒部材 1 4 0 は、 例えば陽極酸化処理 されたアル ミ ニ ゥ ム製の略円筒部材か ら成 り 、 下部電極 1 0 6 と べ 口 一 ズ 1 1 8 と べロ ーズカバ一 1 2 2 の周囲を囲 う よ う に配置 さ れて レ、 る 。 円筒部材 1 4 0 の上部はノく ッ フ ル板 1 3 8 の内縁 部に、 ま た、 円筒部材 1 4 0 の下部は処理室 1 0 2 の床部に それぞれ電気的に接続されている。 かかる構成に よ り 、 処理 室 1 0 2 の側壁 と ノく ッ フ ノレ板 1 3 8 と 、 ノく ッ フ ノレ板 1 3 8 と 円筒部材 1 4 0 と 、 円筒部材 1 4 0 と 処理室 1 0 2 の床部 と が電気的に導通する。 また、 円筒部材 1 4 0 の内径は、 バ ッ フル板 1 3 8 の内径と略同一に設定されている =
円筒部材 1 4 0 の内壁面 と ベロ ーズ 1 1 8 と の間は、 後述 の グラ ン ド リ タ ーン電流が流れた際に、 円筒部材 1 4 0 と べ ロ ーズ 1 1 8 と の間で異常放電が生 じない程度の距離に設定 されている。 当該距離はパ ッ シ ェ ンの法則か ら求める こ と が でき る。 すなわち、 パ ッ シ ェ ン の法則に よれば、 円筒部材 1 4 0 と べロ ーズ 1 1 8 と の間の距離と 、 その間の空間の圧力 と の積が小 さ く な る ほ ど、 円筒部材 1 4 0 と べロ ーズ 1 1 8 と の間で異常放電が発生する た めに必要な電圧が高 く な る。 従っ て、 該電圧が高 く なる よ う に、 円筒部材 1 4 0 と べロ ー ズ 1 1 8 と の間の距離を十分に小 さ く 、 例えば 1 m m以下に すれば、 高周波数の高周波電力 を流 した場合でも異常放電の 発生を防止でき る。
ま た、 円筒部材 1 4 0 の側壁には、 ウ ェハ W搬入出用 の開 口 部 1 4 0 a 力;設け られてレ、る。 開 口 部 1 4 0 a は、 ウ エ ノ、 Wお よび搬送機構 2 0 2 が侵入可能な大き さ に設定さ れてお り 、 処理容器 1 0 4 の開 口 部 1 0 4 a と 対向 して配置 さ れて いる。
次に、 ウ ェハ Wの搬入出工程について説明する。
まず、 不図示の駆動機構に よ り 、 下部電極 1 0 6 を所定の 載置位置ま で降下 させる。 次いで、 搬送機構 2 0 2 に よ り 、 搬送機構 2 0 2 上に載置 さ れた ウ ェハ Wを、 搬送室 2 0 0 内 力 ら、 処理容器 1 0 4 の開 口 部 1 0 4 a と 、 処理容器 1 0 4 内の排気空間 1 4 4 と 、 円筒部材 1 4 0 の開 口 部 1 4 0 a と を介 して、 円筒部材 1 4 0 内に侵入 させて、 下部電極 1 0 6 の載置面に載置する。 その後、 下部電極 1 0 6 を所定の処理 位置まで上昇 させた後、 ウ ェハ Wにエ ッチン グ処理を施す。 また、 処理後は、 上記 と 逆に、 下部電極 1 0 6 を上記載置位 置ま で降下 させた後、 下部電極 1 0 6 上の ウ ェハ Wを搬送機 構 2 0 2 に よ り 搬送室 2 0 0 内に搬出する。
円筒部材 1 4 0 の側壁には、 下部電極 1 0 6 の降下時にベ ロ ーズ 1 1 8 と 円筒部材 1 4 0 と の間の空間に滞在する ガス を排気空間 1 4 4 に放出 させる ための貫通孔 1 4 0 b が形成 されている。 かかる構成に よ り 、 下部電極 1 0 6 が降下する 際に、 上記空間内の圧力が高 く なって上記ガ ス の噴出 と と も にパーテ ィ ク ルが放電空間 1 4 2 内に巻き 上げ られて ウ ェハ Wや放電空間 1 4 2 内が汚染さ れる と いっ た事態を防止する こ と ができ る。 なお、 貫通孔 1 4 0 b に代えて、 溝を形成 し て も、 上記と 同様の効果を奏する こ と ができ る。
( 2 ) グラ ン ド リ ターン電流の伝達構成
次に、 図 1 を参照 しなが ら、 エ ッチング処理時のグラ ン ド リ タ ーン電流の伝達構成について説明する。 エ ッ チン グ処理 時には、 従来 と 同様に、 下部電極 1 0 6 と 上部電極 1 2 4 と の間に生 じ る グ ロ一放電に よ り 、 放電空間 1 4 2 を囲 う 処理 室 1 0 2 の内壁面表面 Aに グラ ン ド リ タ ー ン電流が流れる。 その後、 本実施形態に係る エ ッ チン グ装置 1 0 0 では、 処理 室 1 0 2 の内部側壁にバ ッ フ ル板 1 3 8 が電気的に接続 され てい る の で、 上記グラ ン ド リ タ ーン電流は、 処理室 1 0 2 内 壁面表面 Aカゝら ノく ッ フ ル板 1 3 8 の放電空間 1 4 2 側の表面 B に流れる。 さ ら に、 グラ ン ド リ ター ン電流は、 ノく ッ フル板 1 3 8 の表面 B か ら 、 表皮効果に よ り 排気空間 1 4 4 内 に侵 入する こ と な く 、 円筒部材 1 4 0 の内壁面表面 C を通過 して 処理室 1 0 2 の床面表面 D に流れる。 かかる構成によ り 、 グ ラ ン ド リ タ ー ン電流が排気空間 1 4 4 内に入 り 込ま ないので 排気空間 1 4 4 内に電界が生 じ る こ と がな く 、 高周波数の高 周波電力 を使用 して も 、 排気空間 1 4 4 内で異常放電が発生 する こ と がない。 その結果、 排気空間 1 4 4 内に露出する処 理室 1 0 2 の内壁面が消耗せず、 高周波エネルギーの ロ ス も 生 じ る こ と がない。 さ ら に、 放電空間 1 4 2 を形成する バ ッ フ ル板 1 3 8 は接地さ れた処理容器 1 0 4 に電気的に接続さ れてレ、る ので、 放電空間 1 4 2 内のグラ ン ド電位を一定にす る こ と ができ 、 均一なプラ ズマ を生成する こ と ができ る。 そ して、 上記グラ ン ド リ タ ーン電流は、 処理室 1 0 2 の床面表 面 D 力ゝら 、 ベロ ーズ 1 1 8 の外壁面表面 E と 、 ベロ 一ズカバ 一 1 2 2 の外壁面表面 E a と 、 絶縁部材 1 1 6 ( F a ) と 、 管状部材 1 2 0 ( F ) と を流れて、 整合器 1 1 4 に回帰する かかる構成を採用すれば、 円筒部材 1 4 0 がべロ ーズ 1. 1 8 に近接 して配置 されている ので、 以下の式 ( 1 ) から分かる よ う に、 図 6 に示す従来の装置の如 く グラ ン ド リ ター ン電流 が排気空間 2 0 内 を通過 して整合器 2 8 に回帰する場合 よ り も、 イ ンダク タ ンス を小さ く する こ と ができ 、 整合器 1 1 4 の出力側 と 入力側 と の間での電位差も小 さ く でき るの で、 異 0
11 常放電の発生を さ ら に防止する こ と ができ る。
L = ( μ ο ίι / π ) ι In (d/R) . . . ( 1 ) なお、 式 ( 1 ) において、 L はイ ン ダク タ ンス を表わ し、 ο は定数を表わ し、 は処理容器 1 0 4 内のガス の比透磁 率を表わ し、 ί はグラ ン ド リ タ ー ン電流の経路の長 さ を表わ し、 d はべ ロ ーズ 1 1 8 と 円筒部材 1 4 0 と の距離を表わ し R は処理容器 1 0 4 の厚みを表わす。
( 3 ) 実施例および比較例
次に、 図 3 を参照 しなが ら 、 上記実施形態の実施例お よび その比較例について説明する。 実施例では、 図 1 に示すエ ツ チ ン グ装置 1 0 0 を用いて、 ま た比較例では、 図 6 に示す従 来の装置 1 0 を用いて、 放電空間 1 8 , 1 4 2 内のプラ ズマ 密度を測定 した。 ま た、 放電空間 1 8 , 1 4 2 内には A r を 2 0 0 s c c mの流量で供給する と と も に、 該空間 1 8 , 1 4 2 内を 4 0 m T o r r の圧力 に維持 して、 下部電極 1 6 , 1 0 6 に 1 3 . 5 6 M H z と 2 7 . 1 2 M H z の高周波電力 をそれぞれ印加 した。
その結果、 図 3 に示すよ う に、 2 7 . 1 2 M H z の高周波 電力 を使用 した場合には、 電流導通部材 1 3 6 を採用 した方 が、 採用 しなかっ た場合よ り も 、 プラ ズマ密度が高 く な つた さ ら に、 電流導通部材 1 3 6 を採用 した場合には、 電力 を大 き く する につれて比例的にプラ ズマ密度を高める こ と ができ た。 かかる結果よ り 、 異常放電の発生が防止 されて、 高周波 エネ ルギー力; ロ ス し難いこ と 力; わ力 る 。 一方、 1 3 . 5 6 M H z の高周波電力 を使用 した場合には、 電流導通部材 1 3 6 の有無に よ る プラ ズマ密度の大き な差はなかっ た。 従っ て、 エ ッ チン グ装置 1 0 0 は、 特に高密度プラ ズマを生成可能な 1 3 . 5 6 M H z よ り も高い周波数の高周波電力 を採用する 場合に有効である こ と がわかる。
以上説明 した よ う に、 本実施形態に よれば、 処理室 1 0 2 内の異常放電を防止でき る ので、 プラ ズマ処理装置の寿命を 延長でき る。 さ ら に、 高周波エネルギーの伝達ロ ス が生 じな いの で、 高密度プラ ズマを生成する こ と ができ る。 さ ら に、 プラ ズマ生成空間内の グラ ン ド電位を一定に保て る の で、 均 一なプラ ズマを生成する こ と ができ る。
なお、 本発明はかかる構成に限定さ れる も のではない。 特 許請求の範囲に記載さ れた技術的思想の範疇において、 当業 者であれば、 各種の変更例お よび修正例に想到 し得る も ので あ り 、 それ ら変更例お よび修正例について も本発明の技術的 範囲に属する も の と 了解さ れる。 例えば、 上記実施形態では ベ ロ 一ズ 1 1 8 を全周 にわたっ て囲 う 円筒部材 1 4 0 が例に 挙げて説明 されてい る が、 本発明はかかる構成に限定 さ れる ものではな く 、 例えば図 4 A に示すよ う に一部が切断 さ れた 円筒部材 2 0 0 や、 図 4 B に示す よ う に一部が切 り 欠かれた 円筒部材 3 0 0 を採用 して も 、 本発明 を実施する こ と ができ る。 また、 上記実施形態では、 処理室 1 0 2 の内壁と 円筒部 材 1 4 0 と がノく ッ フ ル板 1 3 8 で接続された構成を例に挙げ て説明 したが、 本発明はかかる構成に限定 さ れる も のではな く 、 例えば図 5 に示すよ う に、 処理室 1 0 2 の内壁 と 円筒部 材 1 4 0 と を導電性部材 4 0 0 で接続する構成を採用 して も 本発明 を実施する こ と ができ る。 さ ら に、 上記実施形態では ノ ッ フ ル板 1 3 8 を略環状に形成 し、 円筒部材 1 4 0 を略円 筒形に形成する構成を例に挙げて説明 したが、 本発明はかか る構成に限定さ れる も の ではな く 、 壁体 1 4 0 や導電性部材 1 3 8 の形状を、 処理室 1 0 2 内の形状や下部電極 1 0 6 お よび昇降機構の配置や形状な どに応 じて適宜変更 して も 、 本 発明 を実施する こ と ができ る。 ま た、 上記実施形態では、 ベ ロ ーズカ バ一 1 2 2 を絶縁部材 1 1 6 に取 り 付け る構成を例 に挙げて説明 したが、 本発明はかかる構成に限定され る もの ではな く 、 例えばべロ ーズカ バー 1 2 2 を管状部材 1 2 0 に 取 り 付けて も、 本発明を実施する こ と ができ る。

Claims

請 求 の 範 囲
1 . 気密な処理室と ;
前記処理室内 に昇降 自 在に設け られ、 被処理体が載置 され る載置部を有する下部電極と ;
前記下部電極に高周波電力を供給する電力供給系 と ; 前記下部電極を昇降駆動する昇降機構 と ;
前記昇降機構を近接距離で実質的に囲み、 前記処理室の床 部に達する導通経路を成す導電性の壁体と ;
前記下部電極の周囲に設け られ、 前記処理室の内壁 と 前記 壁体と を電気的に接続する導電性部材と ;
を備えた こ と を特徴とするプラ ズマ処理装置。
2 . 前記壁体には、 昇降機構 と壁体 と の間の空間に残存す る ガス を逃がすための貫通孔ま たは溝が形成 されている こ と を特徴とする請求項 1 に記載のプラ ズマ処理装置。
3 . 前記壁体には、 前記被処理体が搬入搬出 される 開 口 部 が形成 さ れてい る こ と を特徴と する請求項 1 に記載のプラ ズ マ処理装置。
4 . 前記昇降機構は、 前記下部電極を前記開 口 部と 対向す る位置ま で下降 させる こ と を特徴 とする請求項 3 に記載のプ ラ ズマ処理装置。
5 . 前記昇降機構には、 前記昇降機構の駆動部を覆 う カ バ 一が形成 さ れている こ と を特徴 と する請求項 3 に記載のブラ ズマ処理装置。
6 . 前記カバ一は、 被処理体が通過する少な く と も前記駆 動部 と 前記開 口 部 と の間に配置 さ れて いる こ と を特徴 と する 請求項 5 に記載のプラ ズマ処理装置。
7 . 前記導電性部材は、 前記処理室内を排気系が接続 され る排気空間 と プラ ズマが生成さ れる放電空間 と に区画する こ と を特徴とする請求項 1 に記載のプラ ズマ処理装置。
8 . 前記導電性部材は、 複数の貫通孔を有 し、 これ ら の貫 通孔を通 じて放電空間 と排気空間 と を連通 させる バ ッ フ ル板 と して機能する こ と を特徴 と する請求項 7 に記載のプラ ズマ 処理装置。
9 . 前記電力供給系か ら供給 さ れる 高周波電力の周波数は 1 O M H z 以上であ る こ と を特徴 と する請求項 1 に記載のプ ラ ズマ処理装置。
1 0 . 前記処理室が接地 されている こ と を特徴 と する請求 項 1 に記載のプラ ズマ処理装置。
PCT/JP2000/002081 1999-03-31 2000-03-31 Systeme de traitement au plasma WO2000059019A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP11091566A JP2000286242A (ja) 1999-03-31 1999-03-31 プラズマ処理装置
JP11/91566 1999-03-31

Publications (1)

Publication Number Publication Date
WO2000059019A1 true WO2000059019A1 (fr) 2000-10-05

Family

ID=14030080

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2000/002081 WO2000059019A1 (fr) 1999-03-31 2000-03-31 Systeme de traitement au plasma

Country Status (3)

Country Link
JP (1) JP2000286242A (ja)
KR (1) KR100628616B1 (ja)
WO (1) WO2000059019A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111326387A (zh) * 2018-12-17 2020-06-23 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
KR100666764B1 (ko) * 2001-10-16 2007-01-09 동경 엘렉트론 주식회사 피처리체 승강기구 및 이를 사용한 처리장치
KR100819701B1 (ko) * 2001-11-01 2008-04-04 엘지.필립스 엘시디 주식회사 식각 장비의 로딩/언로딩 방법
US6887340B2 (en) * 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
KR20030090305A (ko) * 2002-05-22 2003-11-28 동경엘렉트론코리아(주) 플라즈마 발생장치의 가스 배기용 배플 플레이트
US20050022736A1 (en) * 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
US7968469B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP2010287639A (ja) * 2009-06-10 2010-12-24 Hitachi High-Technologies Corp プラズマ処理装置
US8485128B2 (en) * 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
TWI638587B (zh) * 2011-10-05 2018-10-11 美商應用材料股份有限公司 對稱電漿處理腔室
JP5640135B2 (ja) * 2013-10-22 2014-12-10 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN111326389B (zh) * 2018-12-17 2023-06-16 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
JP7199246B2 (ja) * 2019-02-19 2023-01-05 東京エレクトロン株式会社 基板処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05226296A (ja) * 1992-02-10 1993-09-03 Fujitsu Ltd スパッタエッチング装置の異常放電監視方法
JPH06333879A (ja) * 1993-05-24 1994-12-02 Tokyo Electron Ltd プラズマ処理装置
JPH1032171A (ja) * 1996-05-16 1998-02-03 Sharp Corp 電子デバイス製造装置及び電子デバイス製造方法
JPH10237675A (ja) * 1996-12-17 1998-09-08 Shinko Pantec Co Ltd 焼成炉
EP0887836A2 (en) * 1997-06-26 1998-12-30 Sharp Kabushiki Kaisha Electronic device fabrication apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05226296A (ja) * 1992-02-10 1993-09-03 Fujitsu Ltd スパッタエッチング装置の異常放電監視方法
JPH06333879A (ja) * 1993-05-24 1994-12-02 Tokyo Electron Ltd プラズマ処理装置
JPH1032171A (ja) * 1996-05-16 1998-02-03 Sharp Corp 電子デバイス製造装置及び電子デバイス製造方法
JPH10237675A (ja) * 1996-12-17 1998-09-08 Shinko Pantec Co Ltd 焼成炉
EP0887836A2 (en) * 1997-06-26 1998-12-30 Sharp Kabushiki Kaisha Electronic device fabrication apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111326387A (zh) * 2018-12-17 2020-06-23 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
CN111326387B (zh) * 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备

Also Published As

Publication number Publication date
KR100628616B1 (ko) 2006-09-26
JP2000286242A (ja) 2000-10-13
KR20010112374A (ko) 2001-12-20

Similar Documents

Publication Publication Date Title
WO2000059019A1 (fr) Systeme de traitement au plasma
US8986495B2 (en) Plasma processing apparatus
US8852386B2 (en) Plasma processing apparatus
TWI517281B (zh) 電漿處理裝置
US20100163186A1 (en) Plasma Processing Apparatus
JP2001077088A (ja) プラズマ処理装置
JP4777790B2 (ja) プラズマ処理室用構造物、プラズマ処理室、及びプラズマ処理装置
JP2022036923A (ja) 基板処理装置
JP2003338492A (ja) プラズマ処理装置
JPH10321605A (ja) プラズマ処理装置
JP2011181712A (ja) プラズマ処理装置及びシャワーヘッド
JP2008211099A (ja) プラズマ処理装置及びそのクリーニング方法
JP2019016704A (ja) 静電チャックの製造方法及び静電チャック
JP2022100339A (ja) 基板処理装置及び基板処理方法
JP2010034392A (ja) 弁体、粒子進入阻止機構、排気制御装置及び基板処理装置
JP4137419B2 (ja) プラズマ処理装置
US8715782B2 (en) Surface processing method
JP2008235611A (ja) プラズマ処理装置及びプラズマ処理方法
TWI463924B (zh) 電漿處理裝置及電漿處理方法
JP2007214211A (ja) プラズマ処理装置
KR20160148093A (ko) 정전 척 및 이를 포함하는 기판 처리 장치
JP2008042023A (ja) 基板処理装置
JP2005317782A (ja) 基板洗浄装置及び基板洗浄方法
JPH0964021A (ja) プラズマ処理方法
JP2016058536A (ja) プラズマ処理装置及びクリーニング方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): KR US

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020017012346

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020017012346

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1020017012346

Country of ref document: KR