USRE47909E1 - Wafer transfer apparatus and substrate transfer apparatus - Google Patents

Wafer transfer apparatus and substrate transfer apparatus Download PDF

Info

Publication number
USRE47909E1
USRE47909E1 US15/976,405 US201815976405A USRE47909E US RE47909 E1 USRE47909 E1 US RE47909E1 US 201815976405 A US201815976405 A US 201815976405A US RE47909 E USRE47909 E US RE47909E
Authority
US
United States
Prior art keywords
pivot axis
axis
interface space
link member
length
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US15/976,405
Inventor
Yasuhiko Hashimoto
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kawasaki Motors Ltd
Original Assignee
Kawasaki Jukogyo KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=38515493&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=USRE47909(E1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Kawasaki Jukogyo KK filed Critical Kawasaki Jukogyo KK
Priority to US15/976,405 priority Critical patent/USRE47909E1/en
Priority to US16/178,125 priority patent/USRE48031E1/en
Application granted granted Critical
Publication of USRE47909E1 publication Critical patent/USRE47909E1/en
Priority to US16/869,962 priority patent/USRE48792E1/en
Priority to US17/492,300 priority patent/USRE49671E1/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41815Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Definitions

  • the present invention relates to a wafer transfer apparatus for use in semiconductor processing equipment.
  • the present invention also relates to a substrate transfer apparatus for transferring a substrate in an interface space, which is maintained in a predetermined atmosphere, of a substrate processing equipment.
  • FIG. 13 is a section showing a semiconductor processing equipment 1 of the related art, which is partly cut away.
  • the semiconductor processing equipment 1 is configured to include a wafer processing apparatus 2 and a wafer transfer apparatus 3 .
  • the wafer transfer apparatus is an equipment front end module (EFEM). Spaces 9 , 10 in the semiconductor processing equipment 1 are filled with a predetermined atmospheric gas, respectively.
  • the wafer processing apparatus 2 includes a processing space 10 which is filled with a predetermined atmospheric gas.
  • the wafer transfer apparatus 3 includes an interface space 9 which is filled with a predetermined atmospheric gas.
  • the wafer transfer apparatus 3 includes an interface space forming portion 11 , FOUP openers 6 , and a wafer carrying robot 7 .
  • a box 11 defines the interface space 9 .
  • the interface space 9 is maintained in a cleaned state due to a dust collecting apparatus, such as a fan filter unit, which is fixed to the box 11 (i.e., interface space forming portion).
  • Each FOUP opener 6 is adapted to open and close doors respectively provided in the FOUP 5 and the interface space forming portion 11 .
  • Each FOUP opener 6 can switch a state in which an internal space of each FOUP 5 and the interface space 9 are in communication with each other and a state in which they are closed to each other, by opening and closing each door.
  • a wafer carrying robot 7 is contained in the interface space 9 and is adapted to carry each wafer 4 between each FOUP 5 and the wafer processing apparatus 2 .
  • the wafer carrying robot 7 takes out each unprocessed wafer 4 from each FOUP 5 in a state wherein the FOUP 5 is held by the wafer transfer apparatus 3 and penetration of the outside air into the interface space 9 is prevented. Then, the robot 7 carries the unprocessed wafer 4 taken from the FOUP 5 , passes through the interface space 9 , and positions the wafer 4 in the processing space 10 of the wafer processing apparatus 2 . In addition, the wafer carrying robot 7 takes out each processed wafer 4 from the processing space 10 of the wafer processing apparatus 2 . Thereafter, the wafer carrying robot 7 carries the processed wafer 4 taken out from the processing space 10 , passes through the interface space 9 , and places the wafer 4 again in the internal space of the FOUP 5 .
  • FIG. 14 is a plan view of a semiconductor processing equipment 1 A of a first related art, which is partly cut away.
  • a robot arm 14 of the wafer carrying robot 7 of the first related art includes a first link member 15 a which is connected with a base 18 and can be pivoted about a pivot axis A 0 set at the base 18 , a second link member 15 b which is connected with the first link member 15 a and can be angularly displaced about a first joint axis A 1 set at the first link member 15 a, and a third link member 15 c which is connected with the second link member 15 b and can be angularly displaced about a second joint axis A 2 set at the second link member 15 b.
  • the third link member 15 c has a robot hand 12 provided at its distal end.
  • the wafer carrying robot 7 is set such that a minimum rotation region 17 , which is required for the robot 7 to perform one rotation about the base 18 in a state wherein each link member 15 a to 15 c is angularly displaced relative to one another to make the smallest form of the robot 7 , can be contained in the interface space 9 .
  • a minimum rotation radius R of the robot is set smaller than a half (1 ⁇ 2) of a length B ( FIG. 15 ) in forward and backward directions of the interface space 9 .
  • a distance L 11 between the pivot axis A 0 and the first joint axis A 1 and a distance L 12 between the first joint axis A 1 and the second joint axis A 2 are set to be the same.
  • the wafer transfer apparatus 3 In order to enable the wafer transfer apparatus 3 to perform attaching and detaching operations of each FOUP 5 relative to the wafer transfer apparatus 3 and a transferring operation of each wafer 4 to and from each FOUP 5 held by the wafer transfer apparatus 3 , at the same time, there is a case where three or four FOUP openers 6 are provided in the system.
  • the wafer carrying robot 7 of the first related art as described above can not reach, in some cases, the FOUP 5 that is farthest from the base 15 , by using its hand 12 .
  • the robot arm 14 may interfere with the interface space forming portion 11 and may be advanced into a robot invasion restricted region.
  • FIG. 15 is a plan view showing a semiconductor processing equipment 1 B of a second related art, which is partly cut away.
  • the wafer carrying robot 7 in order to make it possible to transfer wafers 4 of all of the FOUPs 5 , the wafer carrying robot 7 includes a robot main body 13 having a robot arm 14 and a running means 12 which is adapted to drive the robot main body 13 to run in directions Y parallel to the row of the FOUPs 5 .
  • the running means 12 for driving the robot main body 13 to run is located in the interface space 9 .
  • the running means 12 can be achieved by employing a direct acting mechanism. It is difficult, however, to seal the direct acting mechanism against dust to be generated in a driving portion, as compared with the case of a rotation driving mechanism. Therefore, due to dust to be generated by the running means, cleanliness in the interface space 9 may tend to be degraded.
  • the running means 12 should also be of a large size in order to support the robot main body 13 , thus making it difficult to downsize the robot 7 and reduce the weight thereof. Because the running means 12 is of a large size, it is difficult to exchange the running means 12 in the case of occurrence of malfunctioning in the running means 12 . In addition, the provision of such a running means 12 leads to further increase of the production cost.
  • Increase of the number of the link members of the robot arm 14 in order to enlarge the movable region of the wafer carrying robot 7 can make the running means 12 as disclosed in the second related art be unnecessary.
  • the robot structure should be complicated so much.
  • the increase of the link members increases in turn redundancy of the robot, as such control of the robot arm 14 may tend to be difficult.
  • a teaching operation for teaching transformed states of the robot arm may be further complicated.
  • Such problems may occur in other apparatuses than the wafer transfer apparatus. Specifically, in the case of substrate transfer apparatuses each provided with a substrate carrying robot for carrying each substrate in the interface space which is maintained in a predetermined atmosphere, the same problems as those describe above may occur.
  • Another object of the present invention is to provide a substrate transfer apparatus having a substrate transfer robot which can suppress scattering of dust and prevent occurrence of interference in the interior of the substrate transfer apparatus, and has a simple structure and can be readily controlled.
  • the present invention is a wafer transfer apparatus for transferring a semiconductor wafer which is carried while being contained in a substrate container, relative to a wafer processing apparatus for semiconductor processing, comprising: an interface space forming portion defining an interface space which is to be filled with a preconditioned atmospheric gas, the interface space forming portion having a front wall and a rear wall which are arranged at a predetermined interval in forward and backward directions, the front wall having a front opening formed therein, and the rear wall having a rear opening formed therein; a FOUP opener configured to open and close the substrate container located adjacent to the interface space and the front opening of the interface space forming portion; and a wafer carrying robot located in the interface space and configured to carry the semiconductor wafer between the front opening and the rear opening.
  • the wafer carrying robot includes: a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set; a robot arm having a proximal end and a distal end, the robot arm including a plurality of link members connected with one another in succession in a direction from the proximal end to the distal end, the proximal end being connected with the base, the distal end being provided with a robot hand for holding the wafer, the robot arm being configured to be angularly displaced about the pivot axis; and a drive unit configured to drive each of the link members of the robot arm so that the link members are angularly displaced, individually, about each corresponding axis.
  • a minimum rotation radius R as the distance defined from the pivot axis to the arm portion which is the farthest in the radial direction relative to the pivot axis, is set to exceed 1 ⁇ 2 of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B ⁇ L 0 ) to be obtained by subtracting a distance L 0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2 ⁇ R ⁇ B ⁇ L 0 ).
  • the substrate container is located while being adjacent to the front opening of the interface space forming portion.
  • the FOUP opener opens the substrate container together with the front opening so as to make the internal space of the substrate container and the interface space be in communication with each other.
  • the wafer carrying robot takes out an unprocessed wafer from the substrate container, carries the unprocessed wafer into the interface space from the front opening, passes through the interface space, and carries the wafer into the wafer processing apparatus through the rear opening.
  • the wafer carrying robot takes out a processed wafer which has been processed in the wafer processing apparatus, carries it into the interface space from the rear opening, passes through the interface space, and carries the wafer into the substrate container through the front opening.
  • the atmospheric gas is controlled.
  • the minimum rotation radius R of the robot arm can be increased, as compared to the first and second related arts described above, by setting the minimum rotation radius R of the robot arm at a value greater than 1 ⁇ 2 of the length B in the forward and backward directions of the interface space.
  • the minimum rotation radius R of the robot arm set to be equal to or less than the subtracted value (B ⁇ L 0 ) a gap can be securely provided between the robot arm in its minimum transformed state and the front wall, thus preventing interference of the robot arm with the front wall.
  • a robot hand which is a distal end of the robot arm can be located on both sides in the left and right directions, orthogonally to both of the forward and backward directions and the pivot axial direction extending along the pivot axis, with respect to a reference line defined to include the pivot axis and extend in the forward and backward directions.
  • the length B in the forward and backward directions of the interface space is significantly small, the length of each link member of the robot arm can be increased, while preventing the interference of the robot arm with the front wall, so as to enlarge the operational range of the robot arm.
  • the operational range of the robot arm can be enlarged with respect to the left and right directions orthogonal to both the forward and backward directions and the pivot axial direction.
  • the distance L 0 in the forward and backward directions from the rear wall to the pivot axis A 0 is set to be less than 1 ⁇ 5 of the length B in the forward and backward directions of the interface space (i.e., L 0 ⁇ B/5).
  • the operational range of the robot arm can be increased with respect to the left and right directions.
  • a running means for driving the robot to run in the left and right directions, and a direct acting mechanism can be eliminated. Accordingly, dust to be generated by such a direct acting mechanism can be avoided, as such degradation of the cleanliness in the interface space can be prevented.
  • the elimination of the running means leads to downsizing and weight reduction of the robot.
  • a wafer transfer apparatus including a wafer carrying robot, which can achieve more simplified structure and control, can be provided.
  • the minimum rotation radius R is set to be equal to or less than an allowable length (B ⁇ L 0 ⁇ E) to be obtained by subtracting the distance L 0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis and a length E of a robot invasion restricted region, which is set for the FOUP opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., R ⁇ B ⁇ L 0 ⁇ E).
  • the minimum rotation radius R is set to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E)
  • the allowable length B ⁇ L 0 ⁇ E
  • entering of any portion of the robot arm into a movable region of the FOUP opener can be prevented. Therefore, interference of the robot arm with the FOUP opener can be prevented, regardless of the movable region or state of the FOUP opener. Thereby, defective operations of the wafer transfer apparatus can be eliminated.
  • the robot arm includes: a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis; a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis; and a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes the robot hand at an other end of the third link member for holding the wafer.
  • a first link distance L 1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed 1 ⁇ 2 of the allowable length (B ⁇ L 0 ⁇ E) and to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E) (i.e., ((B ⁇ L 0 ⁇ E)/2 ⁇ L 1 ⁇ B ⁇ L 0 ⁇ E).
  • the first link distance L 1 is set to exceed 1 ⁇ 2 of the allowable length (B ⁇ L 0 ⁇ E) and to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E). Consequently, even in the case where the first link member approaches nearest relative to the front wall, entering of any portion of the first link member into a movable region of the FOUP opener can be prevented. Thus, the other end of the first link member can be moved on both sides in the left and right directions relative to the pivot axis while preventing its interference with the front wall.
  • first link distance L 1 By increasing the first link distance L 1 , as large as possible, provided that it is set to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E), interference of the first link member with the front wall as well as with the FOUP opener can be prevented, and the other end of the first link member can be moved into a significantly far position in both of the left and right directions with respect to the pivot axis, thereby to enlarge the operational range of the first link member. Namely, interference of the first link member with the front wall as well as with the FOUP opener can be prevented, while increasing the link length of the first link member.
  • the second and third link members can be located in farther positions from the pivot axis in the left and right directions, thus enlarging the movable region of the robot in the left and right directions.
  • a first axis-to-axis distance L 11 from the pivot axis to the first joint axis and a second axis-to-axis distance L 12 from the first joint axis to the second joint axis are set to be equal to each other.
  • a second link distance L 2 defined as a distance from the second joint axis to an end of the second link member, which is farthest in a direction toward the first joint axis relative to the second joint axis, is set to exceed 1 ⁇ 2 of the allowable length (B ⁇ L 0 ⁇ E) and to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E).
  • the distance from the second joint axis to the end portion of the second link member, which is the farthest from the pivot axis is set to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E). Accordingly, in the state wherein the pivot axis is coincident with the second joint axis, entering of any portion of the second link member into the movable region of the FOUP opener can be prevented.
  • the second link distance L 2 as large as possible, provided that it is set to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E), interference of the second link member with the front wall as well as with the FOUP opener can be prevented, and the other end of the second link member can be moved into a significantly far position in both of the left and right directions with respect to the pivot axis, thereby to enlarge the operational range of the second link member.
  • the robot arm by driving the robot arm to take its minimum transformed state by overlapping the first link member with the second link member, interference of the second link member with the front wall as well as with the FOUP opener can be prevented, while increasing the link length of the second link member.
  • This increase of the length of the second link member enables the third link member to be located in a position farther from the pivot axis in the left and right directions, thereby enlarging the movable region of the robot in the left and right directions.
  • the other end of the second link member can be moved in parallel to the left and right directions, thus facilitating control of the arm body.
  • the term “the same” is intended to imply substantially the same state, as such it includes the same state and substantially the same state.
  • a third link distance L 3 defined as a distance from the second joint axis to an end of the third link member or a portion of the wafer, which is farthest in a radial direction relative to the second joint axis, is set to exceed 1 ⁇ 2 of the allowable length (B ⁇ L 0 ⁇ E) and to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E).
  • the distance from the second joint axis to the end portion of the third link member, which is the farthest from the pivot axis is less than the allowable length (B ⁇ L 0 ⁇ E). Accordingly, in the state where the pivot axis is coincident with the second pivot axis, entering of any portion of the third link member or any portion of the wafer held by the third link member into the movable region of the FOUP opener can be prevented.
  • the third link distance L 3 by increasing the third link distance L 3 , as large as possible, provided that it is set to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E), interference of the third link member with the front wall as well as with the FOUP opener can be prevented, and the other end of the third link member can be moved into a significantly far position in both of the left and right directions with respect to the pivot axis, thereby to enlarge the operational range of the third link member.
  • the robot arm by operating the robot arm to take its minimum transformed state by driving the first to third link members to be overlapped with one another, interference of the third link member with the front wall as well as with the FOUP opener can be prevented, while increasing the link length of the third link member. Due to such increase of the length of the third link member, the wafer held by the third link member can be located in a farther position from the pivot axis in the left and right directions, thereby to extend the movable region of the robot in the left and right directions.
  • the first link distance L 1 , the second link distance L 2 and the third link distance L 3 are respectively set to be equal to the allowable length (B ⁇ L 0 ⁇ E).
  • the first to third link distances L 1 to L 3 are each set to be the same as the allowable length (B ⁇ L 0 ⁇ E). Consequently, when the robot arm is in the minimum transformed state, contact of each link member with the front wall as well as with the FOUP opener can be prevented.
  • the term “the same” is intended to imply substantially the same state, as such it includes the same state and substantially the same state. Since each link member is set to be as large as possible while preventing interference, the operational range of the robot arm with respect to the left and right directions can be increased. Thus, even in the case where the front opening and the rear opening are formed away from each other in the left and right directions, this robot arm can perform both carrying in and carrying out operations for each wafer.
  • each link member in the case where the robot arm takes its minimum transformed state, contact of each link member with the front wall as well as with the FOUP opener can be prevented.
  • the length of each link member can be increased as large as possible, the operational range of the robot arm can be increased so much. Therefore, even in the case where the front opening and the rear opening are provided in positions spaced away relative to each other in the forward and backward directions, the robot arm can perform the carrying in and carrying out operations for each wafer.
  • the front opening includes four openings which are formed in the interface space forming portion, the four openings being arranged in left and right directions orthogonal to both the forward and backward directions and a direction of the pivot axis.
  • the FOUP opener includes four openers which are provided in order to open and close the four openings, respectively.
  • the operational range in the left and right directions of the robot arm can be significantly increased.
  • carrying in and carrying out operations for each wafer between the substrate container attached to each FOUP opener and the wafer processing apparatus can be secured, without providing any additional running means for the robot, and without increasing the number of link members of the robot arm. Since the four FOUP openers are provided, the carrying, attachment and detachment operations of each substrate container relative to the wafer transfer apparatus and the transfer operation of each wafer contained in the substrate container held by the wafer transfer apparatus can be carried out, in parallel, thereby enhancing the working efficiency.
  • the present invention is a substrate transfer apparatus for transferring a substrate, in an interface space filled with a preconditioned atmospheric gas, relative to a substrate processing apparatus for processing the substrate, comprising:
  • an interface space forming portion defining the interface space, the interface space forming portion having a front wall and a rear wall which are arranged in predetermined forward and backward directions at an interval, the front wall having a first transfer port formed therein, and the rear wall having a second transfer port formed therein; an opening and closing unit configured to open and close the first transfer port of the interface, space forming portion; and a substrate carrying robot located in the interface space and configured to carry the substrate between the first transfer port and the second transfer port.
  • the substrate carrying robot includes: a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set; a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis; a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis; a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes a robot hand at an other end thereof for holding the substrate; and a drive unit configured to drive each of the link members so that the link members are angularly displaced, individually, about each corresponding axis.
  • a first link distance L 1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed 1 ⁇ 2 of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B ⁇ L 0 ) to be obtained by subtracting a distance L 0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2 ⁇ L 1 ⁇ B ⁇ L 0 ).
  • the minimum rotation radius R of the robot arm can be increased, as compared with the first and second related arts, by setting the minimum rotation radius R of the robot arm to exceed 1 ⁇ 2 of the length B in the forward and backward directions of the ready arm.
  • the minimum rotation radius R of the robot arm can be equal to or less than the aforementioned subtracted value (B ⁇ L 0 )
  • B ⁇ L 0 the aforementioned subtracted value
  • a gap can be securely provided between the robot arm in its minimum transformed state and the front wall, thus preventing interference of the robot arm with the front wall.
  • the restriction of the angularly displacing operational range of the robot arm to be less than 360 degrees, for example, about 180 degrees, interference of the robot arm with the rear wall can also be prevented.
  • the link length of each link member of the robot arm can be increased, while preventing interference between the robot arm and the front wall. Accordingly, the operational range of the robot arm can be increased. In particular, the operational range of the robot arm can be increased, with respect to the left and right directions orthogonal to both of the forward and backward directions and the pivot axial direction. Thus, the robot arm can be adequately operated without requiring any additional running means and/or unduely increasing the number of the link members.
  • the substrate transfer apparatus of the present invention there is no need for a running means for driving the robot to run in the left and right directions, and dust to be generated by such a running means can be avoided, thereby preventing degradation of the cleanliness in the interface space.
  • the number of the link members required for the robot arm can be reduced, as such simplifying the robot structure.
  • the redundancy of the robot can be decreased, thereby to reduce the possibility that the robot arm would collide with the interface space forming portion.
  • the substrate transfer apparatus comprising the substrate transfer robot which can simplify the structure and control can be provided. It should be appreciated that the substrate transfer apparatus can be applied to other substrates than the semiconductor wafer, and that these substrates may include those to be processed in a preset controlled space, for example, glass substrates or the like.
  • FIG. 1 is a plan view showing a part of semiconductor processing equipment 20 comprising a wafer transfer apparatus 23 which is a first embodiment of the present invention
  • FIG. 2 is a section showing the semiconductor processing equipment 20 , which is partly cut away;
  • FIG. 3 is a plan view showing a wafer transfer apparatus, which is simplified, for explaining a length of each link member 41 a to 41 c;
  • FIG. 4 is a diagram showing a carrying operation, which is simplified, for carrying a wafer 24 contained in a first FOUP 25 a to an aligner 56 ;
  • FIG. 5 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 supported by the aligner 56 to a processing space 30 ;
  • FIG. 6 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 located in the processing space 30 to the first FOUP 25 a;
  • FIG. 7 is a diagram showing a state in which the wafer 24 is located in its receiving and transferring positions of the embodiment according to the present invention.
  • FIG. 8 is a plan view showing the wafer transfer apparatus in the case that there are three FOUP openers;
  • FIG. 9 is a plan view showing the wafer transfer apparatus in the case that there are two FOUP openers.
  • FIG. 10 is a plan view showing a wafer transfer apparatus 23 A, which is a second embodiment of the present invention and is somewhat simplified;
  • FIG. 11 is a plan view showing a wafer transfer apparatus 23 B, which is a third embodiment of the present invention and is somewhat simplified;
  • FIG. 12 is a plan view showing a semiconductor processing apparatus 20 C which is a fourth embodiment of the present invention.
  • FIG. 13 is a section showing a semiconductor processing equipment 1 of the related art, which is partly cut away;
  • FIG. 14 is a plan view showing a semiconductor processing equipment 1 A of a first related art, which is partly cut away;
  • FIG. 15 is a plan view showing a semiconductor processing equipment 1 B of a second related art, which is partly cut away.
  • the semiconductor processing equipment 20 provides a predetermined process to each semiconductor wafer 24 which is a substrate to be processed.
  • a predetermined process for example, as the process to be provided to the semiconductor wafer 24 , various processes including heating, impurity doping, film forming, lithography, washing or flattening may be included.
  • the semiconductor processing equipment 20 may perform other substrate processes than those described above.
  • the semiconductor processing equipment 20 performs the aforementioned processes in a processing space 30 filled with an atmospheric gas having adequate cleanliness. Wafers 24 are carried into the semiconductor processing equipment 20 while being contained in large numbers in a-substrate container referred to as a front opening unified pod (FOUP) 25 . Each FOUP 25 is intended to serve as a mini-environmental substrate container configured to provide a clean environment for the locally cleaning technique.
  • FOUP front opening unified pod
  • Each FOUP 25 is configured to include a FOUP main body 60 which is a container main body in which the wafers 24 are contained, and a FOUP-side door 61 as a container-side door which can be attached to and detached from the FOUP main body 60 .
  • the FOUP main body 60 is formed into a generally box-like shape which opens in one direction, and in which a FOUP internal space 34 is defined as a space for containing the wafers. Due to attachment of the FOUP-side door 61 to the FOUP main body 60 , the FOUP internal space 34 is closed air-tightly against an external space 33 , as such invasion of contaminant, such as dust particles, from the external space 33 into the FOUP internal space 34 can be prevented.
  • the wafer 24 can be contained in the FOUP internal space 34 , as well as the wafers 24 contained in the FOUP internal space 34 can be taken out therefrom.
  • Each FOUP 25 contains a plurality of wafers 24 therein in a stacked state in upward and downward directions Z.
  • Each wafer 24 contained in the FOUP 25 is arranged at an equal interval in the upward and downward directions Z, with one face in the thickness direction extending horizontally.
  • the semiconductor processing equipment 20 is configured to include a wafer processing apparatus 22 and a wafer transfer apparatus 23 .
  • the semiconductor processing equipment 20 is prescribed, for example, in the SEMI (Semiconductor Equipment and Materials International) standard.
  • SEMI semiconductor Equipment and Materials International
  • each FOUP 25 and a FOUP opener 26 adapted to open and close the FOUP 25 follow the specifications, including E47.1, E15.1, E57, E62, E63, E84, of the SEMI standard. It should be noted that even though the construction of the semiconductor processing equipment does not fall within the SEMI standard, such construction may also be included in this embodiment.
  • the wafer processing apparatus 22 provides the predetermined process described above to each wafer 24 in the processing space 30 .
  • the wafer processing apparatus 22 includes a processing space forming portion defining the processing space 30 , a carrier adapted to carry each wafer 24 in the processing space 30 , and a controller adapted to control the atmospheric gas filled in the processing space 30 .
  • the controller can be achieved by a fan filter unit or the like.
  • the wafer transfer apparatus 23 is configured to take out each unprocessed wafer 24 from each FOUP 25 and supply it into the wafer processing apparatus 22 , as well as configured to take out each processed wafer 24 from the wafer processing apparatus 22 and place it in each FOUP 25 .
  • the wafer transfer apparatus 23 is an equipment front end module (EFEM).
  • EFEM equipment front end module
  • the wafer transfer apparatus 23 serves as an interface, which is adapted to transfer each wafer 24 between each FOUP 25 and the wafer processing apparatus 22 .
  • the wafer 24 passes through an interface space 29 filled with a predetermined atmospheric gas and having high cleanliness, during its movement between each FOUP internal space 34 and the processing space 30 of the wafer processing apparatus 22 .
  • the interface space 29 is a closed space to which contamination control is provided and in which the number of floating micro-particles in the air is controlled to be less than a limited level of cleanliness.
  • the interface space 29 is a space in which environmental conditions, such as temperature, humidity and pressure, are also controlled as needed.
  • the cleanliness of processing space 30 and interface space 29 is maintained such that it does not have negative impact on the process for each wafer 24 .
  • the cleanliness the CLASS1 prescribed in the international organization for standardization (ISO) is employed.
  • the wafer transfer apparatus 23 includes an interface space forming portion 28 defining the interface space 29 , the wafer carrying robot 27 which is located in the interface space 29 and capable of carrying each wafer, FOUP openers 26 which serve as opening and closing apparatuses each adapted to open and close each corresponding FOUP 25 , and an interface space controller 100 adapted to control an atmospheric gas filled in the interface space 29 .
  • the wafer transfer apparatus 23 further includes an aligner 56 adapted to align a direction of each wafer 24 held in a predetermined position.
  • the interface space forming portion 28 surrounds the interface space 29 to prevent the outside air from entering the interface space 29 from the external space 33 .
  • carrier elements required for carrying each wafer 24 are fixed respectively.
  • four FOUP openers 26 a, 26 b, 26 c, 26 d, one wafer transfer robot 27 , and one aligner 56 are fixed in the interface space forming portion 28 , respectively.
  • the interface space forming portion 28 is formed into a rectangular parallelepiped box-like shape, so as to form a rectangular parallelepiped interface space 29 .
  • the interface space forming portion 28 includes a front wall 110 and a rear wall 111 which are arranged to provide a predetermined interval therebetween in forward and backward directions X.
  • the front wall 110 serves as a partition for separating the interface space 29 from the external space 33 existing in a position on the side in the forward direction X 1 relative to the interface space 29 .
  • the rear wall 111 serves as a partition for separating the interface space 29 from the processing space 30 . Accordingly, the read space 29 is located on the side in the backward direction X 2 relative to the external space 33 and is defined on the side in the forward direction X 1 relative to the processing space 30 .
  • the interface space forming portion 28 includes two side walls 112 , 113 which are arranged to provide an interval in the left and right directions Y.
  • the interface space forming portion 28 includes a ceiling wall 114 and a bottom wall 115 which are arranged to define an interval in the upward and downward directions Z.
  • These walls 110 to 115 of the interface space forming portion 28 are each formed into a plate-like shape.
  • the forward and backward directions X and the left and right directions Y are predefined directions, respectively.
  • the forward and backward directions X and the left and right directions Y are orthogonal to the upward and downward directions Z, respectively, and extend horizontally to be orthogonal to each other.
  • the backward direction X 2 of the forward and backward directions X is a direction in which each wafer 24 contained in each FOUP 25 is carried into the processing space 30 .
  • the forward direction X 1 of the forward and backward directions X is a direction in which each wafer 24 contained in the processing space 30 is carried back into each corresponding FOUP 25 .
  • the first side wall 112 connects one ends together in the left and right directions of the front wall 110 and rear wall 111 .
  • the second side wall 113 connects the other ends together in the left and right directions of the front wall 110 and rear wall 111 .
  • the ceiling wall 114 connects top ends of the front wall 110 , rear wall 111 , first side wall 112 and second side wall 113 , respectively.
  • the bottom wall 115 connects bottom ends of the front wall 110 , rear wall 111 , first side wall 112 and second side wall 113 , respectively.
  • the interface space 29 is closed in the forward and backward directions X by the front wall 110 and the rear wall 111 .
  • the interface space 29 is closed in the left and right directions Y by the first side wall 112 and the second side wall 113 .
  • the interface space 29 is closed in the upward and downward directions Z by the ceiling wall 114 and the bottom wall 115 .
  • the interface space forming portion 28 has a sectional shape vertical to the upward and downward directions Z such that the left and right directions Y corresponds to its longitudinal direction and the forward and backward directions X corresponds to its width direction, so as to be defined as a square frame. Accordingly, the interface space 29 defines an oblong space that is longer in the left and right directions Y than in the forward and backward directions X.
  • front openings 120 are formed, each extending through the wall in the forward and backward directions X, i.e., in the thickness direction.
  • Each front opening 120 is formed to enable each wafer 24 to pass therethrough.
  • each wafer 24 is moved to pass through each corresponding front opening 120 , and carried in the backward direction X 2 relative to the front wall 110 , thus inserted into the interface space 29 from the external space 33 .
  • each wafer 24 is moved to pass through each corresponding front opening 120 , and carried in the forward direction X 1 relative to the front wall 110 , thus discharged into the external space 33 from the interface space 29 .
  • four front openings 120 are provided such that the respective front openings 120 are arranged in the left and right directions Y.
  • rear openings 121 are formed, each extending through the wall in the forward and backward directions X, i.e., in the thickness direction. Each rear opening 121 is formed to enable each wafer 24 to pass therethrough. Again, due to the wafer carrying robot 27 , each wafer 24 is moved to pass through each corresponding rear opening 121 , and carried in the backward direction X 2 relative to the rear wall 111 , thus inserted into the processing space 30 from the interface space 29 . Alternatively, due to the wafer carrying robot 27 , each wafer 24 is moved to pass through each corresponding rear opening 121 , and carried in the forward direction X 1 relative to the rear wall 111 , thus inserted into the interface space 29 from the processing space 30 . In this embodiment, two rear openings 121 are provided such that the respective rear openings 121 are arranged in the left and right directions Y.
  • the FOUP openers 26 a to 26 d are each configured to include a front face plate 101 , an opener-side door 65 , a FOUP supporting portion 31 , and a door opening and closing mechanism 109 .
  • the FOUP openers 26 a to 26 d are arranged at an equal interval in the left and right directions Y.
  • the FOUP openers 26 a to 26 d are located on the side in the forward direction X 1 relative to the interface space forming portion 28 .
  • Each FOUP opener 26 a to 26 d also serves as a substrate container setting table for setting each corresponding FOUP, i.e., the substrate container. Accordingly, each FOUP opener 26 a to 26 d is adapted to work as the substrate container setting table for supporting at least each corresponding FOUP.
  • Each front face plate 101 constitutes a part of the front wall 110 of the interface space forming portion 28 .
  • the front face plate 101 of each FOUP opener 26 a to 26 d is a plate-like or frame-like member defining each front opening 120 described above therein, and constitutes the front wall 110 while being fixed to the remainder of the front wall 110 .
  • the FOUP-side door 61 is provided such that it can pass therethrough in the forward and backward directions X.
  • Each opener-side door 65 is adapted to open and close each corresponding front opening 120 .
  • Each FOUP supporting portion 31 is located in the external space 33 on the side in the forward direction X 1 relative to the interface space 29 and adapted to support each FOUP 25 from below.
  • Each FOUP 25 is formed such that it can be located in an attaching position, which is set by each corresponding FOUP supporting portion 31 , while being supported by the FOUP supporting portion 31 .
  • the FOUPs supported correspondingly to the first to fourth FOUP openers 26 a to 26 d will be referred to as first to fourth FOUPs 25 a to 25 d, respectively.
  • the FOUP(s) 25 or each FOUP 25 when it is not necessary to distinguish them as the first to fourth FOUPs 25 a to 25 d, they will be merely referred to as the FOUP(s) 25 or each FOUP 25 .
  • the opening 60 a of the FOUP main body 60 is in contact with all the circumference of the opening portion 101 a of the front face plate 101 .
  • the FOUP door 61 is opposed from the external space 33 to the opener-side door 65 closing the front opening 120 .
  • Each door opening and closing mechanism 109 is adapted to open and close each corresponding opener-side door 65 and FOUP-side door 61 while each corresponding FOUP 25 is located in the attaching position.
  • the door opening and closing mechanism 109 holds directly or indirectly the opener-side door 65 and the FOUP-side door 61 , moves them from each opening 60 a, 101 a downward and in the backward direction X 2 , and then moves them to a release position set in the interface space 29 , the FOUP internal space 34 and the interface space 29 are in communication with each other.
  • the door opening and closing mechanism 109 attaches the opener-side door 65 and the FOUP-side door 61 to the openings 60 a, 101 a, respectively, the communication between the FOUP internal space 34 and the interface space 29 is shut off.
  • the opening 60 a of the FOUP main body 60 and the opening 101 a of the front face plate 101 are in contact with each other over all of their peripheries. Accordingly, in the state wherein the FOUP 25 is located in the attaching position, even when the opener-side door 65 and the FOUP-side door 61 are removed from the respective openings 60 a, 101 a due to the door opening and closing mechanism 109 , entering of the outside air into the FOUP internal space 34 and the interface space 29 can be prevented.
  • FIG. 1 illustrates a state wherein the first FOUP opener 26 a positioned on the most left side (in the drawing) opens the corresponding front opening 120 .
  • FIG. 1 shows a state wherein the FOUP openers 26 b to 26 d other than the first FOUP opener 26 a close the corresponding front openings 120 , respectively.
  • a movable region 108 is set, in which each door 61 , 65 can be moved to the release position, due to the door opening and closing mechanism 109 .
  • the movable region 108 of each FOUP opener 26 a to 26 d is set in the interface space 29 and is defined near the front wall 110 in the interface space 29 .
  • the wafer transfer robot 27 is achieved by a horizontal articulated robot of a selective compliance assembly robot arm (SCARA) type.
  • the robot 27 is located in the interface space 29 and is configured to include a robot arm 41 , a horizontal drive means 42 a, a vertical drive means 42 b, a base 43 , and a controller 44 .
  • the robot arm 41 has a link structure including a plurality of link members 41 a to 41 c which are successively connected in a direction from a proximal end to a distal end.
  • a robot hand 40 is provided at the distal end of the robot arm 41 .
  • the robot hand 40 has a holding structure which can hold the wafer 24 .
  • the holding of the wafer 24 is intended herein to express a state wherein the wafer 24 can be carried by using the hand 40 . Accordingly, the wafer 24 may be mounted onto, sucked or held by, the hand 40 .
  • the horizontal drive means 42 a is adapted to drive the respective link members 41 a to 41 c of the robot arm 41 to be angularly displaced about joint axes A 0 to A 2 , respectively.
  • the robot arm 41 can drive the robot hand 40 by using the horizontal drive means, such that the robot hand 40 can be displaced in any position on a horizontal plane in a movable region, due to the relative angular displacement of each link member 41 a to 41 c.
  • the horizontal drive means 42 a includes a motor adapted to provide angular displacement in accordance with a signal to be given from the controller 44 , and a power transmission mechanism adapted to transmit power of the motor to each link member. The motor and the power transmission mechanism are provided for each link member 41 a to 41 c.
  • the vertical drive means 42 b is adapted to drive the robot arm 41 to be displaced in the upward and downward directions Z.
  • the vertical drive means 42 b includes a fixed portion and a movable portion, wherein the movable portion can be angularly displaced in the upward and downward directions relative to the fixed portion.
  • the vertical drive means 42 b further includes a motor adapted to provide angular displacement in accordance with a signal to be provided from the controller 44 , and a power transmission mechanism which converts power of the motor into power for direct advance of the movable portion relative to the fixed portion and transmit the power to the movable portion.
  • the fixed portion of the vertical drive means 42 b is supported by the base 43 .
  • the base 43 is adapted to support the vertical drive means 42 b and is fixed to the interface space forming portion 28 .
  • the controller 44 is adapted to control the horizontal drive means 42 a and the vertical drive means 42 b in accordance with a transfer instruction to be inputted from a predetermined operational program or from a user and move the robot hand 40 to a preset position.
  • the controller 44 includes a memory circuit for storing a predetermined program, an operational circuit for calculating the operational program stored in the memory circuit, and an output means adapted to provide signals expressing results of the calculation given from the operational circuit to the horizontal drive means 42 a and the vertical drive means 42 b.
  • the memory circuit can be achieved by a random access memory (RAM) and/or a read only memory (ROM), and the operational circuit can be realized by a central processing unit (CPU).
  • the controller 44 can drive and displace the robot hand 40 of the robot arm 41 to any position in the forward and backward directions X, left and right directions Y and upward and downward directions Z, in a movable range.
  • the wafer 24 held by the robot hand 40 can be transferred.
  • the wafer 24 can be transferred, along a predetermined route, between each FOUP 25 and the wafer processing apparatus 22 .
  • the robot hand 40 passes through the front opening 120 and is advanced into the FOUP internal space 34 while the corresponding opener 26 a to 26 d opens the FOUP-side door 61 so as to hold a wafer 24 contained in the FOUP 25 . Thereafter, the robot hand 40 is moved through the interface space 29 while holding the wafer 24 , passes through the rear opening 121 , and is advanced into the processing space 33 of the semiconductor processing apparatus 22 so as to place the held wafer 24 onto a preset wafer holding position 107 . Alternatively, the robot hand 40 passes through the rear opening 121 , and is advanced into the processing space 30 so as to hold the wafer 24 held in the wafer holding position 107 .
  • the robot hand 40 is moved through the interface space 29 while holding the wafer 24 , passes through the front opening 120 , and is advanced into the FOUP internal space 34 so as to transfer the held wafer 24 to a position for containing it in the FOUP 25 .
  • the robot hand 40 is set to be able to take out and put in each wafer 24 relative to each FOUP 25 supported by each FOUP supporting portion 31 of each opener 26 .
  • the robot hand 40 can also carry the wafer 24 taken out from the FOUP 25 to a holding position set in the aligner 56 as well as can carry the wafer 24 taken out from the holding position of the aligner 56 into the wafer processing apparatus 22 .
  • the aligner 56 is located in the interface space 29 and positioned more right than the fourth FOUP opener 26 d which is positioned on the most right side (in the drawing) of the plurality of FOUP openers 26 a to 26 d.
  • the aligner 56 has a holding portion for holding each wafer 24 , and is configured to rotate the wafer 24 held by the holding portion so as to align a notch or ori-flat (orientation flat) formed in the wafer 24 with a predetermined direction. Accordingly, when the so-aligned wafer 24 is held by the robot hand 40 , the wafer 24 can be located in the processing apparatus 22 with its orientation adjusted. In this way, the processing apparatus 22 can provide a predetermined process with the orientation of each wafer 24 being properly controlled.
  • a central position of each wafer 24 held by the aligner 56 is set at approximately the center of the interface space 29 in the forward and backward directions X.
  • the aligner 56 is located in a position that does not interfere with the travel of the robot hand 40 to each FOUP opener 26 .
  • the aligner 56 is positioned more right than the fourth FOUP opener 26 d which is positioned on the most right side.
  • the wafer transfer robot 27 is located in the interface space 29 , and serves to mainly move the robot hand 40 in the interface space 29 .
  • the wafer transfer robot 27 is configured to make the robot hand 40 pass through the front opening 120 so as to enable each wafer 24 to be taken out from the FOUP internal space 34 as well as to enable the wafer 24 to be placed into the FOUP internal space 34 .
  • the wafer transfer robot 27 is also configured to have the robot hand pass through the rear opening 121 so as to enable each wafer 24 to be taken out from the wafer holding position 107 of the processing space 30 as well as to enable the wafer 24 to be placed in the wafer holding position 107 of the processing space 30 .
  • the wafer transfer robot 27 is configured such that it can pass through the four front opening 120 respectively provided in the four FOUP openers 26 a to 26 d.
  • the wafer transfer robot 27 is configured such that it can carry the robot hand 40 in the forward and backward directions X over a distance greater than the length B in the forward and backward directions of the interface space 29 .
  • the wafer transfer robot 27 is configured to enable the robot hand 40 to be moved in the left and right directions Y such that it can access the FOUP 25 supported by each FOUP opener 26 a to 26 d.
  • the wafer transfer robot 27 is configured to enable the robot hand 40 to be moved in the left and right directions Y such that it can access the aligner 56 .
  • the base 43 is fixed to the interface space forming portion 28 , at which the predetermined pivot axis A 0 is set.
  • the pivot axis A 0 in this embodiment, extends in the vertical direction, and is positioned near the rear wall 111 in the interface space 29 .
  • the pivot axis A 0 is defined in a central position between the most left FOUP opener 26 a and the most right FOUP opener 26 d in the left and right directions Y.
  • the robot arm 41 is configured to have a link structure in which the plurality of link members 41 a to 41 c are connected with one another.
  • a proximal end the robot arm 41 is defined at one end of an arrangement in which the plurality of link member 41 a to 41 c are successively arranged, and a distal end thereof is defined at the other end of the arrangement.
  • the proximal end of the robot arm 41 is fixed to the movable portion of the vertical drive means 42 b, and is connected with the base 43 via the vertical drive means 42 b.
  • the robot hand 40 is provided at the distal end of the robot arm 41 .
  • the robot arm 41 is configured such that the proximal end can be angularly displaced about the pivot axis A 0 .
  • the robot arm 41 includes the first to third link members 41 a, 41 b, 41 c.
  • Each of the link members 41 a to 41 c is formed into an elongated shape extending in its longitudinal direction.
  • the first link member 41 a is connected, at its one end 45 a in its longitudinal direction, with the movable portion of the vertical drive means 42 b.
  • the first link member 41 a is configured such that it can be angularly displaced about the pivot axis A 0 relative to the movable portion of the vertical drive means 42 b.
  • the first joint axis A 1 is set, which is parallel with the pivot axis A 0 . Accordingly, the first joint axis A 1 is moved along with movement of the first link member 41 a.
  • the longitudinal direction of the first link member 41 a is defined by a line connecting the pivot axis A 0 with the first joint axis A 1 .
  • the second link member 41 b is connected, at its one end 45 b in its longitudinal direction, with the other end 46 a in the longitudinal direction of the first link member 41 .
  • the second link member 41 b is configured such that it can be angularly displaced about the first joint axis A 1 relative to the first link member 41 a.
  • the second joint axis A 2 is set, which is parallel with the pivot axis A 0 . Accordingly, the second joint axis A 2 is moved along with movement of the second link member 41 b.
  • the longitudinal direction of the second link member 41 b is defined by a line connecting the first joint axis A 1 with the second joint axis A 2 .
  • the third link member 41 c is connected, at its one end 45 c in its longitudinal direction, with the other end 46 b in the longitudinal direction of the second link member 41 b.
  • the third link member 41 c is configured such that it can be angularly displaced about the second joint axis A 2 relative to the second link member 41 b.
  • the robot hand 40 is provided at the other end 46 c in the longitudinal direction of the third link member 41 c. Accordingly, the robot hand 40 is moved along with movement of the third link member 41 c.
  • the longitudinal direction of the third link member 41 c is defined by a line connecting the second joint axis A 2 with the central position A 3 of the wafer 24 which is held by the robot hand 40 .
  • the robot arm has the link structure comprising the three link members 41 a to 41 c.
  • the horizontal drive means 42 a includes first to third motors.
  • the first motor is adapted to rotate and drive the first link member 41 a about the pivot axis A 0 .
  • the second motor is adapted to rotate and drive the second link member 41 b about the first joint axis A 1 .
  • the third driving source is a motor which serves to rotate and drive the third link member 41 c about the second joint axis A 2 .
  • the horizontal drive means 42 a can angularly displace the first to third link members 41 a to 41 c, individually, about the corresponding angular displacement axes A 0 to A 2 , respectively.
  • the second link member 41 b is located above the first link member 41 a.
  • the second link member 41 b can be moved in a position which is overlapped with the first link member 41 a in the upward and downward directions Z, thereby to prevent interference of the first link member 41 a with the second link member 41 b.
  • the third link member 41 c is located above the second link member 41 b. Accordingly, the third link member 41 c can be moved in a position which is overlapped with the second link member 41 b, as such preventing each interference of the first link member 41 a to the third link member 41 c.
  • FIG. 3 is a plan view showing the wafer transfer apparatus 23 , which is simplified, for explaining a length of each link member 41 a to 41 c. Due to the angular displacement of each link member 41 a to 41 c about each corresponding angular displacement axis A 0 to A 2 , the robot arm 41 can be transformed into its minimum state.
  • a minimum transformed state means a transformed state wherein a distance, defined from the pivot axis A 0 to an arm portion, which extends in the horizontal direction and is the farthest in the radial direction from the pivot axis A 0 , is the minimum.
  • the minimum transformed state means a transformed state wherein a distance, from the pivot axis A 0 to an arm portion or a portion of the wafer 24 , which is the farthest in the radial direction from the pivot axis A 0 , with the wafer 24 being held by the robot arm 41 , is the minimum.
  • the distance, from the pivot axis A 0 to the arm portion or wafer portion, which is farthest in the radial direction relative to the pivot axis A 0 will be referred to as “the minimum rotation radius R of the robot.”
  • the length between the front wall 110 and the rear wall 111 constituting the interface space 29 in the forward and backward directions X will be referred to as “the length B of the interface space in the forward and backward directions.”
  • the minimum rotation radius R of the robot is longer than a half (1 ⁇ 2) of the length B of the interface space in the forward and backward directions.
  • the minimum rotation radius R is set to be equal to or less than a subtracted value (B ⁇ L 0 ) obtained by subtracting a distance L 0 in the forward and backward directions from the rear wall 111 to the pivot axis A 0 , from the length B of the interface space in the forward and backward directions (i.e., B/2 ⁇ R ⁇ B ⁇ L 0 ).
  • an amount of angular displacement of the robot arm 41 is restricted such that it can be angularly displaced about the pivot axis A 0 within an allowable angular displacement range that can prevent interference of the robot arm 41 with the rear wall 111 .
  • the allowable angular displacement range is set to be smaller than 360 degrees, for example, about 180 degrees, about the pivot axis A 0 .
  • the distance L 0 in the forward and backward directions from the rear wall 111 to the pivot axis A 0 is set at, at least, a value smaller than 1 ⁇ 2 of the length B in the forward and backward directions of the interface space (i.e., L 0 ⁇ B/2).
  • the distance L 0 in the forward and backward directions from the rear wall 111 to the pivot axis A 0 is set to be less than 1 ⁇ 5 of the length B in the forward and backward directions of the interface space (i.e., L 0 ⁇ B/5).
  • the predetermined gap length Q is sufficient for preventing the interference that would be otherwise caused by the robot, and in this embodiment, it is set at 30 mm.
  • the minimum rotation radius R of the robot is set to exceed 1 ⁇ 2 of an allowable length (B ⁇ L 0 ⁇ E) to be obtained by subtracting the distance L 0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis and a length E of a robot invasion restricted region, which is set for each FOUP opener 26 and is measured from the front wall 110 , in the forward and backward directions X, on the rear wall side, from the length B in the forward and backward directions of the interface space, as well as set to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E) (i.e., ((B ⁇ L 0 ⁇ E)/2 ⁇ R ⁇ B ⁇ L 0 ⁇ E).
  • the allowable length (B ⁇ L 0 ⁇ E) i.e., ((B ⁇ L 0 ⁇ E)/2 ⁇ R ⁇ B ⁇ L 0 ⁇ E.
  • the first link distance L 1 is set to exceed 1 ⁇ 2 of the allowable length (B ⁇ L 0 ⁇ E) described above and to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E) (i.e., ((B ⁇ L 0 ⁇ E)/2 ⁇ L 1 ⁇ B ⁇ L 0 ⁇ E).
  • the first link member 41 a is formed such that a radius T 1 of the outer circumference of the first link member 41 a about the first joint axis A 1 is equal to or less than a value to be obtained by subtracting the distance L 11 (first axis-to-axis distance) between the pivot axis A 0 and the first joint axis A 1 , from the allowable length (B ⁇ L 0 ⁇ E), over the whole area wherein the outer circumference of the first link member 41 a is on the opposite side of the pivot axis A 0 with respect to the first joint axis A 1 (i.e., T 1 ⁇ B ⁇ L 0 ⁇ E ⁇ L 11 ).
  • the first link member 41 a is formed such that the radius T 2 of the outer circumference of the first link member 41 a about the pivot axis A 0 is less than the distance L 0 in the forward and backward directions from the rear wall 111 to the pivot axis A 0 , over the whole area wherein the outer circumference of the first link member 41 a is on the opposite side of the first joint axis A 1 with respect to the pivot axis A 0 (i.e., T 2 ⁇ L 0 ).
  • the first axis-to-axis distance L 11 between the pivot axis A 0 and the first joint axis A 1 and the second axis-to-axis distance L 12 between the first joint axis A 1 and the second joint axis A 2 are set to be the same.
  • the term “the same” is intended to imply a state that is substantially the same, as such referring to both the same and substantially the same states.
  • a distance from the second joint axis A 2 to an end of the second link member 41 b, which is the farthest in the direction toward the first joint axis A 1 relative to the second joint axis A 2 is referred to as a second link distance L 2 .
  • the second link distance L 2 is set to exceed 1 ⁇ 2 of the allowable length (B ⁇ L 0 ⁇ E) and to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E) (i.e., (B ⁇ L 0 ⁇ E)/2 ⁇ L 2 ⁇ B ⁇ L 0 ⁇ E).
  • the second link member 41 b is formed such that a radius T 3 of the outer circumference of the second link member 41 b about the first joint axis A 1 is equal to or less than a value (B ⁇ L 0 ⁇ E ⁇ L 11 ) to be obtained by subtracting the first axis-to-axis distance L 11 from the allowable length (B ⁇ L 0 ⁇ E), over the whole area wherein the outer circumference of the second link member 41 b is on the opposite side of the second joint axis A 2 with respect to the first joint axis A 1 (i.e., T 3 ⁇ B ⁇ L 0 ⁇ E ⁇ L 11 ).
  • the second link member 41 b is formed such that a radius T 4 of the outer circumference of the second link member 41 b about the second joint axis A 2 is smaller than the distance L 0 in the forward and backward directions from the rear wall 111 to the pivot axis A 0 , over the whole area wherein the outer circumference of the second link member 41 b is on the opposite side of the first joint axis A 1 with respect to the second joint axis A 2 (i.e., T 4 ⁇ L 0 ).
  • a distance from the second joint axis A 2 to an end of the third link member 41 c or a wafer portion, which is the farthest from the second joint axis A 2 in the radial direction with respect to the second joint axis A 2 is referred to as a third link distance L 3 .
  • the third link distance L 3 is set to exceed 1 ⁇ 2 of the allowable length (B ⁇ L 0 ⁇ E) and to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E) (i.e., ((B ⁇ L 0 ⁇ E)/2 ⁇ L 1 ⁇ B ⁇ L 0 ⁇ E).
  • the third link member 41 c is formed such that a radius T 5 of the outer circumference of the third link member 41 c about the second joint axis A 2 is smaller than the distance L 0 in the forward and backward directions from the rear wall 111 to the pivot axis A 0 , over the whole area wherein the outer circumference of the third link member 41 c is on the opposite side of the wafer holding central position A 3 with respect to the second joint axis A 2 (i.e., T 5 ⁇ L 0 ).
  • the first link distance L 1 and the second link distance L 2 are set to be equal to the allowable length (B ⁇ L 0 ⁇ E).
  • the first axis-to-axis distance L 11 and the second axis-to-axis distance L 12 are set to be the same distance that enables the wafer 24 supported by each FOUP opener 26 a to 26 d to be taken out therefrom.
  • the third link distance L 3 is also set to be the same as the allowable length (B ⁇ L 0 ⁇ E).
  • the robot hand 40 is set such that it can hold the wafer 24 in a state wherein the first link member 41 a and the second link member 41 b are extended in a straight line.
  • a distance in the forward and backward directions X from the second joint axis A 2 to the pivot axis A 0 is designated by S 1 .
  • a distance in the left and right directions from the second joint axis A 2 to the pivot axis A 0 is designated by S 2 .
  • a distance obtained by summing up the first axis-to-axis distance L 11 and the second axis-to-axis distance L 12 is expressed by (L 11 +L 12 ).
  • the robot hand 40 can reach the wafer 24 contained in the first FOUP 25 a while the longitudinal direction of the first link member 41 a and the longitudinal direction of the second link member 41 b are arranged to constitute together a straight line.
  • the robot hand 40 can also reach the wafer 24 contained in the fourth FOUP 25 d while the longitudinal direction of the first link member 41 a and the longitudinal direction of the second link member 41 b are arranged to constitute together a straight line.
  • the first link member 41 a and the second link member 41 b can take a form to constitute together a straight line, each of the first axis-to-axis distance L 11 and second axis-to-axis distance L 12 can be significantly reduced.
  • the robot hand 40 may be configured to reach the wafer 24 contained in the first FOUP 25 a or fourth FOUP 25 d while the third link member 41 c is inclined to the forward and backward directions X. As such, each of the first axis-to-axis distance L 11 and second axis-to-axis distance L 12 can be further reduced.
  • each space in the left and right directions Y between the wafer central positions A 3 of the wafers 24 contained in the first FOUP 25 a to fourth FOUP 25 d is designated by W.
  • an angle at which the third link member 41 is inclined relative to the forward and backward directions X is expressed by ⁇ .
  • H a distance from the wafer central position A 3 to the second joint axis A 2 is designated by H.
  • a value (S 1 ⁇ L 11 ) to be obtained by subtracting the first axis-to-axis distance L 11 from the distance S 1 in the forward and backward directions from the second joint axis A 2 to the pivot axis A 0 is expressed by C.
  • the first axis-to-axis distance L 11 can be expressed as follows. (2 ⁇ L11) 2 ⁇ (L11+C) 2 +(1.5 ⁇ W ⁇ H ⁇ Sin ⁇ ) 2 (1)
  • each axis-to-axis distance L 11 , L 12 is equal to or greater than 437.3 mm.
  • the length E of the robot invasion restricted region in the forward and backward directions X which is set for each FOUP opener 26 and is measured from the front wall 110 on the rear wall side, is 100 mm.
  • the distance L 0 in the forward and backward directions from the rear wall 111 to the pivot axis A 0 is 65 mm, and that a distance L 10 (R ⁇ L 11 ) to be obtained by subtracting the first axis-to-axis distance L 11 from the minimum rotation radius R of the robot is 50 mm.
  • the resultant length B in the forward and backward directions of the interface space is equal to or greater than 652.3 mm (i.e., B ⁇ L 11 +E+L 0 +L 10 ).
  • the wafer 24 contained in each of the first and fourth FOUPs 25 a, 25 d supported by each corresponding FOUP opener 26 a, 26 d can be taken out, by setting each axis-to-axis distance L 11 , L 12 at 437.3 mm.
  • the wafer 24 contained in each of the second and third FOUPs 25 b, 25 c, which are located nearer to the pivot axis A 0 than the first and fourth FOUPs 25 a, 25 d, can also be taken out.
  • the length B in the forward and backward directions of the interface space is 694 mm.
  • the minimum rotation radius R of the robot is set at 485 mm, and the first axis-to-axis distance L 11 and the second axis-to-axis distance L 12 are each set at 425 mm.
  • the distance H from the second joint axis A 2 to the wafer central position A 3 is set at 320 mm.
  • the third link distance L 3 is set at 470 mm.
  • each axis-to-axis L 11 , L 12 to be obtained is equal to or greater than 420.4 mm, and the length B in the forward and backward directions of the interface space is to be equal to or greater than 635.4 mm.
  • each axis-to-axis L 11 , L 12 to be obtained is equal to or greater than 417.5 mm and the length B in the forward and backward directions of the interface space is to be equal to or greater than 632.5 mm.
  • each FOUP 25 a to 25 d can be taken out without unduely extending the first link member 41 a and the second link member 41 b.
  • the robot hand 40 can be located, on both sides in the left and right directions Y, with respect to a reference line P 0 extending in the forward and backward directions X and including the pivot axis A 0 .
  • each wafer 24 contained in a plurality of, for example, four, FOUPs, i.e., the first to fourth FOUP 25 a to 25 d, supported by the four FOUP openers 26 a to 26 d, can be taken out, by using the robot arm 41 having the link structure comprising the three link members 41 a to 41 c.
  • the first to third link distances L 1 to L 3 are set to exceed 1 ⁇ 2 of the allowable length (B ⁇ L 0 ⁇ E) and to be equal to or less than the allowable length (B ⁇ L 0 ⁇ E).
  • the length of each link member 41 a to 41 c can be significantly enlarged. Therefore, even in the case where the length B in the forward and backward directions of the interface space is relatively small, the robot hand 40 can be extended to a position which is significantly spaced away from the pivot axis A 0 on both sides in the left and right directions Y.
  • the wafer 24 can be carried with the simple link structure as described above.
  • the first to third link distances L 1 to L 3 are each set to be the same as the allowable length (B ⁇ L 0 ⁇ E). Consequently, interference of the robot arm 41 with the front wall 110 as well as with each FOUP opener 26 can be prevented, and the length of each link member 41 a to 41 c can be increased to the maximum.
  • the movable range of the robot arm 41 can be enlarged with respect to the left and right directions Y. Accordingly, as compared with the second related art, the running means which is adapted to drive the robot 27 to run in the left and right directions Y can be excluded, thus eliminating the direct acting mechanism. As such, occurrence of dust to be associated with the direct acting mechanism can be prevented, and hence degradation of cleanliness in the interface space 29 due to such dust can be avoided. Additionally, the elimination of the running means can ensure downsizing and weight reduction of the robot 27 .
  • each link member 41 a to 41 c of the robot arm 41 the robot hand can reach a predetermined position in a wider range. Furthermore, increase of the number of the link members can be controlled, as such simplifying the structure of the robot 27 . In addition, redundancy of the robot 27 can be reduced, thus simplifying teaching works concerning control and transformed states for the robot arm 41 . Therefore, possibility of collision of the robot arm 41 with the interface space forming portion 28 as well as with each FOUP opener 26 can be reduced.
  • the number of the FOUP openers 26 can be increased without enlarging the length B in the forward and backward directions of the interface space 29 . With the increase of the number of the FOUP openers 26 , carrying, attaching and detaching operations of each FOUP 25 relative to the wafer transfer apparatus 23 and a transfer operation of each wafer contained in each FOUP 25 held by the wafer transfer apparatus 23 can be performed in parallel, thereby to enhance the working efficiency.
  • the length B in the forward and backward directions of the interface space 29 can be reduced, a space for installment of the wafer transfer apparatus 23 can be downsized. Therefore, restrictions regarding the installment space can be lightened, thus in turn facilitating installment of the wafer processing equipment 20 .
  • the cleanliness in the interface space 29 can be enhanced as well as the yield can be improved, by using the interface space controller 100 provided with the same function.
  • the length B in the forward and backward directions of the interface space can be reduced by designing the robot hand 40 such that the longitudinal direction of the third link member 41 c can be inclined relative to the forward and backward directions X in the state wherein the robot hand 40 reaches the corresponding wafer 24 .
  • the first and second axis-to-axis distances L 11 , L 12 are set to be shorter in order to prevent interference of the robot hand 40 with the interface space forming portion 28 and/or each FOUP opener 26 , holding of the wafer 24 , which is held by the FOUP 25 supported by each corresponding FOUP opener, can be performed with ease.
  • each link member 41 a to 41 c can be increased, as compared with a case in which the length of each link member 41 a to 41 c is shorter, a transfer speed of the robot hand can be enhanced, even with the angular speed upon angular displacement about the corresponding pivot axes A 0 to A 2 being the same.
  • force of inertia can be reduced. Due to this function, the transfer speed of the robot hand 40 can also be enhanced. With such enhancement of the transfer speed of the robot hand 40 , the time required for carrying each wafer 24 can be reduced, thereby to enhance the working efficiency.
  • FIG. 4 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 contained in the first FOUP 25 a to the aligner 56 .
  • the carrying operation proceeds in the order of from FIG. 4 ( 1 ) to FIG. 4 ( 7 ).
  • the carrying operation shown in FIG. 4 is stored in the controller 44 , with respect to the transfer route and passing through points of the robot hand 40 .
  • the controller 44 serves to control the horizontal drive means 42 a and the vertical drive means 42 b by executing a predetermined operational program, such that the robot hand 40 passes through a plurality of points along the transfer route. Consequently, the wafer transfer robot 27 can carry each wafer 24 contained in the first FOUP 25 a to the aligner 56 .
  • the robot arm 41 is moved vertically up to the wafer 24 to be held, and then transformed such that the first link member 41 a and the second link member 41 b are extended in a straight line, as shown in FIG. 4 ( 1 ), so as to hold the wafer 24 contained in the first FOUP 25 a by using the hand 40 .
  • the first link member 41 a and the second link member 41 b are angularly displaced about the corresponding angular displacement axes A 0 , A 1 , respectively, so as to move the third link member 41 c in the backward direction X 2 into the interface space 29 together with the wafer 24 .
  • first link member 41 a and the second link member 41 b are further angularly displaced about the corresponding angular displacement axes A 0 , A 1 , respectively, so as to move the third link member 41 c in parallel to the left and right directions Y, toward the aligner 56 located in a position far away from the first FOUP opener 26 a in the left and right directions Y.
  • first axis-to-axis distance L 11 and the second axis-to-axis distance L 12 are set to be equal, as shown in FIGS.
  • the second link member 41 b is angularly displaced about the first joint axis A 1 , in an amount of angular displacement per unit time, which is twice the amount of angular displacement per unit time, relative to the angular displacement of the first link member 41 a about the pivot axis A 0 .
  • the third link member 41 c can be moved in parallel to the left and right directions Y, without angularly displacing the third link member 41 c about the second joint axis A 2 , and without altering the attitude of the third link member 41 c.
  • the wafer 24 can be located in a holding position set in the aligner 56 , by angularly displacing the first to third link members 41 a to 41 c about the corresponding angular displacement axes A 0 to A 2 , respectively.
  • the position in the upward and downward directions of the robot arm 41 is adjusted by the vertical drive means 42 b. In this manner, the wafer transfer robot 27 can carry the wafer 24 , which has been contained in the first FOUP 25 a, to the aligner 56 .
  • FIG. 5 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 supported by the aligner 56 into the processing space 30 .
  • the carrying operation proceeds in the order of from FIG. 5 ( 1 ) to FIG. 5 ( 7 ).
  • the wafer transfer robot 27 can carry the wafer 24 held by the aligner 56 into the processing space 30 , by controlling the horizontal drive means 42 a and the vertical drive means 42 b in accordance with the predetermined program.
  • the hand 40 should be directed in the backward direction X 2 .
  • the third link member 41 c is angularly displaced about the second joint axis A 2 as well as the second joint axis A 2 is moved in the forward direction X 1 in the interface space 29 .
  • FIG. 5 ( 1 ) from a state wherein the second joint axis A 2 has been moved in the backward direction X 2 in the interface space 29 while the third link member 41 c holding the wafer 24 , the third link member 41 c is angularly displaced about the second joint axis A 2 as well as the second joint axis A 2 is moved in the forward direction X 1 in the interface space 29 .
  • the orientation of the third link member 41 a can be altered by 180 degrees in the interface space 29 without any interference of the third link member 41 a with the front wall 110 , rear wall 111 and each FOUP opener 26 .
  • the wafer 24 can be carried into the processing space 30 .
  • the position in the upward and downward directions of the robot arm 41 is controlled by the vertical drive means 42 b. In this way, the wafer 20 transfer robot 27 can carry the wafer 24 , which has been held by the aligner 56 , into the processing space 30 .
  • FIG. 6 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 located in the processing space 30 to the first FOUP 25 a. Similar to the case shown in FIG. 4 , the controller controls the horizontal drive means 42 a and the vertical drive means 42 b in accordance with the predetermined program so that the wafer transfer robot 27 can carry the wafer 24 contained in the processing space 30 to the first FOUP 25 a.
  • the robot arm 41 is moved upward and downward to a position of the wafer 24 to be held as well as the robot arm 41 is transformed, as shown in FIG. 6 ( 1 ), so as to hold the wafer 24 in the processing space 30 .
  • the first link member 41 a and the second link member 41 b are angularly displaced about the corresponding angular displacement axes A 0 , A 1 , respectively, and the third link member 41 c is moved in the forward direction X 1 , so as to move the third link member 41 c and the wafer 24 into the interior of the interface space 29 .
  • a portion on the robot hand side of the third link member 41 c is positioned to face the front opening as well as maintained in an attitude which is substantially parallel to the forward and backward directions X.
  • the position of the hand 40 in the upward and backward directions is adjusted to enable the wafer to be contained in the FOUP.
  • the wafer is contained in the space in the FOUP 25 as shown in FIG. 6 ( 7 ).
  • FIG. 7 is a diagram showing a state in which the wafer 24 is located in its receiving and transferring positions of the embodiment according to the present invention.
  • FIGS. 7 ( 1 ) to 7 ( 4 ) depict states wherein the wafers 24 contained in the first to fourth FOUPs 25 a to 25 d are held, respectively.
  • FIG. 7 ( 5 ) shows a state in which the wafer 24 is located at the aligner 56 .
  • FIGS. 7 ( 6 ) and 7 ( 7 ) show states wherein the wafer 24 is located in positions set in the processing space 30 , respectively.
  • this embodiment can be configured to include the robot arm having the three-link type structure so as to enable receiving and transferring of the wafers 24 in the FOUPs 25 supported by the four FOUP openers 26 a to 26 d, respectively.
  • this embodiment comprises the single third link member 41 c provided in the robot hand 40 , it is not limited to this aspect. Namely, in the present invention, it is also contemplated that a plurality of, for example, two, third link members 41 c may be provided.
  • each third link member 41 c is provided to be arranged in the upward and downward directions Z, respectively.
  • Each third link member 41 c is connected, at its one end 45 c in the longitudinal direction, with the other end 46 b in the longitudinal direction of the second link member 41 b.
  • Each third link member 41 c is configured such that it can be angularly displaced, individually, about the second joint axis A 2 relative to the second link member 41 b.
  • each third link member 41 c is provided with the robot hand 40 formed at the other end thereof in the longitudinal direction.
  • each third link member 41 c Due to arrangement of each third link member 41 c in a region different in the upward and downward directions, even though they are angularly displaced, individually, about the second joint axis A 2 , mutual interference between the third link members 41 c can be prevented. In addition, due to such provision of the plurality of third link members 41 c, the number of sheets of the wafers that can be carried at a time can be increased, as such enhancing the working efficiency. It should be appreciated that the number of the third link members is not limited to one or two but three or more third link members 41 c may be provided. It is preferred that each third link member 41 c is formed to have the same shape.
  • FIG. 8 is a plan view showing the wafer transfer apparatus 23 including three FOUP openers 26 .
  • FIG. 9 is a plan view showing the wafer transfer apparatus 23 including two FOUP openers 26 .
  • the wafer transfer robot 27 shown in FIGS. 8 and 9 is configured similarly to the wafer transfer robot 27 used in the wafer transfer apparatus 23 including the four FOUP openers 26 . Accordingly, the wafer transfer robot 27 can carry each wafer without causing any interference with the front wall 110 and the rear wall 111 , also in the case of including the two or three FOUP openers 26 . As such, there is no need for changing the configuration of the robot depending on the number of the FOUP openers 26 , thereby to enhance applicability for general purposes.
  • FIG. 10 is a plan view showing a wafer transfer apparatus 23 A which is a second embodiment of the present invention, and is somewhat simplified.
  • the wafer transfer apparatus 23 A of the second embodiment includes portions similar to those in the wafer transfer apparatus 23 of the first embodiment described above. Thus, such like parts are not described here, and designated by like reference numerals.
  • the wafer transfer apparatus 23 A of the second embodiment is different from the first embodiment in the length of the wafer transfer robot 27 , but is the same as the first embodiment in regard to the other configuration.
  • the first embodiment is configured such that the robot hand 40 reaches the wafer 24 contained in the first FOUP 25 a with the first link member 41 a and the second link 41 b extended together in a straight line.
  • the present invention is not limited to this aspect. Namely, in the second embodiment, the robot hand 40 reaches the wafer 24 contained in the first FOUP 25 a with the longitudinal direction of the link member 41 a and the longitudinal direction of the second link member 41 b defining a predetermined angle ⁇ .
  • angular positions of the first link member 41 a and the second link member 41 b are respectively set such that the robot hand 40 reaches the wafer 24 , with the longitudinal direction of the third link member 41 c being coincident with the forward and backward directions X.
  • the hand 40 reaches the wafer 24 , with the longitudinal direction of the third link member 41 c being coincident with the forward and backward directions X, and the third link member 41 c is then moved in parallel to the backward direction X 2 , so as to carry the wafer 24 into the interface space 29 .
  • FIG. 11 is a plan view showing a wafer transfer apparatus 23 B which is a third embodiment of the present invention, and is somewhat simplified.
  • the wafer transfer apparatus 23 B of the third embodiment includes portions similar to those in the wafer transfer apparatus 23 of the first embodiment described above. Thus such like parts are not described here, and designated by like reference numerals.
  • the wafer transfer apparatus 23 B of the third embodiment is different from the first embodiment in the length of the wafer transfer robot 27 , but is the same as the first embodiment in regard to the other configuration.
  • the first axis-to-axis distance L 11 and the second axis-to-axis distance L 12 are of the same length. However, this invention is not limited to this aspect.
  • the third embodiment there is some difference in the length between the first axis-to-axis distance L 11 and the second axis-to-axis distance L 12 , and the first axis-to-axis distance L 11 is provided to be slightly longer than the second axis-to-axis distance L 12 . In this case, as shown in FIG.
  • transfer tracks 130 , 131 of the central position A 3 of the wafer 24 held by the hand 40 and the second joint axis A 2 depict circular arcs both being convex in the forward direction X, respectively.
  • the transfer tracks 130 , 131 of the central position A 3 and the second joint axis A 2 are respectively depicted by dashed lines, while corresponding imaginary lines 132 , 133 extending in parallel with the left and right directions Y are respectively expressed by chain lines.
  • the third link member 41 c can be moved in substantially parallel to the left and right directions Y.
  • the first axis-to-axis distance L 11 and the second axis-to-axis distance L 12 may be provided with slight alteration.
  • an acceptable difference in the length between the first axis-to-axis distance L 1 and the second axis-to-axis distance L 12 may be set within (B ⁇ L 0 ⁇ E ⁇ L 1 ) mm.
  • each link member 41 a to 41 c of the robot arm 41 and each axis-to-axis distance L 11 , L 12 of the first to third embodiments are described by way of example, and hence may be altered.
  • the first link distance L 1 , second link distance L 2 and third link distance L 3 may not necessarily be the same.
  • FIG. 12 is a plan view showing a part of semiconductor processing equipment 20 C which is a fourth embodiment of the present invention.
  • the semiconductor processing equipment 20 C of the fourth embodiment includes portions similar to those in the wafer transfer apparatus 23 of the first embodiment described above. Thus such like parts are not described here, and designated by like reference numerals.
  • the wafer transfer robot 27 of the wafer transfer apparatus 23 also serves as a carrier provided in the wafer processing apparatus 22 .
  • the semiconductor processing equipment 20 c is the same as the first embodiment. As such, descriptions on that point are omitted here.
  • the carrier included in the wafer processing apparatus 22 receives the wafer 24 to be carried into the processing space 30 from the interface space 29 by the wafer transfer apparatus 23 , and then carries the received wafer 24 into the wafer processing position.
  • the wafer transfer robot 27 of the wafer transfer apparatus 23 can extend its operational region as shown in FIG. 12 , it can transfer the wafer not only in the wafer transfer apparatus 23 , but can also be advanced into the processing space 30 of the wafer processing apparatus 22 so as to directly transfer the wafer 24 to the wafer processing position. Accordingly, there is no need for a carrier in the wafer processing apparatus 22 , thus reducing the number of elements in the wafer processing equipment, thereby reducing the production cost.
  • the rear opening 121 is provided in the vicinity of the pivot axis A 0 with respect to the left and right directions Y. It is also preferred that the rear opening 121 is formed to have a space extending longer than a distance between a first crossing point P 1 that is one of two crossing points, at which an imaginary circle defined to make a circuit around the pivot axis A 0 , with its radius being the minimum rotation radius R of the robot 27 , crosses the rear-face-side wall 111 and a second point P 2 , at which a line passing through the pivot axis A 0 and extending in the forward and backward directions X crosses the rear-face-side wall 111 , as such the space is shaped to include both of the first crossing point P 1 and the second crossing point P 2 .
  • the first joint axis A 1 set in the first link member 41 a can be located also in the processing space 30 . Accordingly, the wafer 24 can be transferred to a position away from the rear wall 111 in the backward direction X 2 in the processing space 30 .
  • the wafer transfer apparatus 23 used in the wafer processing equipment 20 has been described, a processing transfer apparatus for use in semiconductor processing equipment for processing substrates other than semiconductor wafers may also be included in the scope of the present invention.
  • the substrate transfer apparatus can be generally applied to those configured to transfer each substrate from a substrate container to a substrate processing apparatus through an interface space in which an atmospheric gas is properly controlled, as well as carry the substrate from the substrate processing apparatus to the substrate container through the interface space.
  • the substrate semiconductor substrates and glass substrates may be mentioned.
  • the robot arm may be modified to have other link sizes in order to be applied to wafers of other sizes.
  • the wafer transfer apparatus 23 while the wafer transfer apparatus 23 includes the aligner 56 , it may includes another processing device than the aligner 56 .
  • This processing device is adapted to hold each wafer in the interface space 29 and perform predetermined processes and operations.
  • a buffer member adapted to hold each wafer 24 in the interface space 29 or an inspection device adapted to hold the wafer in the interface space 29 and inspect it about quality and presence of defects.
  • the wafer transfer apparatus 23 not including the processing device, such as the aligner 56 , may also be included in the scope of the present invention.
  • each position arranged in the left and right directions relative to the pivot axis A 0 is determined appropriately, depending on positions of respective objects to be moved in the left and right directions.
  • substrate container setting tables may be provided for setting substrate containers.
  • first link member 41 a has been described to be able to angularly displace by 90° in one and the other directions about the pivot axis A 0 relative to the reference line P 0 passing through the pivot axis A 0 and extending in the forward and backward directions X
  • the operation of the first link member 41 a is not limited to this mode.
  • the expressions of the forward and backward directions X, left and right directions Y and upward and downward directions Z have been used, for example, first directions, second directions and third directions or the like, which are orthogonal to one another, may be employed as alternatives.

Abstract

A wafer transfer apparatus is provided. In a minimum transformed state where a robot arm is transformed such that a distance defined from a pivot axis to an arm portion, which is farthest in a radial direction relative to the pivot axis, is minimum, a minimum rotation radius R, is set to exceed ½ of a length B in the forward and backward directions of an interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<R≤B−L0).

Description

CROSS REFERENCE TO RELATED APPLICATIONS
This application is based upon the prior Japanese Patent Application No. 2006-198771 filed on Jul. 20, 2006, the entire contents of which are incorporated herein by reference.
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to a wafer transfer apparatus for use in semiconductor processing equipment. The present invention also relates to a substrate transfer apparatus for transferring a substrate in an interface space, which is maintained in a predetermined atmosphere, of a substrate processing equipment.
2. Description of the Related Art
FIG. 13 is a section showing a semiconductor processing equipment 1 of the related art, which is partly cut away. The semiconductor processing equipment 1 is configured to include a wafer processing apparatus 2 and a wafer transfer apparatus 3. The wafer transfer apparatus is an equipment front end module (EFEM). Spaces 9, 10 in the semiconductor processing equipment 1 are filled with a predetermined atmospheric gas, respectively. Specifically, the wafer processing apparatus 2 includes a processing space 10 which is filled with a predetermined atmospheric gas. Similarly, the wafer transfer apparatus 3 includes an interface space 9 which is filled with a predetermined atmospheric gas.
Semiconductor wafers 4, which are contained in each front opening unified pod (FOUP) 5 serving as a substrate container, are each carried into the semiconductor processing equipment 1. The wafer transfer apparatus 3 includes an interface space forming portion 11, FOUP openers 6, and a wafer carrying robot 7. A box 11 defines the interface space 9. The interface space 9 is maintained in a cleaned state due to a dust collecting apparatus, such as a fan filter unit, which is fixed to the box 11 (i.e., interface space forming portion). Each FOUP opener 6 is adapted to open and close doors respectively provided in the FOUP 5 and the interface space forming portion 11. Each FOUP opener 6 can switch a state in which an internal space of each FOUP 5 and the interface space 9 are in communication with each other and a state in which they are closed to each other, by opening and closing each door. A wafer carrying robot 7 is contained in the interface space 9 and is adapted to carry each wafer 4 between each FOUP 5 and the wafer processing apparatus 2.
The wafer carrying robot 7 takes out each unprocessed wafer 4 from each FOUP 5 in a state wherein the FOUP 5 is held by the wafer transfer apparatus 3 and penetration of the outside air into the interface space 9 is prevented. Then, the robot 7 carries the unprocessed wafer 4 taken from the FOUP 5, passes through the interface space 9, and positions the wafer 4 in the processing space 10 of the wafer processing apparatus 2. In addition, the wafer carrying robot 7 takes out each processed wafer 4 from the processing space 10 of the wafer processing apparatus 2. Thereafter, the wafer carrying robot 7 carries the processed wafer 4 taken out from the processing space 10, passes through the interface space 9, and places the wafer 4 again in the internal space of the FOUP 5. By transferring each wafer 4 into the wafer processing apparatus 2 by using each FOUP 5 and the wafer transfer apparatus 3 in this manner, attachment of dust floating in the atmosphere to the wafer 4 to be processed can be prevented. For example, such a technique is disclosed in JP No. 2003-45933 A.
FIG. 14 is a plan view of a semiconductor processing equipment 1A of a first related art, which is partly cut away. A robot arm 14 of the wafer carrying robot 7 of the first related art includes a first link member 15a which is connected with a base 18 and can be pivoted about a pivot axis A0 set at the base 18, a second link member 15b which is connected with the first link member 15a and can be angularly displaced about a first joint axis A1 set at the first link member 15a, and a third link member 15c which is connected with the second link member 15b and can be angularly displaced about a second joint axis A2 set at the second link member 15b. The third link member 15c has a robot hand 12 provided at its distal end.
The wafer carrying robot 7 is set such that a minimum rotation region 17, which is required for the robot 7 to perform one rotation about the base 18 in a state wherein each link member 15a to 15c is angularly displaced relative to one another to make the smallest form of the robot 7, can be contained in the interface space 9. In other words, a minimum rotation radius R of the robot is set smaller than a half (½) of a length B (FIG. 15) in forward and backward directions of the interface space 9. In addition, a distance L11 between the pivot axis A0 and the first joint axis A1 and a distance L12 between the first joint axis A1 and the second joint axis A2 are set to be the same.
In order to enable the wafer transfer apparatus 3 to perform attaching and detaching operations of each FOUP 5 relative to the wafer transfer apparatus 3 and a transferring operation of each wafer 4 to and from each FOUP 5 held by the wafer transfer apparatus 3, at the same time, there is a case where three or four FOUP openers 6 are provided in the system. In such a case, the wafer carrying robot 7 of the first related art as described above can not reach, in some cases, the FOUP 5 that is farthest from the base 15, by using its hand 12. However, if attempting to extend the length of each link member in order to enlarge a movable region of the robot 7, the robot arm 14 may interfere with the interface space forming portion 11 and may be advanced into a robot invasion restricted region.
FIG. 15 is a plan view showing a semiconductor processing equipment 1B of a second related art, which is partly cut away. As shown in FIG. 15, in the second related art, in order to make it possible to transfer wafers 4 of all of the FOUPs 5, the wafer carrying robot 7 includes a robot main body 13 having a robot arm 14 and a running means 12 which is adapted to drive the robot main body 13 to run in directions Y parallel to the row of the FOUPs 5.
In the second related art, the running means 12 for driving the robot main body 13 to run is located in the interface space 9. The running means 12 can be achieved by employing a direct acting mechanism. It is difficult, however, to seal the direct acting mechanism against dust to be generated in a driving portion, as compared with the case of a rotation driving mechanism. Therefore, due to dust to be generated by the running means, cleanliness in the interface space 9 may tend to be degraded.
In the case of driving the robot main body 13 to run at a high speed, since the robot main body 13 is of a large size, power to be spent for the running operation of the robot main body 13 should be increased, with respect to the running means 12. In addition, the running means 12 should also be of a large size in order to support the robot main body 13, thus making it difficult to downsize the robot 7 and reduce the weight thereof. Because the running means 12 is of a large size, it is difficult to exchange the running means 12 in the case of occurrence of malfunctioning in the running means 12. In addition, the provision of such a running means 12 leads to further increase of the production cost.
Increase of the number of the link members of the robot arm 14 in order to enlarge the movable region of the wafer carrying robot 7 can make the running means 12 as disclosed in the second related art be unnecessary. However, in the case of increasing the number of the link members of the robot arm 14, the robot structure should be complicated so much. Additionally, the increase of the link members increases in turn redundancy of the robot, as such control of the robot arm 14 may tend to be difficult. For example, in regard to the wafer transfer, a teaching operation for teaching transformed states of the robot arm may be further complicated.
Such problems may occur in other apparatuses than the wafer transfer apparatus. Specifically, in the case of substrate transfer apparatuses each provided with a substrate carrying robot for carrying each substrate in the interface space which is maintained in a predetermined atmosphere, the same problems as those describe above may occur.
SUMMARY OF THE INVENTION
Accordingly, it is an object of the present invention to provide a wafer transfer apparatus having a wafer transfer robot which can suppress scattering of dust and prevent occurrence of interference in the interior of the wafer transfer apparatus, and has a simple structure and can be readily controlled.
Another object of the present invention is to provide a substrate transfer apparatus having a substrate transfer robot which can suppress scattering of dust and prevent occurrence of interference in the interior of the substrate transfer apparatus, and has a simple structure and can be readily controlled.
The present invention is a wafer transfer apparatus for transferring a semiconductor wafer which is carried while being contained in a substrate container, relative to a wafer processing apparatus for semiconductor processing, comprising: an interface space forming portion defining an interface space which is to be filled with a preconditioned atmospheric gas, the interface space forming portion having a front wall and a rear wall which are arranged at a predetermined interval in forward and backward directions, the front wall having a front opening formed therein, and the rear wall having a rear opening formed therein; a FOUP opener configured to open and close the substrate container located adjacent to the interface space and the front opening of the interface space forming portion; and a wafer carrying robot located in the interface space and configured to carry the semiconductor wafer between the front opening and the rear opening. The wafer carrying robot includes: a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set; a robot arm having a proximal end and a distal end, the robot arm including a plurality of link members connected with one another in succession in a direction from the proximal end to the distal end, the proximal end being connected with the base, the distal end being provided with a robot hand for holding the wafer, the robot arm being configured to be angularly displaced about the pivot axis; and a drive unit configured to drive each of the link members of the robot arm so that the link members are angularly displaced, individually, about each corresponding axis. In a minimum transformed state where the robot arm is transformed such that a distance defined from the pivot axis to an arm portion which is farthest in a radial direction relative to the pivot axis is minimum, a minimum rotation radius R, as the distance defined from the pivot axis to the arm portion which is the farthest in the radial direction relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<R≤B−L0).
According to this invention the substrate container is located while being adjacent to the front opening of the interface space forming portion. In this state, the FOUP opener opens the substrate container together with the front opening so as to make the internal space of the substrate container and the interface space be in communication with each other. The wafer carrying robot takes out an unprocessed wafer from the substrate container, carries the unprocessed wafer into the interface space from the front opening, passes through the interface space, and carries the wafer into the wafer processing apparatus through the rear opening. Alternatively, the wafer carrying robot takes out a processed wafer which has been processed in the wafer processing apparatus, carries it into the interface space from the rear opening, passes through the interface space, and carries the wafer into the substrate container through the front opening.
In the interface space, the atmospheric gas is controlled. Thus, when carrying the unprocessed wafer into the wafer processing apparatus from the substrate container, or when carrying the processed wafer into the substrate container from the wafer processing apparatus, attachment of dust floating in the atmosphere to the wafer can be prevented, thereby enhancing the yield of the wafer to be processed.
In the present invention, the minimum rotation radius R of the robot arm can be increased, as compared to the first and second related arts described above, by setting the minimum rotation radius R of the robot arm at a value greater than ½ of the length B in the forward and backward directions of the interface space. In addition, with the minimum rotation radius R of the robot arm set to be equal to or less than the subtracted value (B−L0), a gap can be securely provided between the robot arm in its minimum transformed state and the front wall, thus preventing interference of the robot arm with the front wall. In this manner, a robot hand which is a distal end of the robot arm can be located on both sides in the left and right directions, orthogonally to both of the forward and backward directions and the pivot axial direction extending along the pivot axis, with respect to a reference line defined to include the pivot axis and extend in the forward and backward directions. By driving the robot arm to be operated in an operational range excluding an interferential operational range in which the robot arm would interfere with the rear wall, interference with the rear wall can also be prevented. Namely, with the restriction of the angularly displacing operational range of the robot arm to be less than 360 degrees, for example, about 180 degrees, interference of the robot arm with the rear wall can be prevented.
Thus, even though the length B in the forward and backward directions of the interface space is significantly small, the length of each link member of the robot arm can be increased, while preventing the interference of the robot arm with the front wall, so as to enlarge the operational range of the robot arm. In particular, the operational range of the robot arm can be enlarged with respect to the left and right directions orthogonal to both the forward and backward directions and the pivot axial direction. For example, the distance L0 in the forward and backward directions from the rear wall to the pivot axis A0 is set to be less than ⅕ of the length B in the forward and backward directions of the interface space (i.e., L0<B/5).
By increasing the link length of each link member of the robot arm, the operational range of the robot arm can be increased with respect to the left and right directions. Thus, as compared with the second related art, there is no need for a running means for driving the robot to run in the left and right directions, and a direct acting mechanism can be eliminated. Accordingly, dust to be generated by such a direct acting mechanism can be avoided, as such degradation of the cleanliness in the interface space can be prevented. In addition, the elimination of the running means leads to downsizing and weight reduction of the robot.
Also, by increasing the link length of each link member of the robot arm, it becomes possible to have the robot hand reach a predetermined position in a wider range. Additionally, necessity for increasing the number of the link members can be avoided, thus simplifying the robot structure. Furthermore, the redundancy of the robot can be reduced, and the control and teaching concerning transformed states for the robot arm can be simplified, thereby reducing possibility that the robot arm would collide with the interface space forming portion.
As described above, in this invention, scattering of dust can be suppressed due to elimination of the running means, as well as interference in the wafer transfer apparatus can be avoided. Therefore, a wafer transfer apparatus including a wafer carrying robot, which can achieve more simplified structure and control, can be provided.
Preferably, the minimum rotation radius R is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis and a length E of a robot invasion restricted region, which is set for the FOUP opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., R≤B−L0−E).
According to this invention, by setting the minimum rotation radius R to be equal to or less than the allowable length (B−L0−E), even in the case where the robot arm approaches nearest relative to the front wall, entering of any portion of the robot arm into a movable region of the FOUP opener can be prevented. Therefore, interference of the robot arm with the FOUP opener can be prevented, regardless of the movable region or state of the FOUP opener. Thereby, defective operations of the wafer transfer apparatus can be eliminated.
Preferably, the robot arm includes: a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis; a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis; and a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes the robot hand at an other end of the third link member for holding the wafer. A first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E) (i.e., ((B−L0−E)/2<L1≤B−L0−E).
According to this invention, the first link distance L1 is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E). Consequently, even in the case where the first link member approaches nearest relative to the front wall, entering of any portion of the first link member into a movable region of the FOUP opener can be prevented. Thus, the other end of the first link member can be moved on both sides in the left and right directions relative to the pivot axis while preventing its interference with the front wall. By increasing the first link distance L1, as large as possible, provided that it is set to be equal to or less than the allowable length (B−L0−E), interference of the first link member with the front wall as well as with the FOUP opener can be prevented, and the other end of the first link member can be moved into a significantly far position in both of the left and right directions with respect to the pivot axis, thereby to enlarge the operational range of the first link member. Namely, interference of the first link member with the front wall as well as with the FOUP opener can be prevented, while increasing the link length of the first link member. Additionally, due to restriction of the angularly displacing operational range of the robot arm to be less than 360 degrees, for example, about 180 degrees, interference of the first link member with the rear wall can also be prevented. Due to the increase of the length of the first link member, the second and third link members can be located in farther positions from the pivot axis in the left and right directions, thus enlarging the movable region of the robot in the left and right directions.
Preferably, a first axis-to-axis distance L11 from the pivot axis to the first joint axis and a second axis-to-axis distance L12 from the first joint axis to the second joint axis are set to be equal to each other. A second link distance L2 defined as a distance from the second joint axis to an end of the second link member, which is farthest in a direction toward the first joint axis relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
According to this invention, in a state where the second link member is overlapped with the first link member with respect to the pivot axial direction such that the pivot axis is coincident with the second joint axis, the distance from the second joint axis to the end portion of the second link member, which is the farthest from the pivot axis, is set to be equal to or less than the allowable length (B−L0−E). Accordingly, in the state wherein the pivot axis is coincident with the second joint axis, entering of any portion of the second link member into the movable region of the FOUP opener can be prevented. Additionally, by increasing the second link distance L2, as large as possible, provided that it is set to be equal to or less than the allowable length (B−L0−E), interference of the second link member with the front wall as well as with the FOUP opener can be prevented, and the other end of the second link member can be moved into a significantly far position in both of the left and right directions with respect to the pivot axis, thereby to enlarge the operational range of the second link member. Namely, by driving the robot arm to take its minimum transformed state by overlapping the first link member with the second link member, interference of the second link member with the front wall as well as with the FOUP opener can be prevented, while increasing the link length of the second link member. This increase of the length of the second link member enables the third link member to be located in a position farther from the pivot axis in the left and right directions, thereby enlarging the movable region of the robot in the left and right directions.
By setting the first axis-to-axis distance L11 and the second axis-to-axis distance L12 to be the same, and by setting an angularly displacing amount of the first link member about the pivot axis to be twice the angularly displacing amount of the second link member about the first angular displacement axis, the other end of the second link member can be moved in parallel to the left and right directions, thus facilitating control of the arm body. It should be noted that the term “the same” is intended to imply substantially the same state, as such it includes the same state and substantially the same state.
Preferably, a third link distance L3 defined as a distance from the second joint axis to an end of the third link member or a portion of the wafer, which is farthest in a radial direction relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
According to this invention, in a state wherein the first to third link members are overlapped such that the pivot axis is coincident with the second joint axis, the distance from the second joint axis to the end portion of the third link member, which is the farthest from the pivot axis, is less than the allowable length (B−L0−E). Accordingly, in the state where the pivot axis is coincident with the second pivot axis, entering of any portion of the third link member or any portion of the wafer held by the third link member into the movable region of the FOUP opener can be prevented. In addition, by increasing the third link distance L3, as large as possible, provided that it is set to be equal to or less than the allowable length (B−L0−E), interference of the third link member with the front wall as well as with the FOUP opener can be prevented, and the other end of the third link member can be moved into a significantly far position in both of the left and right directions with respect to the pivot axis, thereby to enlarge the operational range of the third link member. Namely, by operating the robot arm to take its minimum transformed state by driving the first to third link members to be overlapped with one another, interference of the third link member with the front wall as well as with the FOUP opener can be prevented, while increasing the link length of the third link member. Due to such increase of the length of the third link member, the wafer held by the third link member can be located in a farther position from the pivot axis in the left and right directions, thereby to extend the movable region of the robot in the left and right directions.
Preferably, the first link distance L1, the second link distance L2 and the third link distance L3 are respectively set to be equal to the allowable length (B−L0−E).
According to this invention, the first to third link distances L1 to L3 are each set to be the same as the allowable length (B−L0−E). Consequently, when the robot arm is in the minimum transformed state, contact of each link member with the front wall as well as with the FOUP opener can be prevented. The term “the same” is intended to imply substantially the same state, as such it includes the same state and substantially the same state. Since each link member is set to be as large as possible while preventing interference, the operational range of the robot arm with respect to the left and right directions can be increased. Thus, even in the case where the front opening and the rear opening are formed away from each other in the left and right directions, this robot arm can perform both carrying in and carrying out operations for each wafer. Namely, in the case where the robot arm takes its minimum transformed state, contact of each link member with the front wall as well as with the FOUP opener can be prevented. In addition, the length of each link member can be increased as large as possible, the operational range of the robot arm can be increased so much. Therefore, even in the case where the front opening and the rear opening are provided in positions spaced away relative to each other in the forward and backward directions, the robot arm can perform the carrying in and carrying out operations for each wafer.
Preferably, the front opening includes four openings which are formed in the interface space forming portion, the four openings being arranged in left and right directions orthogonal to both the forward and backward directions and a direction of the pivot axis. The FOUP opener includes four openers which are provided in order to open and close the four openings, respectively.
According to this invention, even in the case where the length B in the forward and backward directions of the interface space is relatively small as described above, the operational range in the left and right directions of the robot arm can be significantly increased. Thus, even in the case where the four FOUP openers are provided, carrying in and carrying out operations for each wafer between the substrate container attached to each FOUP opener and the wafer processing apparatus can be secured, without providing any additional running means for the robot, and without increasing the number of link members of the robot arm. Since the four FOUP openers are provided, the carrying, attachment and detachment operations of each substrate container relative to the wafer transfer apparatus and the transfer operation of each wafer contained in the substrate container held by the wafer transfer apparatus can be carried out, in parallel, thereby enhancing the working efficiency.
The present invention is a substrate transfer apparatus for transferring a substrate, in an interface space filled with a preconditioned atmospheric gas, relative to a substrate processing apparatus for processing the substrate, comprising:
an interface space forming portion defining the interface space, the interface space forming portion having a front wall and a rear wall which are arranged in predetermined forward and backward directions at an interval, the front wall having a first transfer port formed therein, and the rear wall having a second transfer port formed therein; an opening and closing unit configured to open and close the first transfer port of the interface, space forming portion; and a substrate carrying robot located in the interface space and configured to carry the substrate between the first transfer port and the second transfer port. The substrate carrying robot includes: a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set; a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis; a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis; a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes a robot hand at an other end thereof for holding the substrate; and a drive unit configured to drive each of the link members so that the link members are angularly displaced, individually, about each corresponding axis. The pivot axis is located nearer to the rear wall than to the front wall or nearer to the front wall than to the rear wall in the forward and backward directions. A first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<L1≤B−L0).
According to this invention, the minimum rotation radius R of the robot arm can be increased, as compared with the first and second related arts, by setting the minimum rotation radius R of the robot arm to exceed ½ of the length B in the forward and backward directions of the ready arm. In addition, by setting the minimum rotation radius R of the robot arm to be equal to or less than the aforementioned subtracted value (B−L0), a gap can be securely provided between the robot arm in its minimum transformed state and the front wall, thus preventing interference of the robot arm with the front wall. With the restriction of the angularly displacing operational range of the robot arm to be less than 360 degrees, for example, about 180 degrees, interference of the robot arm with the rear wall can also be prevented.
Consequently, even in the case where the length B in the forward and backward directions of the interface space is relatively small, the link length of each link member of the robot arm can be increased, while preventing interference between the robot arm and the front wall. Accordingly, the operational range of the robot arm can be increased. In particular, the operational range of the robot arm can be increased, with respect to the left and right directions orthogonal to both of the forward and backward directions and the pivot axial direction. Thus, the robot arm can be adequately operated without requiring any additional running means and/or unduely increasing the number of the link members.
According to the substrate transfer apparatus of the present invention, there is no need for a running means for driving the robot to run in the left and right directions, and dust to be generated by such a running means can be avoided, thereby preventing degradation of the cleanliness in the interface space. In addition, the number of the link members required for the robot arm can be reduced, as such simplifying the robot structure. Moreover, the redundancy of the robot can be decreased, thereby to reduce the possibility that the robot arm would collide with the interface space forming portion.
As stated above, according to the present invention, scattering of dust can be suppressed due to the elimination of the running means, and occurrence of interference in the substrate transfer apparatus can be avoided due to the control of increase of the link members. Therefore, the substrate transfer apparatus comprising the substrate transfer robot which can simplify the structure and control can be provided. It should be appreciated that the substrate transfer apparatus can be applied to other substrates than the semiconductor wafer, and that these substrates may include those to be processed in a preset controlled space, for example, glass substrates or the like.
BRIEF DESCRIPTION OF THE DRAWINGS
The above and other objects, features and advantages of the present invention will become more apparent from the following description taken in connection with the accompanying drawings, in which:
FIG. 1 is a plan view showing a part of semiconductor processing equipment 20 comprising a wafer transfer apparatus 23 which is a first embodiment of the present invention;
FIG. 2 is a section showing the semiconductor processing equipment 20, which is partly cut away;
FIG. 3 is a plan view showing a wafer transfer apparatus, which is simplified, for explaining a length of each link member 41a to 41c;
FIG. 4 is a diagram showing a carrying operation, which is simplified, for carrying a wafer 24 contained in a first FOUP 25a to an aligner 56;
FIG. 5 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 supported by the aligner 56 to a processing space 30;
FIG. 6 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 located in the processing space 30 to the first FOUP 25a;
FIG. 7 is a diagram showing a state in which the wafer 24 is located in its receiving and transferring positions of the embodiment according to the present invention;
FIG. 8 is a plan view showing the wafer transfer apparatus in the case that there are three FOUP openers;
FIG. 9 is a plan view showing the wafer transfer apparatus in the case that there are two FOUP openers;
FIG. 10 is a plan view showing a wafer transfer apparatus 23A, which is a second embodiment of the present invention and is somewhat simplified;
FIG. 11 is a plan view showing a wafer transfer apparatus 23B, which is a third embodiment of the present invention and is somewhat simplified;
FIG. 12 is a plan view showing a semiconductor processing apparatus 20C which is a fourth embodiment of the present invention;
FIG. 13 is a section showing a semiconductor processing equipment 1 of the related art, which is partly cut away;
FIG. 14 is a plan view showing a semiconductor processing equipment 1A of a first related art, which is partly cut away;
FIG. 15 is a plan view showing a semiconductor processing equipment 1B of a second related art, which is partly cut away.
DESCRIPTION OF THE PREFERRED EMBODIMENTS
As shown in FIGS. 1 and 2, the semiconductor processing equipment 20 according to the first embodiment of the present invention provides a predetermined process to each semiconductor wafer 24 which is a substrate to be processed. For example, as the process to be provided to the semiconductor wafer 24, various processes including heating, impurity doping, film forming, lithography, washing or flattening may be included. In addition, the semiconductor processing equipment 20 may perform other substrate processes than those described above.
The semiconductor processing equipment 20 performs the aforementioned processes in a processing space 30 filled with an atmospheric gas having adequate cleanliness. Wafers 24 are carried into the semiconductor processing equipment 20 while being contained in large numbers in a-substrate container referred to as a front opening unified pod (FOUP) 25. Each FOUP 25 is intended to serve as a mini-environmental substrate container configured to provide a clean environment for the locally cleaning technique.
Each FOUP 25 is configured to include a FOUP main body 60 which is a container main body in which the wafers 24 are contained, and a FOUP-side door 61 as a container-side door which can be attached to and detached from the FOUP main body 60. The FOUP main body 60 is formed into a generally box-like shape which opens in one direction, and in which a FOUP internal space 34 is defined as a space for containing the wafers. Due to attachment of the FOUP-side door 61 to the FOUP main body 60, the FOUP internal space 34 is closed air-tightly against an external space 33, as such invasion of contaminant, such as dust particles, from the external space 33 into the FOUP internal space 34 can be prevented. Contrary, due to removal of the FOUP-side door 61 from the FOUP main body 60, the wafer 24 can be contained in the FOUP internal space 34, as well as the wafers 24 contained in the FOUP internal space 34 can be taken out therefrom. Each FOUP 25 contains a plurality of wafers 24 therein in a stacked state in upward and downward directions Z. Each wafer 24 contained in the FOUP 25 is arranged at an equal interval in the upward and downward directions Z, with one face in the thickness direction extending horizontally.
The semiconductor processing equipment 20 is configured to include a wafer processing apparatus 22 and a wafer transfer apparatus 23. The semiconductor processing equipment 20 is prescribed, for example, in the SEMI (Semiconductor Equipment and Materials International) standard. In this case, for example, each FOUP 25 and a FOUP opener 26 adapted to open and close the FOUP 25 follow the specifications, including E47.1, E15.1, E57, E62, E63, E84, of the SEMI standard. It should be noted that even though the construction of the semiconductor processing equipment does not fall within the SEMI standard, such construction may also be included in this embodiment.
The wafer processing apparatus 22 provides the predetermined process described above to each wafer 24 in the processing space 30. In addition to a processing apparatus main body adapted to provide a process to each wafer 24, the wafer processing apparatus 22 includes a processing space forming portion defining the processing space 30, a carrier adapted to carry each wafer 24 in the processing space 30, and a controller adapted to control the atmospheric gas filled in the processing space 30. The controller can be achieved by a fan filter unit or the like.
The wafer transfer apparatus 23 is configured to take out each unprocessed wafer 24 from each FOUP 25 and supply it into the wafer processing apparatus 22, as well as configured to take out each processed wafer 24 from the wafer processing apparatus 22 and place it in each FOUP 25. The wafer transfer apparatus 23 is an equipment front end module (EFEM). The wafer transfer apparatus 23 serves as an interface, which is adapted to transfer each wafer 24 between each FOUP 25 and the wafer processing apparatus 22. In this case, the wafer 24 passes through an interface space 29 filled with a predetermined atmospheric gas and having high cleanliness, during its movement between each FOUP internal space 34 and the processing space 30 of the wafer processing apparatus 22.
The interface space 29 is a closed space to which contamination control is provided and in which the number of floating micro-particles in the air is controlled to be less than a limited level of cleanliness. In addition, the interface space 29 is a space in which environmental conditions, such as temperature, humidity and pressure, are also controlled as needed. In this embodiment, the cleanliness of processing space 30 and interface space 29 is maintained such that it does not have negative impact on the process for each wafer 24. For example, as the cleanliness, the CLASS1 prescribed in the international organization for standardization (ISO) is employed.
The wafer transfer apparatus 23 includes an interface space forming portion 28 defining the interface space 29, the wafer carrying robot 27 which is located in the interface space 29 and capable of carrying each wafer, FOUP openers 26 which serve as opening and closing apparatuses each adapted to open and close each corresponding FOUP 25, and an interface space controller 100 adapted to control an atmospheric gas filled in the interface space 29. In this embodiment, the wafer transfer apparatus 23 further includes an aligner 56 adapted to align a direction of each wafer 24 held in a predetermined position.
The interface space forming portion 28 surrounds the interface space 29 to prevent the outside air from entering the interface space 29 from the external space 33. In the interface space forming portion 28, carrier elements required for carrying each wafer 24 are fixed respectively. In this embodiment, four FOUP openers 26a, 26b, 26c, 26d, one wafer transfer robot 27, and one aligner 56 are fixed in the interface space forming portion 28, respectively.
The interface space forming portion 28 is formed into a rectangular parallelepiped box-like shape, so as to form a rectangular parallelepiped interface space 29. The interface space forming portion 28 includes a front wall 110 and a rear wall 111 which are arranged to provide a predetermined interval therebetween in forward and backward directions X. The front wall 110 serves as a partition for separating the interface space 29 from the external space 33 existing in a position on the side in the forward direction X1 relative to the interface space 29. The rear wall 111 serves as a partition for separating the interface space 29 from the processing space 30. Accordingly, the read space 29 is located on the side in the backward direction X2 relative to the external space 33 and is defined on the side in the forward direction X1 relative to the processing space 30.
The interface space forming portion 28 includes two side walls 112, 113 which are arranged to provide an interval in the left and right directions Y. In addition, the interface space forming portion 28 includes a ceiling wall 114 and a bottom wall 115 which are arranged to define an interval in the upward and downward directions Z. These walls 110 to 115 of the interface space forming portion 28 are each formed into a plate-like shape.
In this embodiment, the forward and backward directions X and the left and right directions Y are predefined directions, respectively. The forward and backward directions X and the left and right directions Y are orthogonal to the upward and downward directions Z, respectively, and extend horizontally to be orthogonal to each other. The backward direction X2 of the forward and backward directions X is a direction in which each wafer 24 contained in each FOUP 25 is carried into the processing space 30. The forward direction X1 of the forward and backward directions X is a direction in which each wafer 24 contained in the processing space 30 is carried back into each corresponding FOUP 25.
The first side wall 112 connects one ends together in the left and right directions of the front wall 110 and rear wall 111. The second side wall 113 connects the other ends together in the left and right directions of the front wall 110 and rear wall 111. The ceiling wall 114 connects top ends of the front wall 110, rear wall 111, first side wall 112 and second side wall 113, respectively. The bottom wall 115 connects bottom ends of the front wall 110, rear wall 111, first side wall 112 and second side wall 113, respectively.
The interface space 29 is closed in the forward and backward directions X by the front wall 110 and the rear wall 111. In addition, the interface space 29 is closed in the left and right directions Y by the first side wall 112 and the second side wall 113. Furthermore, the interface space 29 is closed in the upward and downward directions Z by the ceiling wall 114 and the bottom wall 115. In this manner, the interface space 29 is defined. The interface space forming portion 28 has a sectional shape vertical to the upward and downward directions Z such that the left and right directions Y corresponds to its longitudinal direction and the forward and backward directions X corresponds to its width direction, so as to be defined as a square frame. Accordingly, the interface space 29 defines an oblong space that is longer in the left and right directions Y than in the forward and backward directions X.
In the front wall 110, front openings 120 are formed, each extending through the wall in the forward and backward directions X, i.e., in the thickness direction. Each front opening 120 is formed to enable each wafer 24 to pass therethrough. Specifically, due to the wafer carrying robot 27, each wafer 24 is moved to pass through each corresponding front opening 120, and carried in the backward direction X2 relative to the front wall 110, thus inserted into the interface space 29 from the external space 33. Alternatively, due to the wafer carrying robot 27, each wafer 24 is moved to pass through each corresponding front opening 120, and carried in the forward direction X1 relative to the front wall 110, thus discharged into the external space 33 from the interface space 29. In this embodiment, four front openings 120 are provided such that the respective front openings 120 are arranged in the left and right directions Y.
In the rear wall 111, rear openings 121 are formed, each extending through the wall in the forward and backward directions X, i.e., in the thickness direction. Each rear opening 121 is formed to enable each wafer 24 to pass therethrough. Again, due to the wafer carrying robot 27, each wafer 24 is moved to pass through each corresponding rear opening 121, and carried in the backward direction X2 relative to the rear wall 111, thus inserted into the processing space 30 from the interface space 29. Alternatively, due to the wafer carrying robot 27, each wafer 24 is moved to pass through each corresponding rear opening 121, and carried in the forward direction X1 relative to the rear wall 111, thus inserted into the interface space 29 from the processing space 30. In this embodiment, two rear openings 121 are provided such that the respective rear openings 121 are arranged in the left and right directions Y.
The FOUP openers 26a to 26d are each configured to include a front face plate 101, an opener-side door 65, a FOUP supporting portion 31, and a door opening and closing mechanism 109. The FOUP openers 26a to 26d are arranged at an equal interval in the left and right directions Y. The FOUP openers 26a to 26d are located on the side in the forward direction X1 relative to the interface space forming portion 28. Each FOUP opener 26a to 26d also serves as a substrate container setting table for setting each corresponding FOUP, i.e., the substrate container. Accordingly, each FOUP opener 26a to 26d is adapted to work as the substrate container setting table for supporting at least each corresponding FOUP.
Each front face plate 101 constitutes a part of the front wall 110 of the interface space forming portion 28. The front face plate 101 of each FOUP opener 26a to 26d is a plate-like or frame-like member defining each front opening 120 described above therein, and constitutes the front wall 110 while being fixed to the remainder of the front wall 110. To the front opening 120 defined in each front face plate 101, the FOUP-side door 61 is provided such that it can pass therethrough in the forward and backward directions X.
Each opener-side door 65 is adapted to open and close each corresponding front opening 120. Each FOUP supporting portion 31 is located in the external space 33 on the side in the forward direction X1 relative to the interface space 29 and adapted to support each FOUP 25 from below. Each FOUP 25 is formed such that it can be located in an attaching position, which is set by each corresponding FOUP supporting portion 31, while being supported by the FOUP supporting portion 31. Hereinafter, the FOUPs supported correspondingly to the first to fourth FOUP openers 26a to 26d will be referred to as first to fourth FOUPs 25a to 25d, respectively. However, when it is not necessary to distinguish them as the first to fourth FOUPs 25a to 25d, they will be merely referred to as the FOUP(s) 25 or each FOUP 25.
In a state wherein the FOUP 25 is located in an attaching position, the opening 60a of the FOUP main body 60 is in contact with all the circumference of the opening portion 101a of the front face plate 101. In the state located in the attaching position, the FOUP door 61 is opposed from the external space 33 to the opener-side door 65 closing the front opening 120.
Each door opening and closing mechanism 109 is adapted to open and close each corresponding opener-side door 65 and FOUP-side door 61 while each corresponding FOUP 25 is located in the attaching position. When the door opening and closing mechanism 109 holds directly or indirectly the opener-side door 65 and the FOUP-side door 61, moves them from each opening 60a, 101a downward and in the backward direction X2, and then moves them to a release position set in the interface space 29, the FOUP internal space 34 and the interface space 29 are in communication with each other. Contrary, when the door opening and closing mechanism 109 attaches the opener-side door 65 and the FOUP-side door 61 to the openings 60a, 101a, respectively, the communication between the FOUP internal space 34 and the interface space 29 is shut off.
In the state wherein the FOUP 25 is located in the attaching position, the opening 60a of the FOUP main body 60 and the opening 101a of the front face plate 101 are in contact with each other over all of their peripheries. Accordingly, in the state wherein the FOUP 25 is located in the attaching position, even when the opener-side door 65 and the FOUP-side door 61 are removed from the respective openings 60a, 101a due to the door opening and closing mechanism 109, entering of the outside air into the FOUP internal space 34 and the interface space 29 can be prevented.
The respective FOUP openers 26a to 26d are arranged in the left and right directions Y, and configured to operate individually. FIG. 1 illustrates a state wherein the first FOUP opener 26a positioned on the most left side (in the drawing) opens the corresponding front opening 120. In addition, FIG. 1 shows a state wherein the FOUP openers 26b to 26d other than the first FOUP opener 26a close the corresponding front openings 120, respectively.
For each FOUP opener 26a to 26d, a movable region 108 is set, in which each door 61, 65 can be moved to the release position, due to the door opening and closing mechanism 109. The movable region 108 of each FOUP opener 26a to 26d is set in the interface space 29 and is defined near the front wall 110 in the interface space 29.
The wafer transfer robot 27, in this embodiment, is achieved by a horizontal articulated robot of a selective compliance assembly robot arm (SCARA) type. The robot 27 is located in the interface space 29 and is configured to include a robot arm 41, a horizontal drive means 42a, a vertical drive means 42b, a base 43, and a controller 44.
The robot arm 41 has a link structure including a plurality of link members 41a to 41c which are successively connected in a direction from a proximal end to a distal end. A robot hand 40 is provided at the distal end of the robot arm 41. The robot hand 40 has a holding structure which can hold the wafer 24. The holding of the wafer 24 is intended herein to express a state wherein the wafer 24 can be carried by using the hand 40. Accordingly, the wafer 24 may be mounted onto, sucked or held by, the hand 40.
The horizontal drive means 42a is adapted to drive the respective link members 41a to 41c of the robot arm 41 to be angularly displaced about joint axes A0 to A2, respectively. The robot arm 41 can drive the robot hand 40 by using the horizontal drive means, such that the robot hand 40 can be displaced in any position on a horizontal plane in a movable region, due to the relative angular displacement of each link member 41a to 41c. The horizontal drive means 42a includes a motor adapted to provide angular displacement in accordance with a signal to be given from the controller 44, and a power transmission mechanism adapted to transmit power of the motor to each link member. The motor and the power transmission mechanism are provided for each link member 41a to 41c.
The vertical drive means 42b is adapted to drive the robot arm 41 to be displaced in the upward and downward directions Z. The vertical drive means 42b includes a fixed portion and a movable portion, wherein the movable portion can be angularly displaced in the upward and downward directions relative to the fixed portion. The vertical drive means 42b further includes a motor adapted to provide angular displacement in accordance with a signal to be provided from the controller 44, and a power transmission mechanism which converts power of the motor into power for direct advance of the movable portion relative to the fixed portion and transmit the power to the movable portion. The fixed portion of the vertical drive means 42b is supported by the base 43. The base 43 is adapted to support the vertical drive means 42b and is fixed to the interface space forming portion 28.
The controller 44 is adapted to control the horizontal drive means 42a and the vertical drive means 42b in accordance with a transfer instruction to be inputted from a predetermined operational program or from a user and move the robot hand 40 to a preset position. The controller 44 includes a memory circuit for storing a predetermined program, an operational circuit for calculating the operational program stored in the memory circuit, and an output means adapted to provide signals expressing results of the calculation given from the operational circuit to the horizontal drive means 42a and the vertical drive means 42b. For example, the memory circuit can be achieved by a random access memory (RAM) and/or a read only memory (ROM), and the operational circuit can be realized by a central processing unit (CPU).
Due to fixation of a proximal end of the robot arm 41 to the movable portion of the vertical drive means 42b, the controller 44 can drive and displace the robot hand 40 of the robot arm 41 to any position in the forward and backward directions X, left and right directions Y and upward and downward directions Z, in a movable range. In addition, due to the control of the horizontal drive means 42a and the vertical drive means 42b by virtue of the controller 44, the wafer 24 held by the robot hand 40 can be transferred. Thus, the wafer 24 can be transferred, along a predetermined route, between each FOUP 25 and the wafer processing apparatus 22.
The robot hand 40 passes through the front opening 120 and is advanced into the FOUP internal space 34 while the corresponding opener 26a to 26d opens the FOUP-side door 61 so as to hold a wafer 24 contained in the FOUP 25. Thereafter, the robot hand 40 is moved through the interface space 29 while holding the wafer 24, passes through the rear opening 121, and is advanced into the processing space 33 of the semiconductor processing apparatus 22 so as to place the held wafer 24 onto a preset wafer holding position 107. Alternatively, the robot hand 40 passes through the rear opening 121, and is advanced into the processing space 30 so as to hold the wafer 24 held in the wafer holding position 107. Subsequently, the robot hand 40 is moved through the interface space 29 while holding the wafer 24, passes through the front opening 120, and is advanced into the FOUP internal space 34 so as to transfer the held wafer 24 to a position for containing it in the FOUP 25.
In this embodiment, since the four FOUP openers 26a to 26d are provided, the robot hand 40 is set to be able to take out and put in each wafer 24 relative to each FOUP 25 supported by each FOUP supporting portion 31 of each opener 26. The robot hand 40 can also carry the wafer 24 taken out from the FOUP 25 to a holding position set in the aligner 56 as well as can carry the wafer 24 taken out from the holding position of the aligner 56 into the wafer processing apparatus 22.
The aligner 56 is located in the interface space 29 and positioned more right than the fourth FOUP opener 26d which is positioned on the most right side (in the drawing) of the plurality of FOUP openers 26a to 26d. The aligner 56 has a holding portion for holding each wafer 24, and is configured to rotate the wafer 24 held by the holding portion so as to align a notch or ori-flat (orientation flat) formed in the wafer 24 with a predetermined direction. Accordingly, when the so-aligned wafer 24 is held by the robot hand 40, the wafer 24 can be located in the processing apparatus 22 with its orientation adjusted. In this way, the processing apparatus 22 can provide a predetermined process with the orientation of each wafer 24 being properly controlled.
A central position of each wafer 24 held by the aligner 56 is set at approximately the center of the interface space 29 in the forward and backward directions X. The aligner 56 is located in a position that does not interfere with the travel of the robot hand 40 to each FOUP opener 26. As such, in this embodiment, the aligner 56 is positioned more right than the fourth FOUP opener 26d which is positioned on the most right side.
As described above, the wafer transfer robot 27 is located in the interface space 29, and serves to mainly move the robot hand 40 in the interface space 29. The wafer transfer robot 27 is configured to make the robot hand 40 pass through the front opening 120 so as to enable each wafer 24 to be taken out from the FOUP internal space 34 as well as to enable the wafer 24 to be placed into the FOUP internal space 34. The wafer transfer robot 27 is also configured to have the robot hand pass through the rear opening 121 so as to enable each wafer 24 to be taken out from the wafer holding position 107 of the processing space 30 as well as to enable the wafer 24 to be placed in the wafer holding position 107 of the processing space 30. Furthermore, the wafer transfer robot 27 is configured such that it can pass through the four front opening 120 respectively provided in the four FOUP openers 26a to 26d.
Accordingly, the wafer transfer robot 27 is configured such that it can carry the robot hand 40 in the forward and backward directions X over a distance greater than the length B in the forward and backward directions of the interface space 29. The wafer transfer robot 27 is configured to enable the robot hand 40 to be moved in the left and right directions Y such that it can access the FOUP 25 supported by each FOUP opener 26a to 26d. Moreover, in this embodiment, the wafer transfer robot 27 is configured to enable the robot hand 40 to be moved in the left and right directions Y such that it can access the aligner 56.
The base 43 is fixed to the interface space forming portion 28, at which the predetermined pivot axis A0 is set. The pivot axis A0, in this embodiment, extends in the vertical direction, and is positioned near the rear wall 111 in the interface space 29. The pivot axis A0 is defined in a central position between the most left FOUP opener 26a and the most right FOUP opener 26d in the left and right directions Y.
The robot arm 41 is configured to have a link structure in which the plurality of link members 41a to 41c are connected with one another. A proximal end the robot arm 41 is defined at one end of an arrangement in which the plurality of link member 41a to 41c are successively arranged, and a distal end thereof is defined at the other end of the arrangement. The proximal end of the robot arm 41 is fixed to the movable portion of the vertical drive means 42b, and is connected with the base 43 via the vertical drive means 42b. At the distal end of the robot arm 41, the robot hand 40 is provided. The robot arm 41 is configured such that the proximal end can be angularly displaced about the pivot axis A0.
Specifically, the robot arm 41 includes the first to third link members 41a, 41b, 41c. Each of the link members 41a to 41c is formed into an elongated shape extending in its longitudinal direction. The first link member 41a is connected, at its one end 45a in its longitudinal direction, with the movable portion of the vertical drive means 42b. The first link member 41a is configured such that it can be angularly displaced about the pivot axis A0 relative to the movable portion of the vertical drive means 42b. At the other end 46a in the longitudinal direction of the first link member 41a, the first joint axis A1 is set, which is parallel with the pivot axis A0. Accordingly, the first joint axis A1 is moved along with movement of the first link member 41a. The longitudinal direction of the first link member 41a is defined by a line connecting the pivot axis A0 with the first joint axis A1.
The second link member 41b is connected, at its one end 45b in its longitudinal direction, with the other end 46a in the longitudinal direction of the first link member 41. The second link member 41b is configured such that it can be angularly displaced about the first joint axis A1 relative to the first link member 41a. At the other end 46b in the longitudinal direction of the second link member 41b, the second joint axis A2 is set, which is parallel with the pivot axis A0. Accordingly, the second joint axis A2 is moved along with movement of the second link member 41b. The longitudinal direction of the second link member 41b is defined by a line connecting the first joint axis A1 with the second joint axis A2.
The third link member 41c is connected, at its one end 45c in its longitudinal direction, with the other end 46b in the longitudinal direction of the second link member 41b. The third link member 41c is configured such that it can be angularly displaced about the second joint axis A2 relative to the second link member 41b. At the other end 46c in the longitudinal direction of the third link member 41c, the robot hand 40 is provided. Accordingly, the robot hand 40 is moved along with movement of the third link member 41c. The longitudinal direction of the third link member 41c is defined by a line connecting the second joint axis A2 with the central position A3 of the wafer 24 which is held by the robot hand 40.
In this manner, the robot arm has the link structure comprising the three link members 41a to 41c. The horizontal drive means 42a includes first to third motors. The first motor is adapted to rotate and drive the first link member 41a about the pivot axis A0. The second motor is adapted to rotate and drive the second link member 41b about the first joint axis A1. The third driving source is a motor which serves to rotate and drive the third link member 41c about the second joint axis A2. As such, the horizontal drive means 42a can angularly displace the first to third link members 41a to 41c, individually, about the corresponding angular displacement axes A0 to A2, respectively.
As shown in FIG. 2, the second link member 41b is located above the first link member 41a. Thus, the second link member 41b can be moved in a position which is overlapped with the first link member 41a in the upward and downward directions Z, thereby to prevent interference of the first link member 41a with the second link member 41b. Similarly, the third link member 41c is located above the second link member 41b. Accordingly, the third link member 41c can be moved in a position which is overlapped with the second link member 41b, as such preventing each interference of the first link member 41a to the third link member 41c.
FIG. 3 is a plan view showing the wafer transfer apparatus 23, which is simplified, for explaining a length of each link member 41a to 41c. Due to the angular displacement of each link member 41a to 41c about each corresponding angular displacement axis A0 to A2, the robot arm 41 can be transformed into its minimum state. A minimum transformed state means a transformed state wherein a distance, defined from the pivot axis A0 to an arm portion, which extends in the horizontal direction and is the farthest in the radial direction from the pivot axis A0, is the minimum. More specifically, the minimum transformed state means a transformed state wherein a distance, from the pivot axis A0 to an arm portion or a portion of the wafer 24, which is the farthest in the radial direction from the pivot axis A0, with the wafer 24 being held by the robot arm 41, is the minimum.
Hereinafter, in the minimum transformed state, the distance, from the pivot axis A0 to the arm portion or wafer portion, which is farthest in the radial direction relative to the pivot axis A0, will be referred to as “the minimum rotation radius R of the robot.” The length between the front wall 110 and the rear wall 111 constituting the interface space 29 in the forward and backward directions X will be referred to as “the length B of the interface space in the forward and backward directions.”
In this embodiment, the minimum rotation radius R of the robot is longer than a half (½) of the length B of the interface space in the forward and backward directions. In addition, the minimum rotation radius R is set to be equal to or less than a subtracted value (B−L0) obtained by subtracting a distance L0 in the forward and backward directions from the rear wall 111 to the pivot axis A0, from the length B of the interface space in the forward and backward directions (i.e., B/2<R≤B−L0). Accordingly, even when the robot arm 41 is transformed into its minimum transformed state, an amount of angular displacement of the robot arm 41 is restricted such that it can be angularly displaced about the pivot axis A0 within an allowable angular displacement range that can prevent interference of the robot arm 41 with the rear wall 111. In this embodiment, the allowable angular displacement range is set to be smaller than 360 degrees, for example, about 180 degrees, about the pivot axis A0. Thus, interference of the robot 27, which is maintained in the minimum transformed state, with the front wall 110 as well as with the rear wall 111 can be prevented, as long as it is operated within the allowable angular displacement range.
The distance L0 in the forward and backward directions from the rear wall 111 to the pivot axis A0 is set at, at least, a value smaller than ½ of the length B in the forward and backward directions of the interface space (i.e., L0<B/2). In this embodiment, the distance L0 in the forward and backward directions from the rear wall 111 to the pivot axis A0 is set to be less than ⅕ of the length B in the forward and backward directions of the interface space (i.e., L0<B/5). Furthermore, the distance L0 in the forward and backward directions from the rear wall 111 to the pivot axis A0 is set to be greater by a predetermined gap length Q than a radius T2 of an outer circumference of the first link member 41a about the pivot axis A0, over the whole area wherein the outer circumference of the first link member 41a is on the opposite side of the first joint axis A1 with respect to the pivot axis (i.e., L0=T2+Q). The predetermined gap length Q is sufficient for preventing the interference that would be otherwise caused by the robot, and in this embodiment, it is set at 30 mm.
More specifically, in this embodiment, the minimum rotation radius R of the robot is set to exceed ½ of an allowable length (B−L0−E) to be obtained by subtracting the distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis and a length E of a robot invasion restricted region, which is set for each FOUP opener 26 and is measured from the front wall 110, in the forward and backward directions X, on the rear wall side, from the length B in the forward and backward directions of the interface space, as well as set to be equal to or less than the allowable length (B−L0−E) (i.e., ((B−L0−E)/2<R≤B−L0−E). Thus, interference of the robot 27, which is maintained in its minimum transformed state, with each FOUP opener 26 can be prevented.
A distance from the pivot axis A0 to an end of the first link member 41a, which is the farthest in the axial direction toward the first joint axis A1 relative to the pivot axis A0, is referred to as a first link distance L1. The first link distance L1 is set to exceed ½ of the allowable length (B−L0−E) described above and to be equal to or less than the allowable length (B−L0−E) (i.e., ((B−L0−E)/2<L1≤B−L0−E). The first link member 41a is formed such that a radius T1 of the outer circumference of the first link member 41a about the first joint axis A1 is equal to or less than a value to be obtained by subtracting the distance L11 (first axis-to-axis distance) between the pivot axis A0 and the first joint axis A1, from the allowable length (B−L0−E), over the whole area wherein the outer circumference of the first link member 41a is on the opposite side of the pivot axis A0 with respect to the first joint axis A1 (i.e., T1≤B−L0−E−L11).
The first link member 41a is formed such that the radius T2 of the outer circumference of the first link member 41a about the pivot axis A0 is less than the distance L0 in the forward and backward directions from the rear wall 111 to the pivot axis A0, over the whole area wherein the outer circumference of the first link member 41a is on the opposite side of the first joint axis A1 with respect to the pivot axis A0 (i.e., T2<L0). Consequently, even in the case where the first link member 41a is angularly displaced by 90 degrees, from a state wherein the longitudinal direction of the first link member 41a is coincident with the forward and backward directions X, in one of the circumferential directions about the pivot axis A0, or alternatively, even in the case where it is angularly displaced by 90 degrees from the above state in the other circumferential direction about the pivot axis A0, interference of the first link member 41a with the rear wall 111 can be prevented.
In this embodiment, the first axis-to-axis distance L11 between the pivot axis A0 and the first joint axis A1 and the second axis-to-axis distance L12 between the first joint axis A1 and the second joint axis A2 are set to be the same. As used herein, the term “the same” is intended to imply a state that is substantially the same, as such referring to both the same and substantially the same states. In this embodiment, a distance from the second joint axis A2 to an end of the second link member 41b, which is the farthest in the direction toward the first joint axis A1 relative to the second joint axis A2, is referred to as a second link distance L2. The second link distance L2 is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E) (i.e., (B−L0−E)/2<L2≤B−L0−E).
The second link member 41b is formed such that a radius T3 of the outer circumference of the second link member 41b about the first joint axis A1 is equal to or less than a value (B−L0−E−L11) to be obtained by subtracting the first axis-to-axis distance L11 from the allowable length (B−L0−E), over the whole area wherein the outer circumference of the second link member 41b is on the opposite side of the second joint axis A2 with respect to the first joint axis A1 (i.e., T3≤B−L0−E−L11). The second link member 41b is formed such that a radius T4 of the outer circumference of the second link member 41b about the second joint axis A2 is smaller than the distance L0 in the forward and backward directions from the rear wall 111 to the pivot axis A0, over the whole area wherein the outer circumference of the second link member 41b is on the opposite side of the first joint axis A1 with respect to the second joint axis A2 (i.e., T4<L0).
In this embodiment, in a state wherein the robot hand 40 holds the wafer 24, a distance from the second joint axis A2 to an end of the third link member 41c or a wafer portion, which is the farthest from the second joint axis A2 in the radial direction with respect to the second joint axis A2, is referred to as a third link distance L3. The third link distance L3 is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E) (i.e., ((B−L0−E)/2<L1≤B−L0−E). The third link member 41c is formed such that a radius T5 of the outer circumference of the third link member 41c about the second joint axis A2 is smaller than the distance L0 in the forward and backward directions from the rear wall 111 to the pivot axis A0, over the whole area wherein the outer circumference of the third link member 41c is on the opposite side of the wafer holding central position A3 with respect to the second joint axis A2 (i.e., T5<L0).
In this embodiment, the first link distance L1 and the second link distance L2 are set to be equal to the allowable length (B−L0−E). The first axis-to-axis distance L11 and the second axis-to-axis distance L12 are set to be the same distance that enables the wafer 24 supported by each FOUP opener 26a to 26d to be taken out therefrom. In this embodiment, the third link distance L3 is also set to be the same as the allowable length (B−L0−E). As shown in FIG. 3, the robot hand 40 is set such that it can hold the wafer 24 in a state wherein the first link member 41a and the second link member 41b are extended in a straight line.
In the case where the third link member 41 is located in a position to hold the wafer 24 contained in the first FOUP 25a supported by the first FOUP opener 26a, a distance in the forward and backward directions X from the second joint axis A2 to the pivot axis A0 is designated by S1. A distance in the left and right directions from the second joint axis A2 to the pivot axis A0 is designated by S2. In addition, a distance obtained by summing up the first axis-to-axis distance L11 and the second axis-to-axis distance L12 is expressed by (L11+L12).
In this embodiment, each axis-to-axis distance L11, L12 is set to satisfy the following relation ship: (L11+L12)=(S1 2+S2 2)0.5. Because each axis-to-axis distance L11, L12 is set to be equal, each axis-to-axis distance L11, L12 is defined as ((S1 2+S2 2)/4)0.5. Thus, as shown in FIG. 3, the robot hand 40 can reach the wafer 24 contained in the first FOUP 25a while the longitudinal direction of the first link member 41a and the longitudinal direction of the second link member 41b are arranged to constitute together a straight line. Since the pivot axis A0 is located in a central position relative to the FOUP openers 26a to 26d, the robot hand 40 can also reach the wafer 24 contained in the fourth FOUP 25d while the longitudinal direction of the first link member 41a and the longitudinal direction of the second link member 41b are arranged to constitute together a straight line. In this way, since the first link member 41a and the second link member 41b can take a form to constitute together a straight line, each of the first axis-to-axis distance L11 and second axis-to-axis distance L12 can be significantly reduced.
In addition, the robot hand 40 may be configured to reach the wafer 24 contained in the first FOUP 25a or fourth FOUP 25d while the third link member 41c is inclined to the forward and backward directions X. As such, each of the first axis-to-axis distance L11 and second axis-to-axis distance L12 can be further reduced.
In this embodiment, each space in the left and right directions Y between the wafer central positions A3 of the wafers 24 contained in the first FOUP 25a to fourth FOUP 25d is designated by W. In addition, in the state wherein the robot hand 40 reaches the wafer 24 contained in the first FOUP 25a, an angle at which the third link member 41 is inclined relative to the forward and backward directions X is expressed by θ. In this state, a distance from the wafer central position A3 to the second joint axis A2 is designated by H. Also in this state, a value (S1−L11) to be obtained by subtracting the first axis-to-axis distance L11 from the distance S1 in the forward and backward directions from the second joint axis A2 to the pivot axis A0 is expressed by C. Using these expressions, the first axis-to-axis distance L11 can be expressed as follows.
(2·L11)2≥(L11+C)2+(1.5·W−H·Sin θ)2   (1)
For example, in the case where C=0, θ=0, and W=505 mm, each axis-to-axis distance L11, L12 is equal to or greater than 437.3 mm. Now, assume that the length E of the robot invasion restricted region in the forward and backward directions X, which is set for each FOUP opener 26 and is measured from the front wall 110 on the rear wall side, is 100 mm. In addition, assume that the distance L0 in the forward and backward directions from the rear wall 111 to the pivot axis A0 is 65 mm, and that a distance L10 (R−L11) to be obtained by subtracting the first axis-to-axis distance L11 from the minimum rotation radius R of the robot is 50 mm. The resultant length B in the forward and backward directions of the interface space is equal to or greater than 652.3 mm (i.e., B≥L11+E+L0+L10). In other words, if the length B in the forward and backward directions of the interface space is 652.3 mm, the wafer 24 contained in each of the first and fourth FOUPs 25a, 25d supported by each corresponding FOUP opener 26a, 26d can be taken out, by setting each axis-to-axis distance L11, L12 at 437.3 mm. Of course, the wafer 24 contained in each of the second and third FOUPs 25b, 25c, which are located nearer to the pivot axis A0 than the first and fourth FOUPs 25a, 25d, can also be taken out.
In this embodiment, the length B in the forward and backward directions of the interface space is 694 mm. The minimum rotation radius R of the robot is set at 485 mm, and the first axis-to-axis distance L11 and the second axis-to-axis distance L12 are each set at 425 mm. In the state wherein the wafer 24 is held by the robot hand 40, the distance H from the second joint axis A2 to the wafer central position A3 is set at 320 mm. In addition, the third link distance L3 is set at 470 mm.
For example, if θ=5°, H=330 mm, and the other conditions are the same as described above, each axis-to-axis L11, L12 to be obtained is equal to or greater than 420.4 mm, and the length B in the forward and backward directions of the interface space is to be equal to or greater than 635.4 mm. Alternatively, if C=10 mm, θ=5°, H=330 mm, and the other conditions are the same as described above, each axis-to-axis L11, L12 to be obtained is equal to or greater than 417.5 mm and the length B in the forward and backward directions of the interface space is to be equal to or greater than 632.5 mm.
By inclining the longitudinal direction of the third link member 41c relative to the forward and backward directions X in the state wherein the robot hand 40 reaches the wafer 24, the wafer contained in each FOUP 25a to 25d can be taken out without unduely extending the first link member 41a and the second link member 41b.
In the embodiment described above, due to the pivot axis A0 arranged near the rear wall 111 and due to the minimum rotation radius R of the robot arm 41, which is set to exceed ½ of the subtracted value (B−L0) and to be equal to or less than the subtracted value (B−L0), a gap can be securely provided between the robot arm 41, which is in the minimum transformed state, and the front wall 101, as such preventing interference of the robot arm 41 with the front wall 101. Accordingly, the robot hand 40 can be located, on both sides in the left and right directions Y, with respect to a reference line P0 extending in the forward and backward directions X and including the pivot axis A0.
In addition, since the robot arm 41 can be operated in an operational range excluding such a range that would potentially interfere with the rear wall 111, the interference of the robot with the rear wall 111 can also be prevented. Accordingly, while the length B in the forward and backward directions of the read space is relatively small, each wafer 24 contained in a plurality of, for example, four, FOUPs, i.e., the first to fourth FOUP 25a to 25d, supported by the four FOUP openers 26a to 26d, can be taken out, by using the robot arm 41 having the link structure comprising the three link members 41a to 41c.
In this embodiment, by setting the minimum rotation radius R of the robot to be equal to or less than the allowable length (B−L0−E), even though the robot arm 41 taking its minimum transferred state approaches nearest relative to the front wall 101, entering of a part of the robot arm 41 into the robot invasion restricted region E of each FOUP opener 26a to 26d can be prevented. Thus, interference between the robot arm 41 with each FOUP opener 26a to 26d can be prevented, regardless of a movable range or state of each FOUP opener 26a to 26d.
The first to third link distances L1 to L3 are set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E). As a result, the length of each link member 41a to 41c can be significantly enlarged. Therefore, even in the case where the length B in the forward and backward directions of the interface space is relatively small, the robot hand 40 can be extended to a position which is significantly spaced away from the pivot axis A0 on both sides in the left and right directions Y. Thus, even in the case where the number of the FOUP openers 26 is quite increased, the wafer 24 can be carried with the simple link structure as described above. In this embodiment, the first to third link distances L1 to L3 are each set to be the same as the allowable length (B−L0−E). Consequently, interference of the robot arm 41 with the front wall 110 as well as with each FOUP opener 26 can be prevented, and the length of each link member 41a to 41c can be increased to the maximum.
With the increase of the link length of each link member 41a to 41c of the robot arm 41, the movable range of the robot arm 41 can be enlarged with respect to the left and right directions Y. Accordingly, as compared with the second related art, the running means which is adapted to drive the robot 27 to run in the left and right directions Y can be excluded, thus eliminating the direct acting mechanism. As such, occurrence of dust to be associated with the direct acting mechanism can be prevented, and hence degradation of cleanliness in the interface space 29 due to such dust can be avoided. Additionally, the elimination of the running means can ensure downsizing and weight reduction of the robot 27.
In addition, with the increase of the link length of each link member 41a to 41c of the robot arm 41, the robot hand can reach a predetermined position in a wider range. Furthermore, increase of the number of the link members can be controlled, as such simplifying the structure of the robot 27. In addition, redundancy of the robot 27 can be reduced, thus simplifying teaching works concerning control and transformed states for the robot arm 41. Therefore, possibility of collision of the robot arm 41 with the interface space forming portion 28 as well as with each FOUP opener 26 can be reduced.
As described above, in this embodiment, scattering of dust can be suppressed due to exclusion of the running means, as well as, the interference of the robot with the interior of the wafer transfer apparatus 23 can be prevented, as such providing the wafer transfer apparatus 23 comprising the wafer transfer robot 23 which has a significantly simplified structure and can be readily controlled. In addition, the number of the FOUP openers 26 can be increased without enlarging the length B in the forward and backward directions of the interface space 29. With the increase of the number of the FOUP openers 26, carrying, attaching and detaching operations of each FOUP 25 relative to the wafer transfer apparatus 23 and a transfer operation of each wafer contained in each FOUP 25 held by the wafer transfer apparatus 23 can be performed in parallel, thereby to enhance the working efficiency.
Because the length B in the forward and backward directions of the interface space 29 can be reduced, a space for installment of the wafer transfer apparatus 23 can be downsized. Therefore, restrictions regarding the installment space can be lightened, thus in turn facilitating installment of the wafer processing equipment 20. With reduction of the length B in the forward and backward directions of the interface space 29, as compared with a case in which the length B in the forward and backward directions of the interface space 29 is longer, the cleanliness in the interface space 29 can be enhanced as well as the yield can be improved, by using the interface space controller 100 provided with the same function.
In this embodiment, the length B in the forward and backward directions of the interface space can be reduced by designing the robot hand 40 such that the longitudinal direction of the third link member 41c can be inclined relative to the forward and backward directions X in the state wherein the robot hand 40 reaches the corresponding wafer 24. Thus, even in the case where the first and second axis-to-axis distances L11, L12 are set to be shorter in order to prevent interference of the robot hand 40 with the interface space forming portion 28 and/or each FOUP opener 26, holding of the wafer 24, which is held by the FOUP 25 supported by each corresponding FOUP opener, can be performed with ease.
Since the length of each link member 41a to 41c can be increased, as compared with a case in which the length of each link member 41a to 41c is shorter, a transfer speed of the robot hand can be enhanced, even with the angular speed upon angular displacement about the corresponding pivot axes A0 to A2 being the same. By driving both of the first link member 41a and second link member 41b, force of inertia can be reduced. Due to this function, the transfer speed of the robot hand 40 can also be enhanced. With such enhancement of the transfer speed of the robot hand 40, the time required for carrying each wafer 24 can be reduced, thereby to enhance the working efficiency.
FIG. 4 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 contained in the first FOUP 25a to the aligner 56. The carrying operation proceeds in the order of from FIG. 4(1) to FIG. 4(7). The carrying operation shown in FIG. 4 is stored in the controller 44, with respect to the transfer route and passing through points of the robot hand 40. The controller 44 serves to control the horizontal drive means 42a and the vertical drive means 42b by executing a predetermined operational program, such that the robot hand 40 passes through a plurality of points along the transfer route. Consequently, the wafer transfer robot 27 can carry each wafer 24 contained in the first FOUP 25a to the aligner 56.
First, the robot arm 41 is moved vertically up to the wafer 24 to be held, and then transformed such that the first link member 41a and the second link member 41b are extended in a straight line, as shown in FIG. 4(1), so as to hold the wafer 24 contained in the first FOUP 25a by using the hand 40. Next, as shown in FIG. 4(2), the first link member 41a and the second link member 41b are angularly displaced about the corresponding angular displacement axes A0, A1, respectively, so as to move the third link member 41c in the backward direction X2 into the interface space 29 together with the wafer 24.
Subsequently, the first link member 41a and the second link member 41b are further angularly displaced about the corresponding angular displacement axes A0, A1, respectively, so as to move the third link member 41c in parallel to the left and right directions Y, toward the aligner 56 located in a position far away from the first FOUP opener 26a in the left and right directions Y. At this time, because the first axis-to-axis distance L11 and the second axis-to-axis distance L12 are set to be equal, as shown in FIGS. 4(3) and 4(4), the second link member 41b is angularly displaced about the first joint axis A1, in an amount of angular displacement per unit time, which is twice the amount of angular displacement per unit time, relative to the angular displacement of the first link member 41a about the pivot axis A0. In this manner, the third link member 41c can be moved in parallel to the left and right directions Y, without angularly displacing the third link member 41c about the second joint axis A2, and without altering the attitude of the third link member 41c.
In the case of locating the third link member 41c on the aligner 56 with its attitude altered, as shown in FIGS. 4(5) to 4(7), the wafer 24 can be located in a holding position set in the aligner 56, by angularly displacing the first to third link members 41a to 41c about the corresponding angular displacement axes A0 to A2, respectively. In order to enable the aligner 56 to hold the wafer 24, after the robot arm 41 has held the wafer 24 and by the time it carries the wafer 24 to the aligner 56 so as to make the aligner 56 hold the wafer 24, the position in the upward and downward directions of the robot arm 41 is adjusted by the vertical drive means 42b. In this manner, the wafer transfer robot 27 can carry the wafer 24, which has been contained in the first FOUP 25a, to the aligner 56.
FIG. 5 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 supported by the aligner 56 into the processing space 30. The carrying operation proceeds in the order of from FIG. 5(1) to FIG. 5(7). Similar to the case shown in FIG. 4, the wafer transfer robot 27 can carry the wafer 24 held by the aligner 56 into the processing space 30, by controlling the horizontal drive means 42a and the vertical drive means 42b in accordance with the predetermined program.
In the case of carrying the wafer 24 into the processing space 30, the hand 40 should be directed in the backward direction X2. Accordingly, as shown in FIG. 5(1), from a state wherein the second joint axis A2 has been moved in the backward direction X2 in the interface space 29 while the third link member 41c holding the wafer 24, the third link member 41c is angularly displaced about the second joint axis A2 as well as the second joint axis A2 is moved in the forward direction X1 in the interface space 29. In the example shown in FIG. 5, after the third link member 41c has been angularly displaced by about 120 degrees, the second joint axis A2 is moved in the forward direction X1 in the interface space 29, and the third link member 41c is then further angularly displaced.
Thus, the orientation of the third link member 41a can be altered by 180 degrees in the interface space 29 without any interference of the third link member 41a with the front wall 110, rear wall 111 and each FOUP opener 26. Accordingly, as shown in FIGS. 5(2) to 5(6), after the orientation of the third link member 41c has been altered, as shown in FIG. 5(7), the wafer 24 can be carried into the processing space 30. In order to enable the robot arm 41 to be moved into the processing space 30 after it has held the wafer 24 and by the time it is moved toward the processing space 30, the position in the upward and downward directions of the robot arm 41 is controlled by the vertical drive means 42b. In this way, the wafer 20 transfer robot 27 can carry the wafer 24, which has been held by the aligner 56, into the processing space 30.
FIG. 6 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 located in the processing space 30 to the first FOUP 25a. Similar to the case shown in FIG. 4, the controller controls the horizontal drive means 42a and the vertical drive means 42b in accordance with the predetermined program so that the wafer transfer robot 27 can carry the wafer 24 contained in the processing space 30 to the first FOUP 25a.
First, the robot arm 41 is moved upward and downward to a position of the wafer 24 to be held as well as the robot arm 41 is transformed, as shown in FIG. 6(1), so as to hold the wafer 24 in the processing space 30. Subsequently, as shown in FIG. 6(2), the first link member 41a and the second link member 41b are angularly displaced about the corresponding angular displacement axes A0, A1, respectively, and the third link member 41c is moved in the forward direction X1, so as to move the third link member 41c and the wafer 24 into the interior of the interface space 29. Thereafter, as shown in FIGS. 6(3) and 6(4), while the position of the second joint axis A2 is adjusted in order to prevent interference due to the third link member 41c, the third link member 41c is rotated about the second joint axis A2 to alter its attitude, thus changing the orientation of the third link member 41c. Next, as shown in FIGS. 6(4) and 6(5), the first link member 41a and the second link member 41b are angularly displaced about the corresponding angular displacement axes A0, A1, respectively, so as to move the third link member 41c in parallel to the left and right directions Y. Thereafter, as shown in FIG. 6(6), a portion on the robot hand side of the third link member 41c is positioned to face the front opening as well as maintained in an attitude which is substantially parallel to the forward and backward directions X. In this state, the position of the hand 40 in the upward and backward directions is adjusted to enable the wafer to be contained in the FOUP. As such, the wafer is contained in the space in the FOUP 25 as shown in FIG. 6(7).
FIG. 7 is a diagram showing a state in which the wafer 24 is located in its receiving and transferring positions of the embodiment according to the present invention. FIGS. 7(1) to 7(4) depict states wherein the wafers 24 contained in the first to fourth FOUPs 25a to 25d are held, respectively. FIG. 7(5) shows a state in which the wafer 24 is located at the aligner 56. FIGS. 7(6) and 7(7) show states wherein the wafer 24 is located in positions set in the processing space 30, respectively. As illustrated, this embodiment can be configured to include the robot arm having the three-link type structure so as to enable receiving and transferring of the wafers 24 in the FOUPs 25 supported by the four FOUP openers 26a to 26d, respectively.
While, this embodiment comprises the single third link member 41c provided in the robot hand 40, it is not limited to this aspect. Namely, in the present invention, it is also contemplated that a plurality of, for example, two, third link members 41c may be provided.
For example, in the case where a plurality of third link members 41c are provided, these third link members 41c are provided to be arranged in the upward and downward directions Z, respectively. Each third link member 41c is connected, at its one end 45c in the longitudinal direction, with the other end 46b in the longitudinal direction of the second link member 41b. Each third link member 41c is configured such that it can be angularly displaced, individually, about the second joint axis A2 relative to the second link member 41b. In addition, each third link member 41c is provided with the robot hand 40 formed at the other end thereof in the longitudinal direction. Due to arrangement of each third link member 41c in a region different in the upward and downward directions, even though they are angularly displaced, individually, about the second joint axis A2, mutual interference between the third link members 41c can be prevented. In addition, due to such provision of the plurality of third link members 41c, the number of sheets of the wafers that can be carried at a time can be increased, as such enhancing the working efficiency. It should be appreciated that the number of the third link members is not limited to one or two but three or more third link members 41c may be provided. It is preferred that each third link member 41c is formed to have the same shape.
FIG. 8 is a plan view showing the wafer transfer apparatus 23 including three FOUP openers 26. FIG. 9 is a plan view showing the wafer transfer apparatus 23 including two FOUP openers 26. In FIGS. 8 and 9, one example of additional working forms of a robot 27 is depicted by chain double-dashed lines. The wafer transfer robot 27 shown in FIGS. 8 and 9 is configured similarly to the wafer transfer robot 27 used in the wafer transfer apparatus 23 including the four FOUP openers 26. Accordingly, the wafer transfer robot 27 can carry each wafer without causing any interference with the front wall 110 and the rear wall 111, also in the case of including the two or three FOUP openers 26. As such, there is no need for changing the configuration of the robot depending on the number of the FOUP openers 26, thereby to enhance applicability for general purposes.
FIG. 10 is a plan view showing a wafer transfer apparatus 23A which is a second embodiment of the present invention, and is somewhat simplified. The wafer transfer apparatus 23A of the second embodiment includes portions similar to those in the wafer transfer apparatus 23 of the first embodiment described above. Thus, such like parts are not described here, and designated by like reference numerals. Specifically, the wafer transfer apparatus 23A of the second embodiment is different from the first embodiment in the length of the wafer transfer robot 27, but is the same as the first embodiment in regard to the other configuration.
The first embodiment is configured such that the robot hand 40 reaches the wafer 24 contained in the first FOUP 25a with the first link member 41a and the second link 41b extended together in a straight line. However, the present invention is not limited to this aspect. Namely, in the second embodiment, the robot hand 40 reaches the wafer 24 contained in the first FOUP 25a with the longitudinal direction of the link member 41a and the longitudinal direction of the second link member 41b defining a predetermined angle α.
In the second embodiment, angular positions of the first link member 41a and the second link member 41b are respectively set such that the robot hand 40 reaches the wafer 24, with the longitudinal direction of the third link member 41c being coincident with the forward and backward directions X. Namely, in the second embodiment, the hand 40 reaches the wafer 24, with the longitudinal direction of the third link member 41c being coincident with the forward and backward directions X, and the third link member 41c is then moved in parallel to the backward direction X2, so as to carry the wafer 24 into the interface space 29. Thus, even in the case where a gap between the wafer held by the hand 40 and the front opening 101a as well as the opening 60a of the FOUP main body 60 is relatively small, collision of the wafer 24 with each opening 101a, 60a can be prevented.
Also in the second embodiment, by locating the pivot axis A0 near the rear wall 111 and by setting the minimum rotation radius R of the robot arm 41 to exceed ½ of the subtracted value (B−L0) described above and to be equal to or less than the subtracted value (B−L0), the same effect as in the first embodiment can be obtained.
FIG. 11 is a plan view showing a wafer transfer apparatus 23B which is a third embodiment of the present invention, and is somewhat simplified. In FIG. 11, one example of additional working forms of a robot 27 is depicted by chain double-dashed lines. The wafer transfer apparatus 23B of the third embodiment includes portions similar to those in the wafer transfer apparatus 23 of the first embodiment described above. Thus such like parts are not described here, and designated by like reference numerals. Specifically, the wafer transfer apparatus 23B of the third embodiment is different from the first embodiment in the length of the wafer transfer robot 27, but is the same as the first embodiment in regard to the other configuration.
In the first embodiment, the first axis-to-axis distance L11 and the second axis-to-axis distance L12 are of the same length. However, this invention is not limited to this aspect. In the third embodiment, there is some difference in the length between the first axis-to-axis distance L11 and the second axis-to-axis distance L12, and the first axis-to-axis distance L11 is provided to be slightly longer than the second axis-to-axis distance L12. In this case, as shown in FIG. 11, when angularly displacing the second link member 41b about the first joint axis A1, in an amount of angular displacement per unit time, which is twice the amount of angular displacement per unit time, relative to the angular displacement of the first link member 41a about the pivot axis A0 while the angular displacement of the third link member 41c about the second joint axis A2 is stopped, the attitude of the third link member 41c is changed slightly.
When the robot hand 40 is advanced from one end to the other end in the left and right directions Y relative to the pivot axis A0, transfer tracks 130, 131 of the central position A3 of the wafer 24 held by the hand 40 and the second joint axis A2 depict circular arcs both being convex in the forward direction X, respectively. In FIG. 11, in order to facilitate understanding, the transfer tracks 130, 131 of the central position A3 and the second joint axis A2 are respectively depicted by dashed lines, while corresponding imaginary lines 132, 133 extending in parallel with the left and right directions Y are respectively expressed by chain lines.
In this case, when the difference in the length between the first axis-to-axis distance L11 and the second axis-to-axis distance L12 is quite small, the third link member 41c can be moved in substantially parallel to the left and right directions Y. In such a manner, the first axis-to-axis distance L11 and the second axis-to-axis distance L12 may be provided with slight alteration. For example, an acceptable difference in the length between the first axis-to-axis distance L1 and the second axis-to-axis distance L12 may be set within (B−L0−E−L1) mm.
Also in the third embodiment described above, by locating the pivot axis A0 near the rear wall 111 and by setting the minimum rotation radius R of the robot arm 41 to exceed ½ of the subtracted value (B−L0) described above and to be equal to or less than the subtracted value (B−L0), the same effect as in the first embodiment can be obtained. The length of each link member 41a to 41c of the robot arm 41 and each axis-to-axis distance L11, L12 of the first to third embodiments are described by way of example, and hence may be altered. For example, the first link distance L1, second link distance L2 and third link distance L3 may not necessarily be the same.
FIG. 12 is a plan view showing a part of semiconductor processing equipment 20C which is a fourth embodiment of the present invention. The semiconductor processing equipment 20C of the fourth embodiment includes portions similar to those in the wafer transfer apparatus 23 of the first embodiment described above. Thus such like parts are not described here, and designated by like reference numerals. In the semiconductor processing equipment 20c of the fourth embodiment, the wafer transfer robot 27 of the wafer transfer apparatus 23 also serves as a carrier provided in the wafer processing apparatus 22. In regard to the other configuration, the semiconductor processing equipment 20c is the same as the first embodiment. As such, descriptions on that point are omitted here.
In the first embodiment, the carrier included in the wafer processing apparatus 22 receives the wafer 24 to be carried into the processing space 30 from the interface space 29 by the wafer transfer apparatus 23, and then carries the received wafer 24 into the wafer processing position. On the other hand, in the fourth embodiment, since the wafer transfer robot 27 of the wafer transfer apparatus 23 can extend its operational region as shown in FIG. 12, it can transfer the wafer not only in the wafer transfer apparatus 23, but can also be advanced into the processing space 30 of the wafer processing apparatus 22 so as to directly transfer the wafer 24 to the wafer processing position. Accordingly, there is no need for a carrier in the wafer processing apparatus 22, thus reducing the number of elements in the wafer processing equipment, thereby reducing the production cost.
In the fourth embodiment, it is preferred that the rear opening 121 is provided in the vicinity of the pivot axis A0 with respect to the left and right directions Y. It is also preferred that the rear opening 121 is formed to have a space extending longer than a distance between a first crossing point P1 that is one of two crossing points, at which an imaginary circle defined to make a circuit around the pivot axis A0, with its radius being the minimum rotation radius R of the robot 27, crosses the rear-face-side wall 111 and a second point P2, at which a line passing through the pivot axis A0 and extending in the forward and backward directions X crosses the rear-face-side wall 111, as such the space is shaped to include both of the first crossing point P1 and the second crossing point P2. Consequently, in the case of angularly displacing the first link member 41a about the pivot axis A0, interference of the first link member 41a with the rear-face-side wall 111 can be prevented. Thus, the first joint axis A1 set in the first link member 41a can be located also in the processing space 30. Accordingly, the wafer 24 can be transferred to a position away from the rear wall 111 in the backward direction X2 in the processing space 30.
Each of the embodiments 1 to 4 described above is illustrated by way of example, and of course may be modified within the scope of this invention. For example, while in these embodiments, the wafer transfer apparatus 23 used in the wafer processing equipment 20 has been described, a processing transfer apparatus for use in semiconductor processing equipment for processing substrates other than semiconductor wafers may also be included in the scope of the present invention. In this case, the substrate transfer apparatus can be generally applied to those configured to transfer each substrate from a substrate container to a substrate processing apparatus through an interface space in which an atmospheric gas is properly controlled, as well as carry the substrate from the substrate processing apparatus to the substrate container through the interface space. For example, as the substrate, semiconductor substrates and glass substrates may be mentioned. While the wafer has been described on the assumption that has a 300 mm size, the robot arm may be modified to have other link sizes in order to be applied to wafers of other sizes.
In each of the embodiments described above, while the wafer transfer apparatus 23 includes the aligner 56, it may includes another processing device than the aligner 56. This processing device is adapted to hold each wafer in the interface space 29 and perform predetermined processes and operations. For example, as the processing device, a buffer member adapted to hold each wafer 24 in the interface space 29 or an inspection device adapted to hold the wafer in the interface space 29 and inspect it about quality and presence of defects. It should be noted that the wafer transfer apparatus 23 not including the processing device, such as the aligner 56, may also be included in the scope of the present invention.
In the case where it is necessary to transfer each wafer 24 over a wider region in the left and right directions in order to carry the wafer to the processing device even though only three or less FOUP openers are used, the application of this invention enables advantageous wafer transfer, even with the length B in the left and right directions of the interface space being significantly small. In this case, each position arranged in the left and right directions relative to the pivot axis A0 is determined appropriately, depending on positions of respective objects to be moved in the left and right directions. In place of using the FOUP openers, substrate container setting tables may be provided for setting substrate containers.
In this embodiment, while the first link member 41a has been described to be able to angularly displace by 90° in one and the other directions about the pivot axis A0 relative to the reference line P0 passing through the pivot axis A0 and extending in the forward and backward directions X, the operation of the first link member 41a is not limited to this mode. Additionally, in this embodiment, while the expressions of the forward and backward directions X, left and right directions Y and upward and downward directions Z have been used, for example, first directions, second directions and third directions or the like, which are orthogonal to one another, may be employed as alternatives.
Although the invention has been described in its preferred embodiments with a certain degree of particularity, obviously many changes and variations are possible therein. It is therefore to be understood that the present invention may be practiced otherwise than as specifically described herein without departing from the scope and spirit thereof.

Claims (34)

What is claimed is:
1. A wafer transfer apparatus for transferring a wafer, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged at a predetermined interval in forward and backward directions, the front wall having a front opening formed therein, and the rear wall having a rear opening formed therein;
a FOUP opener configured to open and close the substrate container located adjacent to the interface space and the front opening of the interface space forming portion; and
a wafer carrying robot located in the interface space and configured to carry the wafer between the front opening and the rear opening,
wherein the wafer carrying robot includes:
a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set;
a robot arm having a proximal end and a distal end, the robot arm including a plurality of link members connected with one another in succession in a direction from the proximal end to the distal end, the proximal end being connected with the base, the distal end being provided with a robot hand for holding the wafer, the robot arm being configured to be angularly displaced about the pivot axis; and
a drive unit configured to drive each of the link members of the robot arm so that the link members are angularly displaced, individually, about each corresponding axis,
wherein, in a minimum transformed state where the robot arm is transformed such that a distance defined from the pivot axis to an arm portion which is farthest in a radial direction relative to the pivot axis is minimum, a minimum rotation radius R, as the distance defined from the pivot axis to the arm portion which is the farthest in the radial direction relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<R≤B−L0), and
the minimum rotation radius R is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis and a length E of a robot invasion restricted region, which is set for the FOUP opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., R<B−L0−E).
2. The wafer transfer apparatus according to claim 1, wherein the robot arm includes:
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis; and
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes the robot hand at an other end of the third link member for holding the wafer,
wherein a first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E) (i.e., ((B−L0−E)/2<L1≤B−L0−E).
3. The wafer transfer apparatus according to claim 2,
wherein a first axis-to-axis distance L11 from the pivot axis to the first joint axis and a second axis-to-axis distance L12 from the first joint axis to the second joint axis are set to be equal to each other, and
wherein a second link distance L2 defined as a distance from the second joint axis to an end of the second link member, which is farthest in a direction toward the first joint axis relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
4. The wafer transfer apparatus according to claim 3, wherein a third link distance L3 defined as a distance from the second joint axis to an end of the third link member or a portion of the wafer, which is farthest in a radial direction relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
5. The wafer transfer apparatus according to claim 4, wherein the first link distance L1, the second link distance L2 and the third link distance L3 are respectively set to be equal to the allowable length (B−L0−E).
6. The wafer transfer apparatus according to claim 1,
wherein the front opening includes four openings which are formed in the interface space forming portion, the four openings being arranged in left and right directions orthogonal to both the forward and backward directions and a direction of the pivot axis, and
wherein the FOUP opener includes four openers which are provided in order to open and close the four openings, respectively.
7. A substrate transfer apparatus for transferring a substrate, relative to a substrate processing apparatus for processing the substrate, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged in predetermined forward and backward directions at an interval, the front wall having a first transfer port formed therein, and the rear wall having a second transfer port formed therein;
an opening and closing unit configured to open and close the first transfer port of the interface space forming portion; and
a substrate carrying robot located in the interface space and configured to carry the substrate between the first transfer port and the second transfer port,
wherein the substrate carrying robot includes:
a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set;
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis;
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes a robot hand at an other end thereof for holding the substrate; and
a drive unit configured to drive each of the link members so that the link members are angularly displaced, individually, about each corresponding axis,
wherein the pivot axis is located nearer to the rear wall than to the front wall or nearer to the front wall than to the rear wall in the forward and backward directions, and
wherein a first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<L1≤B−L0), and
the first link distance L1 is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis and a length E of a robot invasion restricted region, which is set for the FOUP opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., L1≤B−L0−E).
8. A wafer transfer apparatus for transferring a wafer, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged at a predetermined interval in forward and backward directions, the front wall having a front opening formed therein, and the rear wall having a rear opening formed therein;
a FOUP opener configured to open and close the substrate container located adjacent to the interface space and the front opening of the interface space forming portion; and
a wafer carrying robot located in the interface space and configured to carry the wafer between the front opening and the rear opening,
wherein the wafer carrying robot includes:
a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set;
a robot arm having a proximal end and a distal end, the robot arm including a plurality of link members connected with one another in succession in a direction from the proximal end to the distal end, the proximal end being connected with the base, the distal end being provided with a robot hand for holding the wafer, the robot arm being configured to be angularly displaced about the pivot axis; and
a drive unit configured to drive each of the link members of the robot arm so that the link members are angularly displaced, individually, about each corresponding axis,
wherein, in a minimum transformed state where the robot arm is transformed such that a distance defined from the pivot axis to an arm portion which is farthest in a radial direction relative to the pivot axis is minimum, a minimum rotation radius R, as the distance defined from the pivot axis to the arm portion which is the farthest in the radial direction relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 set to be greater by a predetermined gap length Q than a radius T2 of an outer circumference of the first link member about the pivot axis (L0=T2+Q), from the length B in the forward and backward directions of the interface space (i.e., B/2<R≤B−L0), and
the minimum rotation radius R is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 set to be greater by the predetermined gap length Q than the radius T2 of an outer circumference of the first link member about the pivot axis and a length E of a robot invasion restricted region, which is set for the FOUP opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., R<B−L0−E).
9. The wafer transfer apparatus according to claim 8, wherein the robot arm includes:
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis; and
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes the robot hand at an other end of the third link member for holding the wafer,
wherein a first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E) (i.e., ((B−L0−E)/2<L1≤B−L0−E).
10. The wafer transfer apparatus according to claim 9,
wherein a first axis-to-axis distance L11 from the pivot axis to the first joint axis and a second axis-to-axis distance L12 from the first joint axis to the second joint axis are set to be equal to each other, and
wherein a second link distance L2 defined as a distance from the second joint axis to an end of the second link member, which is farthest in a direction toward the first joint axis relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
11. The wafer transfer apparatus according to claim 10, wherein a third link distance L3 defined as a distance from the second joint axis to an end of the third link member or a portion of the wafer, which is farthest in a radial direction relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
12. The wafer transfer apparatus according to claim 11, wherein the first link distance L1, the second link distance L2 and the third link distance L3 are respectively set to be equal to the allowable length (B−L0−E).
13. The wafer transfer apparatus according to claim 8,
wherein the front opening includes four openings which are formed in the interface space forming portion, the four openings being arranged in left and right directions orthogonal to both the forward and backward directions and a direction of the pivot axis, and
wherein the FOUP opener includes four openers which are provided in order to open and close the four openings, respectively.
14. A substrate transfer apparatus for transferring a substrate, relative to a substrate processing apparatus for processing the substrate, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged in predetermined forward and backward directions at an interval, the front wall having a first transfer port formed therein, and the rear wall having a second transfer port formed therein;
an opening and closing unit configured to open and close the first transfer port of the interface space forming portion; and
a substrate carrying robot located in the interface space and configured to carry the substrate between the first transfer port and the second transfer port,
wherein the substrate carrying robot includes:
a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set;
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis;
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes a robot hand at an other end thereof for holding the substrate; and
a drive unit configured to drive each of the link members so that the link members are angularly displaced, individually, about each corresponding axis,
wherein the pivot axis is located nearer to the rear wall than to the front wall or nearer to the front wall than to the rear wall in the forward and backward directions, and
wherein a first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 set to be greater by a predetermined gap length Q than a radius T2 of an outer circumference of the first link member about the pivot axis (L0=T2+Q), from the length B in the forward and backward directions of the interface space (i.e., B/2<L1≤B−L0), and
the first link distance L1 is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 set to be greater by the predetermined gap length Q than the radius T2 of an outer circumference of the first link member about the pivot axis and a length E of a robot invasion restricted region, which is set for the FOUP opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., L1≤B−L0−E).
15. A wafer transfer apparatus for transferring a wafer, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged at a predetermined interval in forward and backward directions, the front wall having a front opening formed therein, and the rear wall having a rear opening formed therein;
a FOUP opener configured to open and close the substrate container located adjacent to the interface space and the front opening of the interface space forming portion; and
a wafer carrying robot located in the interface space and configured to carry the wafer between the front opening and the rear opening,
wherein the wafer carrying robot includes:
a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set;
a robot arm having a proximal end and a distal end, the robot arm including a plurality of link members connected with one another in succession in a direction from the proximal end to the distal end, the proximal end being connected with the base, the distal end being provided with a robot hand for holding the wafer, the robot arm being configured to be angularly displaced about the pivot axis; and
a drive unit configured to drive each of the link members of the robot arm so that the link members are angularly displaced, individually, about each corresponding axis,
wherein, in a minimum transformed state where the robot arm is transformed such that a distance defined from the pivot axis to an arm portion which is farthest in a radial direction relative to the pivot axis is minimum, a minimum rotation radius R, as the distance defined from the pivot axis to the arm portion which is the farthest in the radial direction relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<R≤B−L0), and
the minimum rotation radius R is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis and a length E of a robot invasion restricted region, which is set for the FOUP opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., R≤B−L0−E).
16. The wafer transfer apparatus according to claim 15, wherein the robot arm includes:
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis; and
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes the robot hand at an other end of the third link member for holding the wafer,
wherein a first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E) (i.e., ((B−L0−E)/2<L1≤B−L0−E).
17. The wafer transfer apparatus according to claim 16,
wherein a first axis-to-axis distance L11 from the pivot axis to the first joint axis and a second axis-to-axis distance L12 from the first joint axis to the second joint axis are set to be equal to each other, and
wherein a second link distance L2 defined as a distance from the second joint axis to an end of the second link member, which is farthest in a direction toward the first joint axis relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
18. The wafer transfer apparatus according to claim 17, wherein a third link distance L3 defined as a distance from the second joint axis to an end of the third link member or a portion of the wafer, which is farthest in a radial direction relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
19. The wafer transfer apparatus according to claim 18, wherein the first link distance L1, the second link distance L2 and the third link distance L3 are respectively set to be equal to the allowable length (B−L0−E).
20. The wafer transfer apparatus according to claim 15,
wherein the front opening includes four openings which are formed in the interface space forming portion, the four openings being arranged in left and right directions orthogonal to both the forward and backward directions and a direction of the pivot axis, and
wherein the FOUP opener includes four openers which are provided in order to open and close the four openings, respectively.
21. A substrate transfer apparatus for transferring a substrate, relative to a substrate processing apparatus for processing the substrate, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged in predetermined forward and backward directions at an interval, the front wall having a first transfer port formed therein, and the rear wall having a second transfer port formed therein;
an opening and closing unit configured to open and close the first transfer port of the interface space forming portion; and
a substrate carrying robot located in the interface space and configured to carry the substrate between the first transfer port and the second transfer port,
wherein the substrate carrying robot includes:
a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set;
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis;
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes a robot hand at an other end thereof for holding the substrate; and
a drive unit configured to drive each of the link members so that the link members are angularly displaced, individually, about each corresponding axis,
wherein the pivot axis is located nearer to the rear wall than to the front wall or nearer to the front wall than to the rear wall in the forward and backward directions, and
wherein a first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<L1≤B−L0), and
the first link distance L1 is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis and a length E of a robot invasion restricted region, which is set for the FOUP opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., L1≤B−L0−E).
22. A wafer transfer apparatus for transferring a wafer, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged at a predetermined interval in forward and backward directions, the front wall having a front opening formed therein, and the rear wall having a rear opening formed therein;
a FOUP opener configured to open and close the substrate container located adjacent to the interface space and the front opening of the interface space forming portion; and
a wafer carrying robot located in the interface space and configured to carry the wafer between the front opening and the rear opening,
wherein the wafer carrying robot includes:
a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set;
a robot arm having a proximal end and a distal end, the robot arm including a plurality of link members connected with one another in succession in a direction from the proximal end to the distal end, the proximal end being connected with the base, the distal end being provided with a robot hand for holding the wafer, the robot arm being configured to be angularly displaced about the pivot axis; and
a drive unit configured to drive each of the link members of the robot arm so that the link members are angularly displaced, individually, about each corresponding axis,
wherein, in a minimum transformed state where the robot arm is transformed such that a distance defined from the pivot axis to an arm portion which is farthest in a radial direction relative to the pivot axis is minimum, a minimum rotation radius R, as the distance defined from the pivot axis to the arm portion which is the farthest in the radial direction relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 set to be greater by a predetermined gap length Q than a radius T2 of an outer circumference of the first link member about the pivot axis (L0=T2+Q), from the length B in the forward and backward directions of the interface space (i.e., B/2<R≤B−L0), and
the minimum rotation radius R is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 set to be greater by the predetermined gap length Q than the radius T2 of an outer circumference of the first link member about the pivot axis and a length E of a robot invasion restricted region, which is set for the FOUP opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., R≤B−L0−E).
23. The wafer transfer apparatus according to claim 22, wherein the robot arm includes:
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis; and
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes the robot hand at an other end of the third link member for holding the wafer,
wherein a first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E) (i.e., ((B−L0−E)/2<L1≤B−L0−E).
24. The wafer transfer apparatus according to claim 23,
wherein a first axis-to-axis distance L11 from the pivot axis to the first joint axis and a second axis-to-axis distance L12 from the first joint axis to the second joint axis are set to be equal to each other, and
wherein a second link distance L2 defined as a distance from the second joint axis to an end of the second link member, which is farthest in a direction toward the first joint axis relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
25. The wafer transfer apparatus according to claim 24, wherein a third link distance L3 defined as a distance from the second joint axis to an end of the third link member or a portion of the wafer, which is farthest in a radial direction relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
26. The wafer transfer apparatus according to claim 25, wherein the first link distance L1, the second link distance L2 and the third link distance L3 are respectively set to be equal to the allowable length (B−L0−E).
27. The wafer transfer apparatus according to claim 22,
wherein the front opening includes four openings which are formed in the interface space forming portion, the four openings being arranged in left and right directions orthogonal to both the forward and backward directions and a direction of the pivot axis, and
wherein the FOUP opener includes four openers which are provided in order to open and close the four openings, respectively.
28. A substrate transfer apparatus for transferring a substrate, relative to a substrate processing apparatus for processing the substrate, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged in predetermined forward and backward directions at an interval, the front wall having a first transfer port formed therein, and the rear wall having a second transfer port formed therein;
an opening and closing unit configured to open and close the first transfer port of the interface space forming portion; and
a substrate carrying robot located in the interface space and configured to carry the substrate between the first transfer port and the second transfer port,
wherein the substrate carrying robot includes:
a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set;
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis;
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes a robot hand at an other end thereof for holding the substrate; and
a drive unit configured to drive each of the link members so that the link members are angularly displaced, individually, about each corresponding axis,
wherein the pivot axis is located nearer to the rear wall than to the front wall or nearer to the front wall than to the rear wall in the forward and backward directions, and
wherein a first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 set to be greater by a predetermined gap length Q than a radius T2 of an outer circumference of the first link member about the pivot axis (L0=T2+Q), from the length B in the forward and backward directions of the interface space (i.e., B/2<L1≤B−L0), and
the first link distance L1 is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 set to be greater by the predetermined gap length Q than the radius T2 of an outer circumference of the first link member about the pivot axis and a length E of a robot invasion restricted region, which is set for the FOUP opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., L1≤B−L0−E).
29. The wafer transfer apparatus according to claim 15, wherein
the front opening includes a plurality of front openings,
the FOUP opener includes a plurality of FOUP openers configured to open and close a plurality of substrate containers, and
the length E of the robot invasion restricted region is set for the plurality of FOUP openers.
30. The wafer transfer apparatus according to claim 29, wherein
the plurality of FOUP openers is four FOUP openers.
31. The wafer transfer apparatus according to claim 15, wherein
the robot invasion restricted region is defined by a distance which the FOUP opener moves, wherein the FOUP opener opens and closes an opener-side door and a FOUP-side door.
32. The wafer transfer apparatus according to claim 22, wherein
the predetermined gap length Q defines a space that is separate from a space defined by the length E of the robot invasion restricted region, and the predetermined gap length Q is the length of a gap provided to prevent interference that would be otherwise caused by the robot.
33. The wafer transfer apparatus according to claim 32, wherein
the outer circumference of the first link member adjacent the one of the front and rear walls is on an opposite side of the pivot axis with respect to a first joint axis, the first link member and a second link member of the plurality of link members being arranged to pivot relative to each other about the first joint axis.
34. The wafer transfer apparatus according to claim 33, wherein
the predetermined gap length Q extends from the outer circumference and in a direction toward the adjacent one of the front and rear walls.
US15/976,405 2006-07-20 2018-05-10 Wafer transfer apparatus and substrate transfer apparatus Active 2029-06-25 USRE47909E1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US15/976,405 USRE47909E1 (en) 2006-07-20 2018-05-10 Wafer transfer apparatus and substrate transfer apparatus
US16/178,125 USRE48031E1 (en) 2006-07-20 2018-11-01 Wafer transfer apparatus and substrate transfer apparatus
US16/869,962 USRE48792E1 (en) 2006-07-20 2020-05-08 Wafer transfer apparatus and substrate transfer apparatus
US17/492,300 USRE49671E1 (en) 2006-07-20 2021-10-01 Wafer transfer apparatus and substrate transfer apparatus

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP2006198771A JP4098338B2 (en) 2006-07-20 2006-07-20 Wafer transfer device and substrate transfer device
JP2006-198771 2006-07-20
US11/879,509 US7874782B2 (en) 2006-07-20 2007-07-18 Wafer transfer apparatus and substrate transfer apparatus
US13/750,625 USRE45772E1 (en) 2006-07-20 2013-01-25 Wafer transfer apparatus and substrate transfer apparatus
US14/852,993 USRE46465E1 (en) 2006-07-20 2015-09-14 Wafer transfer apparatus and substrate transfer apparatus
US15/599,227 USRE47145E1 (en) 2006-07-20 2017-05-18 Wafer transfer apparatus and substrate transfer apparatus
US15/976,405 USRE47909E1 (en) 2006-07-20 2018-05-10 Wafer transfer apparatus and substrate transfer apparatus

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US11/879,509 Reissue US7874782B2 (en) 2006-07-20 2007-07-18 Wafer transfer apparatus and substrate transfer apparatus
US15/599,227 Continuation USRE47145E1 (en) 2006-07-20 2017-05-18 Wafer transfer apparatus and substrate transfer apparatus

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/879,509 Continuation US7874782B2 (en) 2006-07-20 2007-07-18 Wafer transfer apparatus and substrate transfer apparatus
US16/178,125 Continuation USRE48031E1 (en) 2006-07-20 2018-11-01 Wafer transfer apparatus and substrate transfer apparatus

Publications (1)

Publication Number Publication Date
USRE47909E1 true USRE47909E1 (en) 2020-03-17

Family

ID=38515493

Family Applications (8)

Application Number Title Priority Date Filing Date
US11/879,509 Ceased US7874782B2 (en) 2006-07-20 2007-07-18 Wafer transfer apparatus and substrate transfer apparatus
US13/750,625 Active 2029-06-25 USRE45772E1 (en) 2006-07-20 2013-01-25 Wafer transfer apparatus and substrate transfer apparatus
US14/852,993 Active 2029-06-25 USRE46465E1 (en) 2006-07-20 2015-09-14 Wafer transfer apparatus and substrate transfer apparatus
US15/599,227 Active 2029-06-25 USRE47145E1 (en) 2006-07-20 2017-05-18 Wafer transfer apparatus and substrate transfer apparatus
US15/976,405 Active 2029-06-25 USRE47909E1 (en) 2006-07-20 2018-05-10 Wafer transfer apparatus and substrate transfer apparatus
US16/178,125 Active 2029-06-25 USRE48031E1 (en) 2006-07-20 2018-11-01 Wafer transfer apparatus and substrate transfer apparatus
US16/869,962 Active 2029-06-25 USRE48792E1 (en) 2006-07-20 2020-05-08 Wafer transfer apparatus and substrate transfer apparatus
US17/492,300 Active 2029-06-25 USRE49671E1 (en) 2006-07-20 2021-10-01 Wafer transfer apparatus and substrate transfer apparatus

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US11/879,509 Ceased US7874782B2 (en) 2006-07-20 2007-07-18 Wafer transfer apparatus and substrate transfer apparatus
US13/750,625 Active 2029-06-25 USRE45772E1 (en) 2006-07-20 2013-01-25 Wafer transfer apparatus and substrate transfer apparatus
US14/852,993 Active 2029-06-25 USRE46465E1 (en) 2006-07-20 2015-09-14 Wafer transfer apparatus and substrate transfer apparatus
US15/599,227 Active 2029-06-25 USRE47145E1 (en) 2006-07-20 2017-05-18 Wafer transfer apparatus and substrate transfer apparatus

Family Applications After (3)

Application Number Title Priority Date Filing Date
US16/178,125 Active 2029-06-25 USRE48031E1 (en) 2006-07-20 2018-11-01 Wafer transfer apparatus and substrate transfer apparatus
US16/869,962 Active 2029-06-25 USRE48792E1 (en) 2006-07-20 2020-05-08 Wafer transfer apparatus and substrate transfer apparatus
US17/492,300 Active 2029-06-25 USRE49671E1 (en) 2006-07-20 2021-10-01 Wafer transfer apparatus and substrate transfer apparatus

Country Status (4)

Country Link
US (8) US7874782B2 (en)
EP (1) EP1881526B1 (en)
JP (1) JP4098338B2 (en)
KR (1) KR100909727B1 (en)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7604449B1 (en) * 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
JP4098338B2 (en) * 2006-07-20 2008-06-11 川崎重工業株式会社 Wafer transfer device and substrate transfer device
JP5387412B2 (en) * 2007-11-21 2014-01-15 株式会社安川電機 Transport robot, housing, semiconductor manufacturing equipment and sorter equipment
US8186927B2 (en) * 2008-05-27 2012-05-29 Tdk Corporation Contained object transfer system
JP5504641B2 (en) * 2009-02-13 2014-05-28 株式会社安川電機 Substrate transport robot, substrate transport apparatus including the same, and semiconductor manufacturing apparatus
JP5246550B2 (en) * 2009-03-03 2013-07-24 川崎重工業株式会社 Robot and control method thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5316521B2 (en) * 2010-03-31 2013-10-16 株式会社安川電機 Substrate transfer system, substrate processing system, and substrate transfer robot
JP5755842B2 (en) * 2010-04-22 2015-07-29 株式会社ダイヘン Work transfer system
US20130145893A1 (en) * 2010-09-03 2013-06-13 Mitsubishi Electric Corporation Ceiling-mounted scara robot
US9443749B2 (en) * 2011-01-20 2016-09-13 Tokyo Electron Limited Vacuum processing apparatus
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20230084597A (en) * 2011-09-16 2023-06-13 퍼시몬 테크놀로지스 코포레이션 A Transport Apparatus and A Processing Apparatus Comprising the Same
JP5364769B2 (en) 2011-09-26 2013-12-11 株式会社安川電機 Transfer robot and substrate processing apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2012035408A (en) * 2011-11-09 2012-02-23 Kawasaki Heavy Ind Ltd Substrate carrier robot
JP5614417B2 (en) 2012-01-05 2014-10-29 株式会社安川電機 Transport system
JP5569544B2 (en) 2012-01-31 2014-08-13 株式会社安川電機 Transfer robot
JP5621796B2 (en) 2012-01-31 2014-11-12 株式会社安川電機 Transport system
JP5541299B2 (en) * 2012-01-31 2014-07-09 株式会社安川電機 Transport system
JP2013165241A (en) * 2012-02-13 2013-08-22 Yaskawa Electric Corp Transporting apparatus
JP5885528B2 (en) * 2012-02-14 2016-03-15 株式会社安川電機 Transport device
JP6173677B2 (en) * 2012-08-09 2017-08-02 日本電産サンキョー株式会社 Home position return method for industrial robots
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9293355B2 (en) 2012-11-09 2016-03-22 Kabushiki Kaisha Yaskawa Denki Substrate transfer system and substrate processing system
JP5853991B2 (en) 2013-05-22 2016-02-09 株式会社安川電機 Substrate transfer robot, substrate transfer system, and substrate transfer method
JP5861676B2 (en) 2013-07-08 2016-02-16 株式会社安川電機 Adsorption structure, robot hand and robot
JP5861677B2 (en) 2013-07-08 2016-02-16 株式会社安川電機 Adsorption structure, robot hand and robot
US10424498B2 (en) * 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6374295B2 (en) * 2014-10-30 2018-08-15 日本電産サンキョー株式会社 Industrial robot
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9929034B2 (en) 2015-09-03 2018-03-27 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer device
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP2018000126A (en) * 2016-07-05 2018-01-11 シンフォニアテクノロジー株式会社 Cell conveying equipment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
JP6774276B2 (en) * 2016-09-13 2020-10-21 川崎重工業株式会社 Board transfer device
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10262884B2 (en) * 2016-11-10 2019-04-16 Applied Materials, Inc. Systems, apparatus, and methods for an improved load port
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US20180308728A1 (en) * 2017-02-07 2018-10-25 Brooks Automation, Inc. Method and apparatus for substrate transport
JP6802724B2 (en) 2017-02-10 2020-12-16 株式会社東芝 Inspection equipment and inspection method
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7182365B2 (en) * 2017-03-01 2022-12-02 花王株式会社 Leguminous plant growth promoter
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10155309B1 (en) * 2017-11-16 2018-12-18 Lam Research Corporation Wafer handling robots with rotational joint encoders
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
JP2019207930A (en) * 2018-05-29 2019-12-05 川崎重工業株式会社 Robot system
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10636693B2 (en) 2018-09-11 2020-04-28 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer device and control method therefor
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11279032B2 (en) * 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021091077A (en) 2019-12-10 2021-06-17 川崎重工業株式会社 Computer for video confirmation
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
JP2021125640A (en) * 2020-02-07 2021-08-30 川崎重工業株式会社 Horizontal articulated robot and board transfer system including the same
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
EP4163061A1 (en) 2020-06-05 2023-04-12 Rorze Corporation Wafer transfer device and wafer transfer method
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
TW202249142A (en) 2021-02-25 2022-12-16 日商東京威力科創股份有限公司 Substrate conveying mechanism and substrate conveying method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023188177A1 (en) * 2022-03-30 2023-10-05 平田機工株式会社 Substrate-conveying system and transfer robot control device
WO2023205361A1 (en) * 2022-04-22 2023-10-26 Lam Research Corporation Shallow-depth equipment front end module with robot

Citations (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2193482A (en) 1986-04-28 1988-02-10 Varian Associates Wafer handling arm
JPS63272474A (en) 1987-04-30 1988-11-09 日本電子株式会社 Sample conveying arm
JPH07122618A (en) 1993-10-22 1995-05-12 Tokyo Electron Ltd Vacuum processing system
JPH08111449A (en) 1994-08-19 1996-04-30 Tokyo Electron Ltd Processing system
JPH1133949A (en) 1997-07-14 1999-02-09 Fanuc Ltd Industrial robot
JPH1174328A (en) 1997-08-28 1999-03-16 Nec Kansai Ltd Wafer processor
JPH11157609A (en) 1997-11-28 1999-06-15 Komatsu Engineering Kk Wafer sorting device
WO1999060610A1 (en) 1998-05-20 1999-11-25 Applied Komatsu Technology, Inc. An automated substrate processing system
WO2000007780A1 (en) 1998-08-04 2000-02-17 Genmark Automation, Inc. Robot having multiple degrees of freedom
JP2000133690A (en) 1998-10-26 2000-05-12 Rorze Corp Wafer transportation apparatus
JP2000141272A (en) 1998-09-10 2000-05-23 Fanuc Ltd Industrial robot
WO2001001454A2 (en) 1999-06-29 2001-01-04 Lam Research Corporation Atmospheric wafer transfer module with nest for wafer transport robot and method of implementing same
WO2002004176A1 (en) 2000-07-06 2002-01-17 Genmark Automation Robot having independent end effector linkage motion
JP2002280437A (en) 2001-03-19 2002-09-27 Daikin Ind Ltd Vacuum carrier device
JP2002299413A (en) 2001-03-30 2002-10-11 Shibaura Mechatronics Corp Robot and processor
US20020192057A1 (en) 2001-06-19 2002-12-19 Meulen Peter Van Der System for transporting substrates
JP2003025262A (en) 2001-07-13 2003-01-29 Daihen Corp Robot for conveying workpiece
JP2003045933A (en) 2001-08-01 2003-02-14 Semiconductor Leading Edge Technologies Inc Load port, substrate processing unit, and method for replacing atmosphere
JP2003170384A (en) 2001-12-04 2003-06-17 Rorze Corp Scalar robot for carrying flat plate-like object and processing system for flat plate-like object
JP2003179120A (en) 1994-08-19 2003-06-27 Tokyo Electron Ltd Processing apparatus
JP2003188231A (en) 2001-12-20 2003-07-04 Daihen Corp Work-conveying robot and work-processing apparatus equipped with the robot
US20030179120A1 (en) 2002-03-22 2003-09-25 Yasuyuki Hashimoto Analog/digital converter
US20030202865A1 (en) * 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
US6669434B2 (en) 2000-11-17 2003-12-30 Tazmo Co., Ltd. Double arm substrate transport unit
US20040013497A1 (en) * 1998-12-01 2004-01-22 Hidenobu Shirai Semiconductor transfer and manufacturing apparatus
WO2004024401A1 (en) 2002-09-13 2004-03-25 Tokyo Electron Limited Teaching method and processing system
JP2004148447A (en) 2002-10-30 2004-05-27 Sankyo Seiki Mfg Co Ltd Industrial robot
WO2004043653A1 (en) 2002-11-14 2004-05-27 Tokyo Electron Limited Device for correcting reference position for transfer mechanism, and correction method
US20040191028A1 (en) * 2003-03-25 2004-09-30 Tadamoto Tamai Vacuum processing system being able to carry process object into and out of vacuum chamber
US20050011294A1 (en) 2003-07-14 2005-01-20 Kawasaki Jukogyo Kabushiki Kaisha Articulated robot
US20050063800A1 (en) * 2002-02-22 2005-03-24 Applied Materials, Inc. Substrate support
US20050096794A1 (en) 2003-10-29 2005-05-05 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US20050095089A1 (en) * 2003-11-04 2005-05-05 Hur Gwang H. Transfer chamber for flat display device manufacturing apparatus
US20050158153A1 (en) * 2004-01-15 2005-07-21 Applied Materials, Inc. Substrate edge grip apparatus
US20050220576A1 (en) * 2004-01-07 2005-10-06 Samsung Electronics Co., Ltd. Substrate manufacturing apparatus and substrate transfer module used therein
US20060045668A1 (en) * 2004-07-19 2006-03-02 Grabowski Al W System for handling of wafers within a process tool
JP2006073834A (en) 2004-09-02 2006-03-16 Dainippon Screen Mfg Co Ltd Substrate transport device and substrate treatment equipment employing it
US20060104750A1 (en) * 2003-02-25 2006-05-18 Lee Kun-Hyung Apparatus and method for processing wafers
US7059817B2 (en) 2001-11-29 2006-06-13 Axcelis Technologies, Inc. Wafer handling apparatus and method
US20060182532A1 (en) * 2004-11-30 2006-08-17 Sumitomo Eaton Nova Corporation Wafer processing system, wafer processing method, and ion implantation system
JP2006245508A (en) 2005-03-07 2006-09-14 Kawasaki Heavy Ind Ltd Assembling method for substrate transferring device and carrying system unit of substrate transferring device
WO2007008702A2 (en) 2005-07-11 2007-01-18 Brooks Automation, Inc. Unequal link scara arm
USRE46465E1 (en) 2006-07-20 2017-07-04 Kawasaki Jukogyo Kabushiki Kaisha Wafer transfer apparatus and substrate transfer apparatus

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100267617B1 (en) 1993-04-23 2000-10-16 히가시 데쓰로 Vacuum process apparatus and vacuum processing method
TW295677B (en) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH09216180A (en) * 1996-02-08 1997-08-19 Hitachi Ltd Semiconductor wafer cassette conveyance system
JP2002359273A (en) 2001-06-01 2002-12-13 Takehide Hayashi Opener for wafer transfer container
JP4344593B2 (en) 2002-12-02 2009-10-14 ローツェ株式会社 Mini-environment device, thin plate manufacturing system, and atmosphere replacement method for clean container
JP2004235516A (en) 2003-01-31 2004-08-19 Trecenti Technologies Inc Purging method in wafer housing jig, load port, and method for manufacturing semiconductor device

Patent Citations (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6342142A (en) 1986-04-28 1988-02-23 バリアン・アソシエイツ・インコ−ポレイテツド Wafer handling arm
GB2193482A (en) 1986-04-28 1988-02-10 Varian Associates Wafer handling arm
JPS63272474A (en) 1987-04-30 1988-11-09 日本電子株式会社 Sample conveying arm
JPH07122618A (en) 1993-10-22 1995-05-12 Tokyo Electron Ltd Vacuum processing system
JP2003179120A (en) 1994-08-19 2003-06-27 Tokyo Electron Ltd Processing apparatus
JPH08111449A (en) 1994-08-19 1996-04-30 Tokyo Electron Ltd Processing system
JPH1133949A (en) 1997-07-14 1999-02-09 Fanuc Ltd Industrial robot
JPH1174328A (en) 1997-08-28 1999-03-16 Nec Kansai Ltd Wafer processor
JPH11157609A (en) 1997-11-28 1999-06-15 Komatsu Engineering Kk Wafer sorting device
WO1999060610A1 (en) 1998-05-20 1999-11-25 Applied Komatsu Technology, Inc. An automated substrate processing system
WO2000007780A1 (en) 1998-08-04 2000-02-17 Genmark Automation, Inc. Robot having multiple degrees of freedom
JP2002522238A (en) 1998-08-04 2002-07-23 ジェンマーク・オートメーション・インコーポレーテッド Robot with multiple degrees of freedom
JP2000141272A (en) 1998-09-10 2000-05-23 Fanuc Ltd Industrial robot
JP2000133690A (en) 1998-10-26 2000-05-12 Rorze Corp Wafer transportation apparatus
US20040013497A1 (en) * 1998-12-01 2004-01-22 Hidenobu Shirai Semiconductor transfer and manufacturing apparatus
WO2001001454A2 (en) 1999-06-29 2001-01-04 Lam Research Corporation Atmospheric wafer transfer module with nest for wafer transport robot and method of implementing same
WO2002004176A1 (en) 2000-07-06 2002-01-17 Genmark Automation Robot having independent end effector linkage motion
JP2004502558A (en) 2000-07-06 2004-01-29 ジェンマーク・オートメーション・インコーポレーテッド Independent end effector link device Motion type robot
US6669434B2 (en) 2000-11-17 2003-12-30 Tazmo Co., Ltd. Double arm substrate transport unit
JP2002280437A (en) 2001-03-19 2002-09-27 Daikin Ind Ltd Vacuum carrier device
JP2002299413A (en) 2001-03-30 2002-10-11 Shibaura Mechatronics Corp Robot and processor
US20020192057A1 (en) 2001-06-19 2002-12-19 Meulen Peter Van Der System for transporting substrates
JP2003025262A (en) 2001-07-13 2003-01-29 Daihen Corp Robot for conveying workpiece
JP2003045933A (en) 2001-08-01 2003-02-14 Semiconductor Leading Edge Technologies Inc Load port, substrate processing unit, and method for replacing atmosphere
US7059817B2 (en) 2001-11-29 2006-06-13 Axcelis Technologies, Inc. Wafer handling apparatus and method
JP2003170384A (en) 2001-12-04 2003-06-17 Rorze Corp Scalar robot for carrying flat plate-like object and processing system for flat plate-like object
JP2003188231A (en) 2001-12-20 2003-07-04 Daihen Corp Work-conveying robot and work-processing apparatus equipped with the robot
US20050063800A1 (en) * 2002-02-22 2005-03-24 Applied Materials, Inc. Substrate support
US20030179120A1 (en) 2002-03-22 2003-09-25 Yasuyuki Hashimoto Analog/digital converter
US20030202865A1 (en) * 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
JP2004106078A (en) 2002-09-13 2004-04-08 Tokyo Electron Ltd Method for teaching, and processing system
WO2004024401A1 (en) 2002-09-13 2004-03-25 Tokyo Electron Limited Teaching method and processing system
JP2004148447A (en) 2002-10-30 2004-05-27 Sankyo Seiki Mfg Co Ltd Industrial robot
WO2004043653A1 (en) 2002-11-14 2004-05-27 Tokyo Electron Limited Device for correcting reference position for transfer mechanism, and correction method
JP2004160613A (en) 2002-11-14 2004-06-10 Tokyo Electron Ltd Reference position correcting device and reference position correction method for conveying mechanism
US20060015279A1 (en) 2002-11-14 2006-01-19 Hiroaki Saeki Device for correcting reference position for transfer mechanism, and correction method
US20060104750A1 (en) * 2003-02-25 2006-05-18 Lee Kun-Hyung Apparatus and method for processing wafers
US20040191028A1 (en) * 2003-03-25 2004-09-30 Tadamoto Tamai Vacuum processing system being able to carry process object into and out of vacuum chamber
KR20050008523A (en) 2003-07-14 2005-01-21 가와사키 쥬코교 가부시키가이샤 Articulated robot
JP2005039047A (en) 2003-07-14 2005-02-10 Kawasaki Heavy Ind Ltd Articulated robot
US20050011294A1 (en) 2003-07-14 2005-01-20 Kawasaki Jukogyo Kabushiki Kaisha Articulated robot
US20050096794A1 (en) 2003-10-29 2005-05-05 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US20050095089A1 (en) * 2003-11-04 2005-05-05 Hur Gwang H. Transfer chamber for flat display device manufacturing apparatus
US20050220576A1 (en) * 2004-01-07 2005-10-06 Samsung Electronics Co., Ltd. Substrate manufacturing apparatus and substrate transfer module used therein
US20050158153A1 (en) * 2004-01-15 2005-07-21 Applied Materials, Inc. Substrate edge grip apparatus
US20060045668A1 (en) * 2004-07-19 2006-03-02 Grabowski Al W System for handling of wafers within a process tool
JP2006073834A (en) 2004-09-02 2006-03-16 Dainippon Screen Mfg Co Ltd Substrate transport device and substrate treatment equipment employing it
US20060182532A1 (en) * 2004-11-30 2006-08-17 Sumitomo Eaton Nova Corporation Wafer processing system, wafer processing method, and ion implantation system
JP2006245508A (en) 2005-03-07 2006-09-14 Kawasaki Heavy Ind Ltd Assembling method for substrate transferring device and carrying system unit of substrate transferring device
WO2007008702A2 (en) 2005-07-11 2007-01-18 Brooks Automation, Inc. Unequal link scara arm
USRE46465E1 (en) 2006-07-20 2017-07-04 Kawasaki Jukogyo Kabushiki Kaisha Wafer transfer apparatus and substrate transfer apparatus

Non-Patent Citations (7)

* Cited by examiner, † Cited by third party
Title
Aug. 6, 2014 Office Action issued in U.S. Appl. No. 13/750,625.
Feb. 10, 2015 Quayle Action issued in U.S. Appl. No. 13/750,625.
Feb. 13, 2018 Office Action issued in U.S. Appl. No. 15/599,227.
Feb. 5, 2014 Office Action issued in U.S. Appl. No. 13/750,625.
Jun. 22, 2015 Notice of Allowance issued in U.S. Appl. No. 13/750,625.
Mar. 30, 2017 Notice of Allowance issued in U.S. Appl. No. 14/852,993.
May 9, 2016 Office Action issued in U.S. Appl. No. 14/852,993.

Also Published As

Publication number Publication date
EP1881526B1 (en) 2015-03-04
EP1881526A3 (en) 2009-04-01
USRE47145E1 (en) 2018-11-27
JP4098338B2 (en) 2008-06-11
US7874782B2 (en) 2011-01-25
USRE46465E1 (en) 2017-07-04
EP1881526A2 (en) 2008-01-23
KR100909727B1 (en) 2009-07-29
JP2008028134A (en) 2008-02-07
US20080025824A1 (en) 2008-01-31
USRE48031E1 (en) 2020-06-02
USRE48792E1 (en) 2021-10-26
KR20080008981A (en) 2008-01-24
USRE45772E1 (en) 2015-10-20
USRE49671E1 (en) 2023-09-26

Similar Documents

Publication Publication Date Title
USRE49671E1 (en) Wafer transfer apparatus and substrate transfer apparatus
US5404894A (en) Conveyor apparatus
TWI475629B (en) Substrate processing apparatus
US6517304B1 (en) Method for transporting substrates and a semiconductor manufacturing apparatus using the method
US9446910B2 (en) Substrate transfer robot, substrate transfer system, and method for transferring substrate
WO2018051921A1 (en) Substrate transport hand, substrate transport robot, and substrate transfer device
US7651311B2 (en) Substrate container opener and opener-side door drive mechanism thereof
US9786534B2 (en) Efem
US10229847B2 (en) Substrate transfer chamber and container connecting mechanism with lid opening mechanisms
JP5030410B2 (en) Vacuum processing equipment
JP4746027B2 (en) Substrate transfer method
JP3236724B2 (en) Vacuum processing equipment
JP2008103755A5 (en)
US20090035098A1 (en) Lid opening/closing system for closed container and substrate processing method using same
JP2021180324A (en) Substrate transfer robot
JP2012114456A (en) Transfer vessel
WO2021157553A1 (en) Robot, and substrate transportation system comprising same
WO2021131186A1 (en) Conveyance system
JP2004096075A (en) Vacuum processing apparatus
JPH11219912A (en) Vertical heat treatment apparatus
TW202345211A (en) Substrate processing device and substrate processing method
JP2013016843A (en) Substrate carrying robot, substrate carrying device, and semiconductor processing facility

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12