US20190157213A1 - Semiconductor structure with substantially straight contact profile - Google Patents

Semiconductor structure with substantially straight contact profile Download PDF

Info

Publication number
US20190157213A1
US20190157213A1 US15/817,801 US201715817801A US2019157213A1 US 20190157213 A1 US20190157213 A1 US 20190157213A1 US 201715817801 A US201715817801 A US 201715817801A US 2019157213 A1 US2019157213 A1 US 2019157213A1
Authority
US
United States
Prior art keywords
oxidized
layer
block
substantially straight
interlevel dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/817,801
Other languages
English (en)
Inventor
Ronald Naumann
Matthias Zinke
Robert Seidel
Tobais BARCHEWITZ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US15/817,801 priority Critical patent/US20190157213A1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NAUMANN, RONALD, BARCHEWITZ, TOBAIS, SEIDEL, ROBERT, ZINKE, MATTHIAS
Priority to TW107102215A priority patent/TW201924011A/zh
Priority to DE102018202132.5A priority patent/DE102018202132B4/de
Priority to CN201810185273.6A priority patent/CN109817566A/zh
Priority to US16/374,969 priority patent/US11127683B2/en
Publication of US20190157213A1 publication Critical patent/US20190157213A1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • the present disclosure relates to semiconductor structures and, more particularly, to a semiconductor structure with a substantially straight contact profile and methods of manufacture.
  • Semiconductor devices include many different wiring layers. These wiring layers are formed in interlevel dielectric material and may include wiring structures, interconnect contacts, passive devices and active devices. The interconnect contacts are provided in different wiring layers of the die to connect to the different structures, e.g., different wiring structures, etc.
  • an adhesion layer is typically formed at a bottom surface of the interlevel dielectric material, e.g., bulk SiCOH materials, above a wiring structure.
  • the adhesion layer has a different etch rate than the interlevel dielectric material, resulting in a tapered via profile.
  • the etch rate is different for the interlevel dielectric material and the adhesion layer, these materials will etch at a different rate resulting in a tapered profile within the adhesion layer.
  • the tapered via profile leads to interconnect contacts with tapered profiles. This tapered profile of the interconnect contacts leads to electrical performance issues including void formation in the metal material, e.g., copper, as well as and time-dependent gate oxide breakdown (TDDB).
  • TDDB time-dependent gate oxide breakdown
  • etching of these different materials is also known to be difficult to control as it is not possible to measure the thickness of the adhesion layer, in line. And, different thicknesses of the adhesion layer will generate different tapered via profiles.
  • a structure comprises: a block material comprising an upper oxidized layer at an interface with an insulating material; and an interconnect contact structure with a substantially straight profile through the oxidized layer of the block material.
  • a structure comprises: a wiring layer formed in an insulator material; a block material comprising an upper surface composed of oxidized material; an interlevel dielectric material directly on the upper surface; and a contact extending to the wiring layer, through the block material, oxidized material and the interlevel dielectric material, the contact having a substantially straight profile within the oxidized material.
  • a method comprises: forming a blocking material over a wiring structure; oxidizing the blocking material to form an upper oxidized layer; forming an interlevel dielectric material over the oxidized layer; etching a via into the interlevel dielectric material, the oxidized layer and the blocking material to expose the wiring structure, the via having a substantially straight via profile through the oxidized layer; and forming a contact within the via, the contact having a substantially straight profile through the oxidized layer.
  • FIG. 1 shows a structure and respective fabrication processes in accordance with aspects of the present disclosure.
  • FIG. 2 shows a via with a substantially straight profile, amongst other features, and respective fabrication processes in accordance with aspects of the present disclosure.
  • FIG. 3 shows an interconnect contact with a substantially straight profile, amongst other features, and respective fabrication processes in accordance with aspects of the present disclosure.
  • the present disclosure relates to semiconductor structures and, more particularly, to a semiconductor structure with a substantially straight contact profile and methods of manufacture. More specifically, the present disclosure provides a substantially straight or vertical interconnect contact profile within an oxidized film in a blocking layer, below an interlevel dielectric material. Advantageously, by using the oxidized film, the present disclosure provides a more controllable via etching process, resulting in improved electrical parametric values of the interconnect contact, e.g., reduction in voids and time-dependent gate oxide breakdown (TDDB).
  • TDDB time-dependent gate oxide breakdown
  • an oxygen treatment is provided to an upper surface of a BLoK layer, e.g., low-k dielectric insulator material.
  • This oxygen treatment will improve taper control, e.g., etching, at the interface between an interlevel dielectric material and the BLoK layer. That is, by providing the oxygen treatment an oxidized layer of the BLoK layer will have a similar etch rate as the interlevel dielectric layer.
  • the resulting via profile will, in turn, have a straight or substantially straight profile at the interface between the two materials, e.g., substantially 90 degrees as measured relative to the horizontal dielectric surface, as the oxidized layer and the interlevel dielectric layer will have a similar etch rate.
  • the structure of the present disclosure can be manufactured in a number of ways using a number of different tools.
  • the methodologies and tools are used to form structures with dimensions in the micrometer and nanometer scale.
  • the methodologies, i.e., technologies, employed to manufacture the structure of the present disclosure have been adopted from integrated circuit (IC) technology.
  • the structure can be built on wafers and are realized in films of material patterned by photolithographic processes on the top of a wafer.
  • the fabrication of the structure uses three basic building blocks: (i) deposition of thin films of material on a substrate, (ii) applying a patterned mask on top of the films by photolithographic imaging, and (iii) etching the films selectively to the mask.
  • FIG. 1 shows a structure and respective fabrication processes in accordance with aspects of the present disclosure.
  • the structure 10 includes a wiring structure 12 formed in an insulator material 14 .
  • the insulator material 14 can be an oxide based material.
  • the metal wiring structure 12 can be formed of a copper material, for example, using conventional lithography, etching and deposition processes.
  • a resist formed over the insulator material 14 is exposed to energy (light) to form a pattern (opening).
  • An etching process with a selective chemistry e.g., reactive ion etching (RIE)
  • RIE reactive ion etching
  • the resist can then be removed by a conventional oxygen ashing process or other known stripants.
  • the conductive material can be deposited by any conventional deposition processes, e.g., electroplating processes. Any residual material on the surface of the insulator material 14 can be removed by conventional chemical mechanical polishing (CMP) processes.
  • CMP chemical mechanical polishing
  • a block material 16 is formed over the insulator material 14 and the wiring structure 12 .
  • the block material 16 is a low-k dielectric layer e, g., nitride material.
  • the block material 16 can be an NBLoK (NBLoK is a trademark of Applied Materials, Inc.), which is a nitrogen-doped silicon carbide material.
  • the block material 16 can be deposited by any conventional deposition process, e.g., chemical vapor deposition (CVD) processes, to a particular thickness depending on the technology node.
  • CVD chemical vapor deposition
  • the thickness of the block layer and the thickness of the oxidized layer should be balanced such that the remaining block thickness is still sufficient to act as diffusion barrier.
  • the block material 16 undergoes an oxygen treatment to form an oxidized layer 18 .
  • the oxidized layer 18 can be at an upper surface of the block material and, more specifically, can extend about 5 nm to about 25 nm, depending on the technology node; although other thicknesses are also provided herein. In more specific examples, the oxidized layer 18 can be about 20% to about 30% of the thickness of the block material 16 . In one specific embodiment, the oxidized layer 18 can be about 5 nm for a 35 nm thick block material 16 .
  • the oxygen treatment can be provided in an oxygen atmosphere.
  • the oxygen atmosphere can be, e.g., O 2 , NO 2 or CO 2 , in a carrier gas in a CVD chamber.
  • the oxygen treatment can be provided after the start of the deposition process using the same CVD chamber as the deposition process.
  • the oxidation treatment can be provided after the start of or at the end of the deposition process of the block material 16 . In this way, the oxidation can be provided in situ.
  • the oxygen treatment can be provided prior to the deposition interlevel dielectric material, e.g., oxygen pre-treatment before SiCOH deposition, in either an external tool or within the deposition chamber.
  • the oxygen treatment can be provided using a remote plasma tool, after the deposition process.
  • the oxygen treatment should not affect the underlying metal features, e.g., wiring structure 12 .
  • an interlevel dielectric material 20 is deposited over the block material 16 and, in more specific embodiments, the interlevel dielectric material 20 can be bulk SiCOH deposited directly on the oxidized layer 18 using a conventional blanket deposition process, e.g., CVD. Accordingly, in this latter implementation, the oxygen treatment process would occur prior to the deposition of the interlevel dielectric material 20 .
  • the etch rate of the interlevel dielectric material 20 and the oxidized layer 18 is similar, as should be understood by those of skill in the art.
  • a stack of hardmasks 22 , 24 is deposited on the interlevel dielectric material 20 .
  • the hardmask 22 is an ILD hardmask 22 and the hardmask 24 is a TiN hardmask, as examples.
  • FIG. 2 shows a via 26 formed within the structure of FIG. 1 .
  • the via 26 can be formed by a conventional dual or single damascene process, as should be understood by those of skill in the art such that no further explanation is required herein.
  • the etching rate of the interlevel dielectric material 20 and the oxidized layer 18 have a substantially same etch rate, the portion of the via formed within the oxidized layer 18 will have a substantially straight profile 28 (regardless of the thickness of the oxidized layer).
  • the substantially straight profile 28 is it means substantially 90 degrees as measured relative to the horizontal surface of the dielectric material or underlying wiring structure 12 .
  • the etching process can be performed using conventional etching cycles, e.g., RIE processes, with the interlevel dielectric material 20 and the oxidized layer 18 , amongst the other layers, being etched together, to expose the underlying wiring structure 12 .
  • conventional etching cycles e.g., RIE processes
  • FIG. 3 shows an interconnect contact 30 with a substantially straight profile, amongst other features, formed in the via 26 .
  • the hardmasks can be removed by known stripping processes.
  • the interconnect contact 30 will be formed within the via by conventional deposition processes, followed by a chemical mechanical polishing (CMP), as an example.
  • CMP chemical mechanical polishing
  • the deposition of tungsten can be a CVD process
  • the deposition of aluminum can be a plasma vapor deposition (PVD) process
  • PVD plasma vapor deposition
  • other metal or metal alloy materials can be deposited by an electroplating process.
  • the straight profile is due to the fact that the interconnect material is deposited within the via with the straight profile 28 .
  • the method(s) as described above is used in the fabrication of integrated circuit chips.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
US15/817,801 2017-11-20 2017-11-20 Semiconductor structure with substantially straight contact profile Abandoned US20190157213A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US15/817,801 US20190157213A1 (en) 2017-11-20 2017-11-20 Semiconductor structure with substantially straight contact profile
TW107102215A TW201924011A (zh) 2017-11-20 2018-01-22 具有實質上直的接觸輪廓的半導體結構
DE102018202132.5A DE102018202132B4 (de) 2017-11-20 2018-02-12 Verfahren zur Herstellung einer Halbleiterstruktur mit einem im Wesentlichen geraden Kontaktprofil
CN201810185273.6A CN109817566A (zh) 2017-11-20 2018-03-07 具有基本上直的接触轮廓的半导体结构
US16/374,969 US11127683B2 (en) 2017-11-20 2019-04-04 Semiconductor structure with substantially straight contact profile

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/817,801 US20190157213A1 (en) 2017-11-20 2017-11-20 Semiconductor structure with substantially straight contact profile

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/374,969 Division US11127683B2 (en) 2017-11-20 2019-04-04 Semiconductor structure with substantially straight contact profile

Publications (1)

Publication Number Publication Date
US20190157213A1 true US20190157213A1 (en) 2019-05-23

Family

ID=66336631

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/817,801 Abandoned US20190157213A1 (en) 2017-11-20 2017-11-20 Semiconductor structure with substantially straight contact profile
US16/374,969 Active US11127683B2 (en) 2017-11-20 2019-04-04 Semiconductor structure with substantially straight contact profile

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/374,969 Active US11127683B2 (en) 2017-11-20 2019-04-04 Semiconductor structure with substantially straight contact profile

Country Status (4)

Country Link
US (2) US20190157213A1 (de)
CN (1) CN109817566A (de)
DE (1) DE102018202132B4 (de)
TW (1) TW201924011A (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114597167A (zh) * 2022-05-10 2022-06-07 合肥晶合集成电路股份有限公司 一种金属互连结构及其制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US7807567B2 (en) * 2003-05-30 2010-10-05 Nec Electronics Corporation Semiconductor device with interconnection structure for reducing stress migration
US8017522B2 (en) * 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US20130087923A1 (en) * 2010-08-09 2013-04-11 International Business Machines Corporation Multi component dielectric layer

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6221780B1 (en) 1999-09-29 2001-04-24 International Business Machines Corporation Dual damascene flowable oxide insulation structure and metallic barrier
DE10240176A1 (de) 2002-08-30 2004-04-29 Advanced Micro Devices, Inc., Sunnyvale Ein dielektrischer Schichtstapel mit kleiner Dielektrizitätskonstante einschliesslich einer Ätzindikatorschicht zur Anwendung in der dualen Damaszenertechnik
DE10260619B4 (de) 2002-12-23 2011-02-24 Globalfoundries Inc. Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6893959B2 (en) 2003-05-05 2005-05-17 Infineon Technologies Ag Method to form selective cap layers on metal features with narrow spaces
US7030031B2 (en) 2003-06-24 2006-04-18 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
US7547643B2 (en) 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7223654B2 (en) * 2005-04-15 2007-05-29 International Business Machines Corporation MIM capacitor and method of fabricating same
CN101641781B (zh) * 2007-02-21 2012-04-04 富士通半导体股份有限公司 半导体装置及其制造方法
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
CN103187359B (zh) * 2011-12-29 2015-07-08 中芯国际集成电路制造(上海)有限公司 金属互连线的形成方法
TWI520351B (zh) * 2013-03-11 2016-02-01 華亞科技股份有限公司 堆疊式電容式結構及其製造方法
CN105226008B (zh) * 2014-06-27 2018-07-10 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
CN105336674B (zh) * 2014-07-28 2018-03-30 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9985122B2 (en) 2015-05-19 2018-05-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7807567B2 (en) * 2003-05-30 2010-10-05 Nec Electronics Corporation Semiconductor device with interconnection structure for reducing stress migration
US8017522B2 (en) * 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US20130087923A1 (en) * 2010-08-09 2013-04-11 International Business Machines Corporation Multi component dielectric layer

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Chen et al., "Effects of Oxygen Plasma Ashing on Barrier Dielectric SiCN Film", Electrochemical and Solid-State Letters, 8 (1) G11-G13 (2005). *
King, Sean W., "Dielectric Barrier, Etch Stop, and Metal Capping Materials for State of the Art and beyond Metal Interconnects", ECS Journal of Solid State and Technology, 4 (1) N3029-N3047, 2005 *
Yuan et al., "Reducing Cu diffusion in SICOH low-K films by O2 plasma treatment",k Microelectronic Engineering, Volume 86, Issue 10, October 2009, pg.s 2119-2122 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114597167A (zh) * 2022-05-10 2022-06-07 合肥晶合集成电路股份有限公司 一种金属互连结构及其制作方法

Also Published As

Publication number Publication date
DE102018202132A1 (de) 2019-05-23
US20190229063A1 (en) 2019-07-25
CN109817566A (zh) 2019-05-28
US11127683B2 (en) 2021-09-21
TW201924011A (zh) 2019-06-16
DE102018202132B4 (de) 2022-09-01

Similar Documents

Publication Publication Date Title
US10177028B1 (en) Method for manufacturing fully aligned via structures having relaxed gapfills
US9613861B2 (en) Damascene wires with top via structures
US10937694B2 (en) Chamferless via structures
US6291331B1 (en) Re-deposition high compressive stress PECVD oxide film after IMD CMP process to solve more than 5 metal stack via process IMD crack issue
TWI497591B (zh) 製造具有自動對準介電帽之互連結構的結構及方法
US20160358820A1 (en) Via formation using sidewall image tranfer process to define lateral dimension
US10636698B2 (en) Skip via structures
US10153232B2 (en) Crack stop with overlapping vias
US10832946B1 (en) Recessed interconnet line having a low-oxygen cap for facilitating a robust planarization process and protecting the interconnect line from downstream etch operations
US8293638B2 (en) Method of fabricating damascene structures
US7851919B2 (en) Metal interconnect and IC chip including metal interconnect
US11127683B2 (en) Semiconductor structure with substantially straight contact profile
US9941214B2 (en) Semiconductor devices, methods of manufacture thereof, and inter-metal dielectric (IMD) structures
US11114338B2 (en) Fully aligned via in ground rule region
US10833149B2 (en) Capacitors
US9831124B1 (en) Interconnect structures
KR20060075748A (ko) 반도체 소자의 금속 배선 형성 방법
US11101169B2 (en) Interconnect structures with airgaps arranged between capped interconnects
US9812404B2 (en) Electrical connection around a crackstop structure
US9633946B1 (en) Seamless metallization contacts
US20090032491A1 (en) Conductive element forming using sacrificial layer patterned to form dielectric layer

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NAUMANN, RONALD;ZINKE, MATTHIAS;SEIDEL, ROBERT;AND OTHERS;SIGNING DATES FROM 20171114 TO 20171120;REEL/FRAME:044179/0106

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117