US20060225299A1 - Method of transferring a substrate - Google Patents

Method of transferring a substrate Download PDF

Info

Publication number
US20060225299A1
US20060225299A1 US11/451,315 US45131506A US2006225299A1 US 20060225299 A1 US20060225299 A1 US 20060225299A1 US 45131506 A US45131506 A US 45131506A US 2006225299 A1 US2006225299 A1 US 2006225299A1
Authority
US
United States
Prior art keywords
substrate transfer
transfer chamber
purge gas
gas
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/451,315
Inventor
Hyun-Joo Kim
Yo-han Ahn
Dong-Seok Ham
Jae-Bong Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US11/451,315 priority Critical patent/US20060225299A1/en
Publication of US20060225299A1 publication Critical patent/US20060225299A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Definitions

  • the present invention is related to a module for transferring a substrate. More particularly, the present invention is related to a module for transferring a substrate between a container to receive a plurality of substrates and a module for processing the substrate.
  • semiconductor devices are manufactured through a three-step process.
  • a fabrication process is performed for forming electronic circuits on a silicon wafer used as a semiconductor substrate.
  • an electrical die sorting (EDS) process is performed for inspecting electrical characteristics of the semiconductor devices on the semiconductor substrate.
  • a packaging process is performed for packaging the semiconductor devices in epoxy resins and individuating the semiconductor devices.
  • the fabrication process may include a deposition process for depositing a layer on the substrate, a chemical mechanical polishing (CMP) process for planarizing a surface of the layer, a photolithography process for forming a photoresist pattern on the layer, an etching process for an electrical pattern using the photoresist pattern, an ion implantation process for implanting predetermined ions into predetermined portions of the substrate, a cleaning process for removing impurities from the substrate, an inspection process for inspecting the surface of the substrate on which the layer or the pattern is formed, or other similar processes.
  • CMP chemical mechanical polishing
  • a substrate process apparatus includes a load lock chamber maintained under a low vacuum condition, a process chamber in which the process is performed, and a substrate transfer chamber for transferring the semiconductor substrate between the load lock chamber and the process chamber.
  • the substrate transfer module includes a load port for supporting a front opening unified pod (FOUP), a substrate transfer chamber disposed between the load port and the load lock chamber, and a substrate transfer robot for transferring the semiconductor substrate between the FOUP and the load lock chamber.
  • FOUP front opening unified pod
  • a fan filter unit (FFU) is connected to an upper portion of the substrate transfer chamber.
  • the fan filter unit supplies an interior of the substrate transfer chamber with clean air for preventing contamination of the semiconductor substrate being transferred by the substrate transfer robot.
  • a plurality of exhaust holes is formed through a bottom panel of the substrate transfer chamber in order to exhaust the clean air supplied from the fan filter unit out of the substrate transfer chamber or to a clean room in which the substrate process apparatus is provided.
  • the pressure of the clean room in which the substrate process apparatus is provided, is generally a positive pressure (i.e., higher than atmospheric pressure).
  • a multichamber processing system including a container-housing chamber, a cleaning chamber and a load lock chamber is disclosed in the prior art.
  • the cleaning chamber has an inlet line for introducing a clean gas into the cleaning chamber and a pressure control device for controlling the pressure in the cleaning chamber.
  • the pressure control device includes a valve for adjusting a flow rate of the gas in the inlet line, a differential pressure gauge for detecting a differential pressure between the pressure in the cleaning chamber and the atmospheric pressure, and a valve controller for adjusting an opening degree of the valve so that the pressure in the cleaning chamber is maintained at the positive pressure based on a result of the detecting by the differential pressure gauge.
  • wafer atmospheric transport module having a controlled mini-environment
  • a blower located in the top region of an enclosed housing is configured to generate a flow of air downward.
  • the airflow generated by the blower is restricted from freely flowing through a perforated sheet and is partially induced to be redirected toward the shelf, and a cassette having one or more wafers is configured to sit on a shelf in the enclosed housing and thus be subjected to the redirected air flow.
  • the fan filter unit includes a fan for supplying external air into the substrate transfer chamber and a filter for removing particles contained in the external air being supplied into the substrate transfer chamber.
  • the filter cannot remove airborne molecular contaminants, such as organic contaminants, contained in the external air being supplied into the substrate transfer chamber. Such airborne molecular contaminants may cause various defects on the semiconductor substrate.
  • Examples of the defects may include a variation of a critical dimension (CD) of a photochemical amplified resist pattern and T-top defect caused by ammonia, a natural oxide layer generated by ozone, condensational contaminants or the like. Variation of the critical dimension of the photochemical amplified resist pattern and T-top defect may be detected in the fabrication process, and operation failure of electrical circuit elements such as transistors due to organic contaminants such as dioctyl phthalate (DOP) may be detected in the electrical die sorting process.
  • DOP dioctyl phthalate
  • the present invention provides a module for transferring a substrate that prevents the substrate from being contaminated by impurities including particles and airborne molecular contaminants during transfer of the substrate between a FOUP and a substrate process module.
  • a module for transferring a substrate including a load port for supporting a container to receive a plurality of substrates, a substrate transfer chamber disposed between the load port and a substrate process module for processing the substrates, a substrate transfer robot disposed in the substrate transfer chamber for transferring the substrates between the container and the substrate process module, a gas supply unit connected to the substrate transfer chamber for supplying a purge gas into the substrate transfer chamber so as to purge an interior of the substrate transfer chamber, and a contamination control unit connected to the substrate transfer chamber for circulating the purge gas supplied into the substrate transfer chamber, resupplying the circulated purge gas into the substrate transfer chamber and removing particles and airborne molecular contaminants from the purge gas being circulated.
  • the container preferably includes a front opening unified pod (FOUP).
  • the module may further include a door opener for opening and closing a door of the FOUP.
  • the gas supply unit may include a gas source for providing the purge gas, a gas supply pipe for connecting the gas source and the substrate transfer chamber, and a flow controller installed in the gas supply pipe for adjusting a flow rate of the purge gas being supplied into the substrate transfer chamber.
  • the purge gas may include a nitrogen gas
  • the gas source may include a storage container for storing the nitrogen gas and a purifier for purifying the nitrogen gas.
  • the purge gas may include air
  • the gas source may include a storage container for storing compressed air and a purifier for removing impurities contained in the air being supplied from the storage container.
  • the purifier preferably includes a molecular sieve purifier or a catalytic purifier.
  • the contamination control unit may include a gas circular pipe connecting an upper portion and a lower portion of the substrate transfer chamber, an air pump installed in the gas circular pipe for sucking the purge gas supplied into the substrate transfer chamber and circulating the sucked purge gas through the gas circular pipe, a filtering part installed in the gas circular pipe for removing the particles and the airborne molecular contaminants contained in the purge gas being circulated, and a flow controller installed in the gas circular pipe for controlling a flow rate of the purge gas being circulated.
  • the contamination control unit may further include a gas exhaust pipe connected to the gas circular pipe for exhausting the purge gas being circulated and a valve installed in the gas exhaust pipe for opening and closing the gas exhaust pipe.
  • the contamination control unit may further include a valve installed in the gas circular pipe between the lower portion of the substrate transfer chamber and the air pump for opening and closing the gas circular pipe.
  • the filtering part may include a particle filter for removing the particles contained in the purge gas being circulated, a moisture purifier for removing moisture contained in the purge gas being circulated, and an organic contaminant filter for removing organic contaminants contained in the purge gas being circulated.
  • the moisture purifier is preferably a molecular sieve moisture purifier; the organic contaminant filter is preferably an activated carbon filter.
  • the module may further include a distribution panel horizontally disposed in the substrate transfer chamber, the distribution panel having a plurality of holes for uniformly supplying the purge gas into the substrate transfer chamber, and a particle filter disposed between the distribution panel and the substrate transfer robot for removing particles contained in the purge gas being supplied through the holes of the distribution panel.
  • an ionizer may be disposed between the distribution panel and the particle filter for removing static electricity from the substrates.
  • the purge gas may be an inert gas, and the inert gas may be a nitrogen gas. Alternatively, the purge gas may be purified air.
  • a photo catalyst filter may be disposed between the distribution panel and the particle filter for removing organic contaminants contained in the purge gas being supplied into the substrate transfer chamber, and an ultraviolet lamp for applying ultraviolet rays onto the photo catalyst filter.
  • An ozone filter may be disposed between the photo catalyst filter and the particle filter for removing ozone contained in the purge gas being supplied into the substrate transfer chamber.
  • a differential pressure sensor may be connected to the substrate transfer chamber for measuring a differential pressure between an internal pressure and an external pressure of the substrate transfer chamber and a control unit for comparing the differential pressure measured by the differential pressure sensor with a predetermined differential pressure, and for adjusting a flow rate of the purge gas being supplied into the substrate transfer chamber and a flow rate of the purge gas being circulated through the contamination control unit in accordance with a comparison result.
  • a perforated panel may be disposed above a bottom panel of the substrate transfer chamber for passing the purge gas supplied into the substrate transfer chamber therethrough, wherein the perforated panel has a plurality of holes, and the contamination control unit is connected to the bottom panel of the substrate transfer chamber.
  • the interior of the substrate transfer chamber is purged by the purge gas supplied from the gas supply unit and the resupplied purge gas from the contamination control unit, and thus impurities including particles and airborne molecular contaminants do not flow into the substrate transfer chamber. As a result, contamination of the substrate due to the impurities may be prevented.
  • the purge gas supplied into the substrate transfer chamber is circulated by means of the contamination control unit, and thus an amount of the purge gas used in the substrate transfer module may be reduced.
  • FIG. 1 illustrates a cross-sectional view of a module for transferring a substrate according to one embodiment of the present invention.
  • FIG. 2 illustrates a plan view of a substrate process apparatus having the substrate transfer module as shown in FIG. 1 .
  • FIG. 3 is a block diagram illustrating operation of the substrate transfer module as shown in FIG. 1 .
  • FIG. 4 illustrates a cross-sectional view of a module for transferring a substrate according to another embodiment of the present invention.
  • FIG. 1 illustrates a cross-sectional view of a module for transferring a substrate according to one embodiment of the present invention
  • FIG. 2 illustrates a plan view of a substrate process apparatus having the substrate transfer module as shown in FIG. 1 .
  • a substrate process apparatus 200 performs some processes, for example, a deposition process for forming a layer on a semiconductor substrate 10 or an etching process for etching the layer on the semiconductor substrate 10 to form an electrical pattern on the semiconductor substrate 10 .
  • the substrate process apparatus 200 preferably includes a substrate process module 210 for processing the semiconductor substrate 10 , and a substrate transfer module 300 for transferring the semiconductor substrate 10 between a container for receiving a plurality of semiconductor substrates 10 and the substrate process module 210 .
  • the container preferably includes a front opening unified pod (FOUP) 20 .
  • FOUP front opening unified pod
  • a pair of load lock chambers 220 is disposed between the substrate process module 210 and the substrate transfer module 300 .
  • the substrate process module 210 preferably includes a plurality of process chambers 230 for processing the semiconductor substrates 10 , a first substrate transfer chamber 240 for connecting between the load lock chambers 220 and the process chambers 230 , and a first substrate transfer robot 250 for transferring the semiconductor substrates 10 between the load lock chambers 220 and the process chambers 230 .
  • the substrate transfer module 300 preferably includes a load port 302 for supporting the FOUP 20 , a second substrate transfer chamber 304 disposed between the load port 302 and the load lock chambers 220 , a second substrate transfer robot 306 for transferring the semiconductor substrates 10 between the FOUP 20 and the load lock chambers 220 , a gas supply unit 320 for supplying a purge gas into the second substrate transfer chamber 304 in order to purge an interior of the second substrate transfer chamber 304 , and a contamination control unit 340 for preventing contamination of the semiconductor substrates 10 .
  • the load port 302 supports the FOUP 20 and brings a door 22 of the FOUP 20 into tight contact with a door 308 of the second substrate transfer chamber 304 .
  • a door opener 310 for opening and closing the door 22 of the FOUP 20 is provided in the second substrate transfer chamber 304 and is connected to the door 308 of the second substrate transfer chamber 304 .
  • the door opener 310 simultaneously opens the door 22 of the FOUP 20 and the door 308 of the second substrate transfer chamber 304 .
  • the second substrate transfer robot 306 is disposed to transfer the semiconductor substrates 10 in the second substrate transfer chamber 304 .
  • a driving part 312 for moving the second substrate transfer robot 306 horizontally is installed on an inner sidewall of the second substrate transfer chamber 304 , and the second substrate transfer robot 306 is connected to the driving part 312 .
  • the second substrate transfer robot 306 may be installed on a bottom panel 314 of the second substrate transfer chamber 304 . That is, although the second substrate transfer robot 306 shown in FIG. 1 is connected to the driving part 312 , numerous other configurations are possible.
  • the gas supply unit 320 is connected to an upper panel 316 of the second substrate transfer chamber 304 .
  • the gas supply unit 320 preferably includes a gas supply pipe 322 connected to the upper panel 316 , a gas source 324 for supplying the purge gas, and a first flow controller 326 for adjusting a flow rate of the purge gas supplied from the gas source 324 .
  • a mass flow controller may be used as the first flow controller 326 , and an inert gas such as nitrogen gas, argon gas or the like may be used as the purge gas.
  • the gas supply unit 320 preferably supplies the purge gas into the second substrate transfer chamber 304
  • the gas source 324 preferably includes a storage container 328 for storing the purge gas and a gas purifier 330 for purifying the purge gas. It is preferable that an oxygen content and a water content of nitrogen gas used as the purge gas are less than about 10 ppb.
  • the gas purifier 330 may include an area purifier, a bulk purifier or the like.
  • the contamination control unit 340 connected to the second substrate transfer chamber 304 circulates the purge gas supplied into the second substrate transfer chamber 304 , resupplies the circulated purge gas into the second substrate transfer chamber 304 , and removes particles and airborne molecular contaminants contained in the purge gas being circulated.
  • the contamination control unit 340 preferably includes a gas circular pipe 342 , an air pump 344 , a filtering part 346 , and a second flow controller 348 .
  • the gas circular pipe 342 is extended from the bottom panel 314 of the second substrate transfer chamber 304 , and is connected to the gas supply pipe 322 connected to the upper panel 316 of the second substrate transfer chamber 304 .
  • the air pump 344 is installed in the gas circular pipe 342 , sucks the purge gas supplied into the second substrate transfer chamber 304 through the gas circular pipe 342 , and circulates the sucked purge gas through the gas circular pipe 342 .
  • the filtering part 346 is installed in the gas circular pipe 342 , and removes the particles and the airborne molecular contaminants contained in the purge gas being circulated.
  • the second flow controller 348 adjusts a flow rate of the purge gas purified by the filtering part 346 .
  • the contamination control unit 340 further includes a gas exhaust pipe 350 , an exhaust valve 352 , and a gate valve 354 .
  • the gas exhaust pipe 350 is connected to the gas circular pipe 342 , and exhausts the nitrogen gas circulated by the air pump 344 .
  • the exhaust valve 352 is installed in the gas exhaust pipe 350 , and opens and closes the gas exhaust pipe 350 .
  • the gate valve 354 is installed in the circular pipe 342 between the second substrate transfer chamber 304 and the air pump 344 .
  • a relief valve may be used as the exhaust valve 352 .
  • the relief valve used as the exhaust valve 352 compares the pressure in the circular pipe 342 with a predetermined pressure, and adjusts the flow rate of the purge gas exhausted through the gas exhaust pipe 350 in accordance with a comparison result.
  • a proportional control valve may instead be used as the exhaust valve 352 . In this case, the proportional control valve adjusts the flow rate of the exhausted purge gas in accordance with the pressure in the circular pipe 342 .
  • the exhaust valve 342 may be controlled by a control unit (not shown) for adjusting an internal pressure in the second substrate transfer chamber 304 .
  • gas exhaust pipe 350 and the exhaust valve 352 are disposed between the air pump 344 and the filtering part 346 , in FIG. 1 , various other configurations are possible.
  • the gas exhaust pipe 350 and the exhaust valve 352 may be disposed between the filtering part 346 and the second flow controller 348 .
  • the gate valve 354 When the air condition of the interior of the second substrate transfer chamber 304 is changed abruptly, the gate valve 354 is closed. For example, when a side panel of the second substrate transfer chamber 304 is opened for maintaining the substrate transfer module 300 , the gate valve 354 is closed so that the purge gas supplied into the second substrate transfer chamber 304 is exhausted through an open portion of the second substrate transfer chamber 304 . Accordingly, external air cannot flow into the second substrate transfer chamber 304 , thereby preventing contamination of the semiconductor substrates 10 by external air. Furthermore, external air is prevented from flowing into the air pump 344 and the filtering part 346 through the gas circular pipe 342 .
  • the filtering part 346 for removing impurities contained in the purge gas, which is being circulated through the circular pipe 342 preferably includes a first particle filter 356 for removing the particles, a moisture purifier 358 for removing moisture, and an organic contaminant filter 360 for removing organic contaminants such as a volatile organic compound (VOC).
  • a first particle filter 356 for removing the particles preferably includes a moisture purifier 358 for removing moisture, and an organic contaminant filter 360 for removing organic contaminants such as a volatile organic compound (VOC).
  • VOC volatile organic compound
  • a high efficiency particulate air (HEPA) filter or an ultra low penetration air (ULPA) filter may be used as the first particle filter 356 , and an activated carbon filter may be used as the organic contaminant filter 360 .
  • a pair of molecular sieve moisture purifiers 358 a and 358 b may be used as the moisture purifier 358 .
  • the pair of molecular sieve moisture purifiers 358 a and 358 b is disposed between the first particle filter 356 and the organic contaminant filter 360 , and is connected in parallel to the gas circular pipe 342 through a pair of three-way valves 362 .
  • a first molecular sieve moisture purifier 358 a is connected to the gas circular pipe 342
  • a second molecular sieve moisture purifier 358 b is restored.
  • the first molecular sieve moisture purifier 358 a is restored while the second molecular sieve moisture purifier 358 b is connected to the gas circular pipe 342 .
  • the interior of the second substrate transfer chamber 304 may be divided into an upper area 304 a connected with the gas supply pipe 322 , a lower area 304 c connected with the gas circular pipe 342 , and a substrate handling area 304 b for transferring the semiconductor substrates 10 between the upper area 304 a and the lower area 304 c.
  • the upper area 304 a and the substrate handling area 304 b are divided by a second particle filter 370
  • the substrate handling area 304 b and the lower area 304 c are divided by a perforated panel 372 .
  • a HEPA filter or an ULPA filter may be used as the second particle filter 370 .
  • a distribution panel 374 is disposed in the upper area 304 a defined between the upper panel 316 of the second substrate transfer chamber 304 and the second particle filter 370 , and has a plurality of holes 374 a .
  • the distribution panel 374 uniformly provides the purge gas supplied from the gas supply unit 320 and the purge gas circulated through the gas circular pipe 342 into the substrate handling area 304 b.
  • the purge gas supplied into the second substrate transfer chamber 304 is dried by the gas purifier 330 of the gas supply unit 320 and the moisture purifier 358 of the contamination control unit 340 .
  • An ionizer 376 is disposed between the distribution panel 374 and the second particle filter 370 . The ionizer 376 removes static electricity from the semiconductor substrate 10 due to the dried purge gas.
  • the second substrate transfer robot 306 is disposed in the substrate handling area 304 b .
  • the distribution panel 374 forms a flow of the purge gas supplied through the gas supply pipe 322 into a laminar flow, and the perforated panel 372 assists function of the distribution panel 374 .
  • the perforated panel 372 has a plurality of holes 372 a similar to those of the distribution panel 374 . Accordingly, the second substrate transfer robot 306 can stably transfer the semiconductor substrate 10 .
  • arrows represent the laminar flow of the purge gas in the second substrate transfer chamber 304
  • reference designator 380 indicates a differential pressure sensor for measuring a differential pressure between an internal pressure and an external pressure of the second substrate transfer chamber 304 .
  • FIG. 3 is a block diagram illustrating operation of the substrate transfer module as shown in FIG. 1 .
  • the differential pressure sensor 380 is connected to a control unit 390 .
  • the elements already described in connection with the substrate transfer module 300 shown in FIG. 1 are also connected to the control unit 390 .
  • the differential pressure sensor 380 measures the differential pressure between the internal pressure and the external pressure of the second substrate transfer chamber 304 , and sends the measured differential pressure to the control unit 390 .
  • the control unit 390 compares the measured differential pressure with a predetermined differential pressure, and controls operations of the first and second flow controllers 326 and 348 in accordance with a comparison result. For example, when the door 22 of the FOUP 20 is opened by the door opener 310 , the control unit 390 increases the flow rate of the purge gas from the gas supply unit 320 and decreases the flow rate of the purge gas circulated through the gas circular pipe 342 .
  • the purge gas supplied from the gas supply unit 320 purges an interior of the FOUP 20 , and air inside the FOUP 20 is exhausted through the gas exhaust pipe 350 and the exhaust valve 352 . Accordingly, the differential pressure is evenly maintained at the predetermined differential pressure.
  • the control unit 390 may suitably control an operation of the exhaust valve 352 installed in the gas exhaust pipe 350 . That is, the control unit 390 preferably adjusts the flow rate of purge gas exhausted through the gas exhaust pipe 350 .
  • the control unit 390 suitably controls the operations of the exhaust valve 352 and the second flow controller 348 , thereby adjusting the purge gas being circulated through the circular pipe 342 .
  • control unit 390 suitably controls operations of the air pump 344 and the gate valve 354 , thereby adjusting the flow rate of the circulated purge gas.
  • the control unit 390 closes the gate valve 354 , stops the operation of the air pump 344 and then increases the flow rate of purge gas being supplied from the gas supply unit 320 .
  • the purge gas supplied into the second substrate 304 is exhausted through the open portion of the second substrate transfer chamber 304 , and external air cannot flow into the second substrate transfer chamber 304 .
  • control unit 390 suitably controls operations of the pair of three-way valves 362 such that each of the pair of three-way valves is alternately connected with the gas circular pipe 342 .
  • FIG. 4 illustrates a cross-sectional view of a module for transferring a substrate according to another embodiment of the present invention.
  • a substrate transfer module 400 preferably includes a load port 402 for supporting a FOUP 20 , a substrate transfer chamber 404 disposed between the load port 402 and a load lock chamber (referring to FIG. 2 ), a substrate transfer robot 406 for transferring semiconductor substrates 10 between the FOUP 20 and the load lock chamber, a door opener 410 for opening and closing a door 22 of the FOUP 20 , a gas supply unit 420 for supplying purge gas in order to purge an interior of the substrate transfer chamber 404 , and a contamination control unit 440 for preventing contamination of the semiconductor substrates 10 .
  • a driving part 412 for moving the second substrate transfer robot 306 horizontally is installed on an inner sidewall of the second substrate transfer chamber 404 , and the second substrate transfer robot 406 is connected to the driving part 412 .
  • the gas supply unit 420 is connected to an upper panel 416 of the substrate transfer chamber 404 , and preferably includes a gas supply pipe 422 connected to the upper panel 416 , a gas source 424 for supplying the purge, and a first flow controller 426 for adjusting a flow rate of the purge gas.
  • a MFC may be used as the first flow controller 426 , and purified air may be used as the purge gas.
  • the gas source 424 preferably includes a storage container 428 for storing compressed air and a purifier for removing impurities contained in the air being supplied from the storage container.
  • the purifier preferably includes a first purifier 430 for primarily purifying the air and a second purifier 432 for secondarily purifying the primarily purified air.
  • the first purifier 430 removes water H 2 O and carbon dioxide CO 2 contained in the air being supplied from the storage container 428
  • the second purifier 432 removes water H 2 O, carbon monoxide CO, sulfur oxides SOx, nitrogen oxides NOx or the like contained in the primarily purified air.
  • a molecular sieve purifier and a catalytic purifier may be used as the first and second purifiers 430 and 432 , respectively.
  • the contamination control unit 440 is connected to the substrate transfer chamber 404 , circulates the air supplied into the substrate transfer chamber 404 , and then resupplies the circulated air into the substrate transfer chamber 404 . Also, the contamination control unit 440 removes particles and airborne molecular contaminants contained in the air being circulated.
  • the contamination control unit 440 preferably includes a gas circular pipe 442 , an air pump 444 , a filtering part 446 , and a second flow controller 448 .
  • the gas circular pipe 442 is extended from a bottom panel 414 of the substrate transfer chamber 404 , and is connected to the gas supply pipe 422 connected to the upper panel 416 of the substrate transfer chamber 404 .
  • the air pump 444 is installed in the gas circular pipe 442 , sucks the air supplied into the substrate transfer chamber 404 through the gas circular pipe 442 , and circulates the sucked air through the gas circular pipe 442 .
  • the filtering part 446 is installed in the gas circular pipe 442 , and removes particles and airborne molecular contaminants contained the air being circulated.
  • the second flow controller 448 adjusts a flow rate of the air purified by the filtering part 446 .
  • the contamination control unit 440 further includes a gas exhaust pipe 450 , an exhaust valve 452 and a gate valve 454 .
  • the gas exhaust pipe 450 is connected to the gas circular pipe 442 , and exhausts the air circulated by the air pump 444 .
  • the exhaust valve 452 is installed in the gas exhaust pipe 450
  • the gate valve 454 is installed in the circular pipe 442 between the second substrate transfer chamber 404 and the air pump 444 .
  • the filtering part 446 for the impurities contained in the air being circulated through the circular pipe 442 preferably includes a first particle filter 456 for removing the particles, a moisture purifier 458 for removing moisture, and an organic contaminant filter 460 for removing organic contaminants such as a volatile organic compound (VOC).
  • a first particle filter 456 for removing the particles
  • a moisture purifier 458 for removing moisture
  • an organic contaminant filter 460 for removing organic contaminants such as a volatile organic compound (VOC).
  • a HEPA filter or an ULPA filter may be used as the first particle filter 456 , and an activated carbon filter may be used as the organic contaminant filter 460 .
  • a pair of molecular sieve moisture 458 a and 458 b may be used as the moisture purifier 458 .
  • the pair of molecular sieve moisture purifiers 458 a and 458 b is disposed between the first particle filter 456 and the organic contaminant filter 460 , and is connected in parallel to the circular pipe 442 through a pair of three-way valves 462 .
  • the interior of the substrate transfer chamber 404 may be divided into an upper area 404 a , a substrate handling area 404 b and a lower area 404 c.
  • a distribution panel 474 having a plurality of holes 474 a is disposed in the upper area 404 a .
  • the distribution panel 474 uniformly provides the air supplied from the gas supply unit 420 and the air circulated through the gas circular pipe 442 into the substrate handling area 404 b.
  • An ionizer 476 is disposed between the distribution panel 474 and a second particle filter 470 .
  • the ionizer 476 removes static electricity from the semiconductor substrate 10 due to the dry air supplied into the substrate transfer chamber 404 .
  • a photo catalyst filter 478 for removing organic contaminants and an ultraviolet lamp 480 for applying ultraviolet rays onto the photo catalyst filter 478 are disposed between the ionizer 476 and the second particle filter 470 .
  • An aluminum Al mesh coated with titanium dioxide TiO 2 may be used as the photo catalyst filter 478 , and it is preferable that the ultraviolet rays irradiated from the ultraviolet lamp 480 have a wavelength of 254 nm or longer.
  • the ionizer 476 and the photo catalyst filter 478 cause ozone to generate from the air supplied through the gas supply pipe 422 .
  • the ozone may be removed by means of an ozone filter 482 disposed between the photo catalyst filter 478 and the second particle filter 470 .
  • the substrate transfer robot 406 is disposed in the substrate handling area 404 b .
  • the distribution panel 474 forms a flow of the air supplied through the gas supply pipe 422 into a laminar flow, and a perforated panel 472 assists function of the distribution panel 474 .
  • the perforated panel 472 has a plurality of holes 472 a similar to those of the distribution panel 474 . Accordingly, the substrate transfer robot 406 can stably transfer the semiconductor substrate 10 .
  • arrows represent the laminar flow of the air in the substrate transfer chamber 404
  • the reference designators 408 and 484 indicate a door of the substrate transfer chamber 404 and a differential pressure sensor for measuring a differential pressure between an internal pressure and an external pressure of the second substrate transfer chamber 404 , respectively.
  • a control unit controls operations of the elements of the substrate transfer module 400 , based on the differential pressure measured by the differential pressure sensor 484 .
  • the purge gas supplied from the gas supply unit purges the interior of the substrate transfer chamber.
  • the contamination control unit circulates and purifies the purge gas supplied into the substrate transfer chamber, and then resupplies the circulated/purified purge gas into the substrate transfer chamber.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

A method of controlling contamination in a substrate transfer chamber that is disposed between a load port for supporting a container to receive a plurality of substrates and a substrate process module for processing the substrates includes supplying a purge gas into the substrate transfer chamber to purge an interior of the substrate transfer chamber, circulating the purge gas supplied into the substrate transfer chamber through a gas circular pipe, removing particles and airborne molecular contaminants from the purge gas being circulated, and resupplying the circulated purge gas into the substrate transfer chamber.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This is a continuation application based on pending application Ser. No. 10/763,203, filed Jan. 26, 2004, the entire contents of which is hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention is related to a module for transferring a substrate. More particularly, the present invention is related to a module for transferring a substrate between a container to receive a plurality of substrates and a module for processing the substrate.
  • 2. Description of the Related Art
  • Generally, semiconductor devices are manufactured through a three-step process. First, a fabrication process is performed for forming electronic circuits on a silicon wafer used as a semiconductor substrate. Second, an electrical die sorting (EDS) process is performed for inspecting electrical characteristics of the semiconductor devices on the semiconductor substrate. Third, a packaging process is performed for packaging the semiconductor devices in epoxy resins and individuating the semiconductor devices.
  • The fabrication process may include a deposition process for depositing a layer on the substrate, a chemical mechanical polishing (CMP) process for planarizing a surface of the layer, a photolithography process for forming a photoresist pattern on the layer, an etching process for an electrical pattern using the photoresist pattern, an ion implantation process for implanting predetermined ions into predetermined portions of the substrate, a cleaning process for removing impurities from the substrate, an inspection process for inspecting the surface of the substrate on which the layer or the pattern is formed, or other similar processes.
  • These processes are performed under a high vacuum condition in order to prevent contamination of the semiconductor substrate. To provide the high vacuum condition, a substrate process apparatus includes a load lock chamber maintained under a low vacuum condition, a process chamber in which the process is performed, and a substrate transfer chamber for transferring the semiconductor substrate between the load lock chamber and the process chamber.
  • Recently, a substrate process apparatus for some processes (for example, a deposition process or a dry etching process) on a 300 mm semiconductor substrate includes a substrate process module, a substrate transfer module and a load lock chamber. The substrate transfer module includes a load port for supporting a front opening unified pod (FOUP), a substrate transfer chamber disposed between the load port and the load lock chamber, and a substrate transfer robot for transferring the semiconductor substrate between the FOUP and the load lock chamber.
  • A fan filter unit (FFU) is connected to an upper portion of the substrate transfer chamber. The fan filter unit supplies an interior of the substrate transfer chamber with clean air for preventing contamination of the semiconductor substrate being transferred by the substrate transfer robot.
  • A plurality of exhaust holes is formed through a bottom panel of the substrate transfer chamber in order to exhaust the clean air supplied from the fan filter unit out of the substrate transfer chamber or to a clean room in which the substrate process apparatus is provided.
  • When an internal pressure of the substrate transfer chamber is lower than a pressure of the clean room, the air in the clean room may flow back into the substrate transfer chamber, so that the semiconductor substrates received in the FOUP and the semiconductor substrate being transferred by the substrate transfer robot may be contaminated. Therefore, it is preferable to maintain the internal pressure of the substrate transfer chamber higher than the pressure of the clean room. The pressure of the clean room, in which the substrate process apparatus is provided, is generally a positive pressure (i.e., higher than atmospheric pressure).
  • For example, a multichamber processing system including a container-housing chamber, a cleaning chamber and a load lock chamber is disclosed in the prior art. The cleaning chamber has an inlet line for introducing a clean gas into the cleaning chamber and a pressure control device for controlling the pressure in the cleaning chamber. The pressure control device includes a valve for adjusting a flow rate of the gas in the inlet line, a differential pressure gauge for detecting a differential pressure between the pressure in the cleaning chamber and the atmospheric pressure, and a valve controller for adjusting an opening degree of the valve so that the pressure in the cleaning chamber is maintained at the positive pressure based on a result of the detecting by the differential pressure gauge.
  • In addition, wafer atmospheric transport module having a controlled mini-environment is disclosed in the prior art. According to this example, a blower located in the top region of an enclosed housing is configured to generate a flow of air downward. The airflow generated by the blower is restricted from freely flowing through a perforated sheet and is partially induced to be redirected toward the shelf, and a cassette having one or more wafers is configured to sit on a shelf in the enclosed housing and thus be subjected to the redirected air flow.
  • The fan filter unit includes a fan for supplying external air into the substrate transfer chamber and a filter for removing particles contained in the external air being supplied into the substrate transfer chamber. However, the filter cannot remove airborne molecular contaminants, such as organic contaminants, contained in the external air being supplied into the substrate transfer chamber. Such airborne molecular contaminants may cause various defects on the semiconductor substrate.
  • Examples of the defects may include a variation of a critical dimension (CD) of a photochemical amplified resist pattern and T-top defect caused by ammonia, a natural oxide layer generated by ozone, condensational contaminants or the like. Variation of the critical dimension of the photochemical amplified resist pattern and T-top defect may be detected in the fabrication process, and operation failure of electrical circuit elements such as transistors due to organic contaminants such as dioctyl phthalate (DOP) may be detected in the electrical die sorting process.
  • SUMMARY OF THE INVENTION
  • To solve these and other problems, the present invention provides a module for transferring a substrate that prevents the substrate from being contaminated by impurities including particles and airborne molecular contaminants during transfer of the substrate between a FOUP and a substrate process module.
  • According to a feature of an embodiment of the present invention, there is provides a module for transferring a substrate including a load port for supporting a container to receive a plurality of substrates, a substrate transfer chamber disposed between the load port and a substrate process module for processing the substrates, a substrate transfer robot disposed in the substrate transfer chamber for transferring the substrates between the container and the substrate process module, a gas supply unit connected to the substrate transfer chamber for supplying a purge gas into the substrate transfer chamber so as to purge an interior of the substrate transfer chamber, and a contamination control unit connected to the substrate transfer chamber for circulating the purge gas supplied into the substrate transfer chamber, resupplying the circulated purge gas into the substrate transfer chamber and removing particles and airborne molecular contaminants from the purge gas being circulated.
  • In the module, the container preferably includes a front opening unified pod (FOUP). The module may further include a door opener for opening and closing a door of the FOUP. Also in the module, the gas supply unit may include a gas source for providing the purge gas, a gas supply pipe for connecting the gas source and the substrate transfer chamber, and a flow controller installed in the gas supply pipe for adjusting a flow rate of the purge gas being supplied into the substrate transfer chamber.
  • The purge gas may include a nitrogen gas, and the gas source may include a storage container for storing the nitrogen gas and a purifier for purifying the nitrogen gas. Alternatively, the purge gas may include air, and the gas source may include a storage container for storing compressed air and a purifier for removing impurities contained in the air being supplied from the storage container. The purifier preferably includes a molecular sieve purifier or a catalytic purifier.
  • The contamination control unit may include a gas circular pipe connecting an upper portion and a lower portion of the substrate transfer chamber, an air pump installed in the gas circular pipe for sucking the purge gas supplied into the substrate transfer chamber and circulating the sucked purge gas through the gas circular pipe, a filtering part installed in the gas circular pipe for removing the particles and the airborne molecular contaminants contained in the purge gas being circulated, and a flow controller installed in the gas circular pipe for controlling a flow rate of the purge gas being circulated.
  • The contamination control unit may further include a gas exhaust pipe connected to the gas circular pipe for exhausting the purge gas being circulated and a valve installed in the gas exhaust pipe for opening and closing the gas exhaust pipe.
  • The contamination control unit may further include a valve installed in the gas circular pipe between the lower portion of the substrate transfer chamber and the air pump for opening and closing the gas circular pipe.
  • The filtering part may include a particle filter for removing the particles contained in the purge gas being circulated, a moisture purifier for removing moisture contained in the purge gas being circulated, and an organic contaminant filter for removing organic contaminants contained in the purge gas being circulated. The moisture purifier is preferably a molecular sieve moisture purifier; the organic contaminant filter is preferably an activated carbon filter.
  • The module may further include a distribution panel horizontally disposed in the substrate transfer chamber, the distribution panel having a plurality of holes for uniformly supplying the purge gas into the substrate transfer chamber, and a particle filter disposed between the distribution panel and the substrate transfer robot for removing particles contained in the purge gas being supplied through the holes of the distribution panel.
  • Also, an ionizer may be disposed between the distribution panel and the particle filter for removing static electricity from the substrates.
  • The purge gas may be an inert gas, and the inert gas may be a nitrogen gas. Alternatively, the purge gas may be purified air.
  • A photo catalyst filter may be disposed between the distribution panel and the particle filter for removing organic contaminants contained in the purge gas being supplied into the substrate transfer chamber, and an ultraviolet lamp for applying ultraviolet rays onto the photo catalyst filter. An ozone filter may be disposed between the photo catalyst filter and the particle filter for removing ozone contained in the purge gas being supplied into the substrate transfer chamber.
  • A differential pressure sensor may be connected to the substrate transfer chamber for measuring a differential pressure between an internal pressure and an external pressure of the substrate transfer chamber and a control unit for comparing the differential pressure measured by the differential pressure sensor with a predetermined differential pressure, and for adjusting a flow rate of the purge gas being supplied into the substrate transfer chamber and a flow rate of the purge gas being circulated through the contamination control unit in accordance with a comparison result.
  • A perforated panel may be disposed above a bottom panel of the substrate transfer chamber for passing the purge gas supplied into the substrate transfer chamber therethrough, wherein the perforated panel has a plurality of holes, and the contamination control unit is connected to the bottom panel of the substrate transfer chamber.
  • The interior of the substrate transfer chamber is purged by the purge gas supplied from the gas supply unit and the resupplied purge gas from the contamination control unit, and thus impurities including particles and airborne molecular contaminants do not flow into the substrate transfer chamber. As a result, contamination of the substrate due to the impurities may be prevented.
  • In addition, the purge gas supplied into the substrate transfer chamber is circulated by means of the contamination control unit, and thus an amount of the purge gas used in the substrate transfer module may be reduced.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and other features and advantages of the present invention will become more apparent to those of ordinary skill in the art by describing in detail preferred embodiments thereof with reference to the attached drawings in which:
  • FIG. 1 illustrates a cross-sectional view of a module for transferring a substrate according to one embodiment of the present invention.
  • FIG. 2 illustrates a plan view of a substrate process apparatus having the substrate transfer module as shown in FIG. 1.
  • FIG. 3 is a block diagram illustrating operation of the substrate transfer module as shown in FIG. 1.
  • FIG. 4 illustrates a cross-sectional view of a module for transferring a substrate according to another embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Korean Patent Application No. 2003-8847, filed on Feb. 12, 2003, and entitled: “Module For Transferring A Substrate,” is incorporated by reference herein in its entirety.
  • The present invention will now be described more fully hereinafter with reference to the accompanying drawings, in which preferred embodiments of the invention are shown. The invention may, however, be embodied in different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. Like numbers refer to like elements throughout.
  • FIG. 1 illustrates a cross-sectional view of a module for transferring a substrate according to one embodiment of the present invention, and FIG. 2 illustrates a plan view of a substrate process apparatus having the substrate transfer module as shown in FIG. 1.
  • Referring to FIGS. 1 and 2, a substrate process apparatus 200 performs some processes, for example, a deposition process for forming a layer on a semiconductor substrate 10 or an etching process for etching the layer on the semiconductor substrate 10 to form an electrical pattern on the semiconductor substrate 10. The substrate process apparatus 200 preferably includes a substrate process module 210 for processing the semiconductor substrate 10, and a substrate transfer module 300 for transferring the semiconductor substrate 10 between a container for receiving a plurality of semiconductor substrates 10 and the substrate process module 210. The container preferably includes a front opening unified pod (FOUP) 20.
  • A pair of load lock chambers 220 is disposed between the substrate process module 210 and the substrate transfer module 300.
  • The substrate process module 210 preferably includes a plurality of process chambers 230 for processing the semiconductor substrates 10, a first substrate transfer chamber 240 for connecting between the load lock chambers 220 and the process chambers 230, and a first substrate transfer robot 250 for transferring the semiconductor substrates 10 between the load lock chambers 220 and the process chambers 230.
  • The substrate transfer module 300 preferably includes a load port 302 for supporting the FOUP 20, a second substrate transfer chamber 304 disposed between the load port 302 and the load lock chambers 220, a second substrate transfer robot 306 for transferring the semiconductor substrates 10 between the FOUP 20 and the load lock chambers 220, a gas supply unit 320 for supplying a purge gas into the second substrate transfer chamber 304 in order to purge an interior of the second substrate transfer chamber 304, and a contamination control unit 340 for preventing contamination of the semiconductor substrates 10.
  • Although not shown in detail, the load port 302 supports the FOUP 20 and brings a door 22 of the FOUP 20 into tight contact with a door 308 of the second substrate transfer chamber 304. A door opener 310 for opening and closing the door 22 of the FOUP 20 is provided in the second substrate transfer chamber 304 and is connected to the door 308 of the second substrate transfer chamber 304. The door opener 310 simultaneously opens the door 22 of the FOUP 20 and the door 308 of the second substrate transfer chamber 304.
  • The second substrate transfer robot 306 is disposed to transfer the semiconductor substrates 10 in the second substrate transfer chamber 304. A driving part 312 for moving the second substrate transfer robot 306 horizontally is installed on an inner sidewall of the second substrate transfer chamber 304, and the second substrate transfer robot 306 is connected to the driving part 312. However, the second substrate transfer robot 306 may be installed on a bottom panel 314 of the second substrate transfer chamber 304. That is, although the second substrate transfer robot 306 shown in FIG. 1 is connected to the driving part 312, numerous other configurations are possible.
  • The gas supply unit 320 is connected to an upper panel 316 of the second substrate transfer chamber 304. The gas supply unit 320 preferably includes a gas supply pipe 322 connected to the upper panel 316, a gas source 324 for supplying the purge gas, and a first flow controller 326 for adjusting a flow rate of the purge gas supplied from the gas source 324.
  • A mass flow controller (MFC) may be used as the first flow controller 326, and an inert gas such as nitrogen gas, argon gas or the like may be used as the purge gas.
  • The gas supply unit 320 preferably supplies the purge gas into the second substrate transfer chamber 304, and the gas source 324 preferably includes a storage container 328 for storing the purge gas and a gas purifier 330 for purifying the purge gas. It is preferable that an oxygen content and a water content of nitrogen gas used as the purge gas are less than about 10 ppb. Examples of the gas purifier 330 may include an area purifier, a bulk purifier or the like.
  • The contamination control unit 340 connected to the second substrate transfer chamber 304 circulates the purge gas supplied into the second substrate transfer chamber 304, resupplies the circulated purge gas into the second substrate transfer chamber 304, and removes particles and airborne molecular contaminants contained in the purge gas being circulated.
  • The contamination control unit 340 preferably includes a gas circular pipe 342, an air pump 344, a filtering part 346, and a second flow controller 348. The gas circular pipe 342 is extended from the bottom panel 314 of the second substrate transfer chamber 304, and is connected to the gas supply pipe 322 connected to the upper panel 316 of the second substrate transfer chamber 304. The air pump 344 is installed in the gas circular pipe 342, sucks the purge gas supplied into the second substrate transfer chamber 304 through the gas circular pipe 342, and circulates the sucked purge gas through the gas circular pipe 342. The filtering part 346 is installed in the gas circular pipe 342, and removes the particles and the airborne molecular contaminants contained in the purge gas being circulated. The second flow controller 348 adjusts a flow rate of the purge gas purified by the filtering part 346.
  • The contamination control unit 340 further includes a gas exhaust pipe 350, an exhaust valve 352, and a gate valve 354. The gas exhaust pipe 350 is connected to the gas circular pipe 342, and exhausts the nitrogen gas circulated by the air pump 344. The exhaust valve 352 is installed in the gas exhaust pipe 350, and opens and closes the gas exhaust pipe 350. The gate valve 354 is installed in the circular pipe 342 between the second substrate transfer chamber 304 and the air pump 344. A relief valve may be used as the exhaust valve 352.
  • When the flow rate of the circulated purge gas is reduced by operation of the second flow controller 348, pressure in the circular pipe 342 is gradually increased. At that time, the relief valve used as the exhaust valve 352 compares the pressure in the circular pipe 342 with a predetermined pressure, and adjusts the flow rate of the purge gas exhausted through the gas exhaust pipe 350 in accordance with a comparison result. A proportional control valve may instead be used as the exhaust valve 352. In this case, the proportional control valve adjusts the flow rate of the exhausted purge gas in accordance with the pressure in the circular pipe 342. Alternatively, the exhaust valve 342 may be controlled by a control unit (not shown) for adjusting an internal pressure in the second substrate transfer chamber 304.
  • Although the gas exhaust pipe 350 and the exhaust valve 352 are disposed between the air pump 344 and the filtering part 346, in FIG. 1, various other configurations are possible. For example, the gas exhaust pipe 350 and the exhaust valve 352 may be disposed between the filtering part 346 and the second flow controller 348.
  • When the air condition of the interior of the second substrate transfer chamber 304 is changed abruptly, the gate valve 354 is closed. For example, when a side panel of the second substrate transfer chamber 304 is opened for maintaining the substrate transfer module 300, the gate valve 354 is closed so that the purge gas supplied into the second substrate transfer chamber 304 is exhausted through an open portion of the second substrate transfer chamber 304. Accordingly, external air cannot flow into the second substrate transfer chamber 304, thereby preventing contamination of the semiconductor substrates 10 by external air. Furthermore, external air is prevented from flowing into the air pump 344 and the filtering part 346 through the gas circular pipe 342.
  • The filtering part 346 for removing impurities contained in the purge gas, which is being circulated through the circular pipe 342, preferably includes a first particle filter 356 for removing the particles, a moisture purifier 358 for removing moisture, and an organic contaminant filter 360 for removing organic contaminants such as a volatile organic compound (VOC).
  • A high efficiency particulate air (HEPA) filter or an ultra low penetration air (ULPA) filter may be used as the first particle filter 356, and an activated carbon filter may be used as the organic contaminant filter 360. A pair of molecular sieve moisture purifiers 358 a and 358 b may be used as the moisture purifier 358.
  • As shown in FIG. 1, the pair of molecular sieve moisture purifiers 358 a and 358 b is disposed between the first particle filter 356 and the organic contaminant filter 360, and is connected in parallel to the gas circular pipe 342 through a pair of three-way valves 362. When a first molecular sieve moisture purifier 358 a is connected to the gas circular pipe 342, a second molecular sieve moisture purifier 358 b is restored. In contrast, the first molecular sieve moisture purifier 358 a is restored while the second molecular sieve moisture purifier 358 b is connected to the gas circular pipe 342.
  • The interior of the second substrate transfer chamber 304 may be divided into an upper area 304 a connected with the gas supply pipe 322, a lower area 304 c connected with the gas circular pipe 342, and a substrate handling area 304 b for transferring the semiconductor substrates 10 between the upper area 304 a and the lower area 304 c.
  • The upper area 304 a and the substrate handling area 304 b are divided by a second particle filter 370, and the substrate handling area 304 b and the lower area 304 c are divided by a perforated panel 372. A HEPA filter or an ULPA filter may be used as the second particle filter 370.
  • A distribution panel 374 is disposed in the upper area 304 a defined between the upper panel 316 of the second substrate transfer chamber 304 and the second particle filter 370, and has a plurality of holes 374 a. The distribution panel 374 uniformly provides the purge gas supplied from the gas supply unit 320 and the purge gas circulated through the gas circular pipe 342 into the substrate handling area 304 b.
  • The purge gas supplied into the second substrate transfer chamber 304 is dried by the gas purifier 330 of the gas supply unit 320 and the moisture purifier 358 of the contamination control unit 340. An ionizer 376 is disposed between the distribution panel 374 and the second particle filter 370. The ionizer 376 removes static electricity from the semiconductor substrate 10 due to the dried purge gas.
  • The second substrate transfer robot 306 is disposed in the substrate handling area 304 b. As shown in FIG. 1, the distribution panel 374 forms a flow of the purge gas supplied through the gas supply pipe 322 into a laminar flow, and the perforated panel 372 assists function of the distribution panel 374. The perforated panel 372 has a plurality of holes 372 a similar to those of the distribution panel 374. Accordingly, the second substrate transfer robot 306 can stably transfer the semiconductor substrate 10.
  • In FIG. 1, arrows represent the laminar flow of the purge gas in the second substrate transfer chamber 304, and reference designator 380 indicates a differential pressure sensor for measuring a differential pressure between an internal pressure and an external pressure of the second substrate transfer chamber 304.
  • FIG. 3 is a block diagram illustrating operation of the substrate transfer module as shown in FIG. 1.
  • Referring to FIGS. 1 and 3, the differential pressure sensor 380 is connected to a control unit 390. The elements already described in connection with the substrate transfer module 300 shown in FIG. 1 are also connected to the control unit 390.
  • The differential pressure sensor 380 measures the differential pressure between the internal pressure and the external pressure of the second substrate transfer chamber 304, and sends the measured differential pressure to the control unit 390. The control unit 390 compares the measured differential pressure with a predetermined differential pressure, and controls operations of the first and second flow controllers 326 and 348 in accordance with a comparison result. For example, when the door 22 of the FOUP 20 is opened by the door opener 310, the control unit 390 increases the flow rate of the purge gas from the gas supply unit 320 and decreases the flow rate of the purge gas circulated through the gas circular pipe 342. The purge gas supplied from the gas supply unit 320 purges an interior of the FOUP 20, and air inside the FOUP 20 is exhausted through the gas exhaust pipe 350 and the exhaust valve 352. Accordingly, the differential pressure is evenly maintained at the predetermined differential pressure.
  • The control unit 390 may suitably control an operation of the exhaust valve 352 installed in the gas exhaust pipe 350. That is, the control unit 390 preferably adjusts the flow rate of purge gas exhausted through the gas exhaust pipe 350. For example, the control unit 390 suitably controls the operations of the exhaust valve 352 and the second flow controller 348, thereby adjusting the purge gas being circulated through the circular pipe 342.
  • In addition, the control unit 390 suitably controls operations of the air pump 344 and the gate valve 354, thereby adjusting the flow rate of the circulated purge gas. For example, when the side panel of the second substrate transfer chamber 304 is opened for maintenance of the substrate transfer module 300, the control unit 390 closes the gate valve 354, stops the operation of the air pump 344 and then increases the flow rate of purge gas being supplied from the gas supply unit 320. As a result, the purge gas supplied into the second substrate 304 is exhausted through the open portion of the second substrate transfer chamber 304, and external air cannot flow into the second substrate transfer chamber 304.
  • Furthermore, the control unit 390 suitably controls operations of the pair of three-way valves 362 such that each of the pair of three-way valves is alternately connected with the gas circular pipe 342.
  • FIG. 4 illustrates a cross-sectional view of a module for transferring a substrate according to another embodiment of the present invention.
  • Referring to FIG. 4, a substrate transfer module 400 preferably includes a load port 402 for supporting a FOUP 20, a substrate transfer chamber 404 disposed between the load port 402 and a load lock chamber (referring to FIG. 2), a substrate transfer robot 406 for transferring semiconductor substrates 10 between the FOUP 20 and the load lock chamber, a door opener 410 for opening and closing a door 22 of the FOUP 20, a gas supply unit 420 for supplying purge gas in order to purge an interior of the substrate transfer chamber 404, and a contamination control unit 440 for preventing contamination of the semiconductor substrates 10.
  • A driving part 412 for moving the second substrate transfer robot 306 horizontally is installed on an inner sidewall of the second substrate transfer chamber 404, and the second substrate transfer robot 406 is connected to the driving part 412.
  • The gas supply unit 420 is connected to an upper panel 416 of the substrate transfer chamber 404, and preferably includes a gas supply pipe 422 connected to the upper panel 416, a gas source 424 for supplying the purge, and a first flow controller 426 for adjusting a flow rate of the purge gas.
  • A MFC may be used as the first flow controller 426, and purified air may be used as the purge gas.
  • The gas source 424 preferably includes a storage container 428 for storing compressed air and a purifier for removing impurities contained in the air being supplied from the storage container. The purifier preferably includes a first purifier 430 for primarily purifying the air and a second purifier 432 for secondarily purifying the primarily purified air.
  • The first purifier 430 removes water H2O and carbon dioxide CO2 contained in the air being supplied from the storage container 428, and the second purifier 432 removes water H2O, carbon monoxide CO, sulfur oxides SOx, nitrogen oxides NOx or the like contained in the primarily purified air. A molecular sieve purifier and a catalytic purifier may be used as the first and second purifiers 430 and 432, respectively.
  • The contamination control unit 440 is connected to the substrate transfer chamber 404, circulates the air supplied into the substrate transfer chamber 404, and then resupplies the circulated air into the substrate transfer chamber 404. Also, the contamination control unit 440 removes particles and airborne molecular contaminants contained in the air being circulated.
  • The contamination control unit 440 preferably includes a gas circular pipe 442, an air pump 444, a filtering part 446, and a second flow controller 448. The gas circular pipe 442 is extended from a bottom panel 414 of the substrate transfer chamber 404, and is connected to the gas supply pipe 422 connected to the upper panel 416 of the substrate transfer chamber 404. The air pump 444 is installed in the gas circular pipe 442, sucks the air supplied into the substrate transfer chamber 404 through the gas circular pipe 442, and circulates the sucked air through the gas circular pipe 442. The filtering part 446 is installed in the gas circular pipe 442, and removes particles and airborne molecular contaminants contained the air being circulated. The second flow controller 448 adjusts a flow rate of the air purified by the filtering part 446.
  • The contamination control unit 440 further includes a gas exhaust pipe 450, an exhaust valve 452 and a gate valve 454. The gas exhaust pipe 450 is connected to the gas circular pipe 442, and exhausts the air circulated by the air pump 444. The exhaust valve 452 is installed in the gas exhaust pipe 450, and the gate valve 454 is installed in the circular pipe 442 between the second substrate transfer chamber 404 and the air pump 444.
  • The filtering part 446 for the impurities contained in the air being circulated through the circular pipe 442 preferably includes a first particle filter 456 for removing the particles, a moisture purifier 458 for removing moisture, and an organic contaminant filter 460 for removing organic contaminants such as a volatile organic compound (VOC).
  • A HEPA filter or an ULPA filter may be used as the first particle filter 456, and an activated carbon filter may be used as the organic contaminant filter 460. A pair of molecular sieve moisture 458 a and 458 b may be used as the moisture purifier 458.
  • As shown in FIG. 4, the pair of molecular sieve moisture purifiers 458 a and 458 b is disposed between the first particle filter 456 and the organic contaminant filter 460, and is connected in parallel to the circular pipe 442 through a pair of three-way valves 462.
  • The interior of the substrate transfer chamber 404 may be divided into an upper area 404 a, a substrate handling area 404 b and a lower area 404 c.
  • A distribution panel 474 having a plurality of holes 474 a is disposed in the upper area 404 a. The distribution panel 474 uniformly provides the air supplied from the gas supply unit 420 and the air circulated through the gas circular pipe 442 into the substrate handling area 404 b.
  • An ionizer 476 is disposed between the distribution panel 474 and a second particle filter 470. The ionizer 476 removes static electricity from the semiconductor substrate 10 due to the dry air supplied into the substrate transfer chamber 404.
  • A photo catalyst filter 478 for removing organic contaminants and an ultraviolet lamp 480 for applying ultraviolet rays onto the photo catalyst filter 478 are disposed between the ionizer 476 and the second particle filter 470. An aluminum Al mesh coated with titanium dioxide TiO2 may be used as the photo catalyst filter 478, and it is preferable that the ultraviolet rays irradiated from the ultraviolet lamp 480 have a wavelength of 254 nm or longer.
  • The ionizer 476 and the photo catalyst filter 478 cause ozone to generate from the air supplied through the gas supply pipe 422. The ozone may be removed by means of an ozone filter 482 disposed between the photo catalyst filter 478 and the second particle filter 470.
  • The substrate transfer robot 406 is disposed in the substrate handling area 404 b. The distribution panel 474 forms a flow of the air supplied through the gas supply pipe 422 into a laminar flow, and a perforated panel 472 assists function of the distribution panel 474. The perforated panel 472 has a plurality of holes 472 a similar to those of the distribution panel 474. Accordingly, the substrate transfer robot 406 can stably transfer the semiconductor substrate 10.
  • In FIG. 4, arrows represent the laminar flow of the air in the substrate transfer chamber 404, and the reference designators 408 and 484 indicate a door of the substrate transfer chamber 404 and a differential pressure sensor for measuring a differential pressure between an internal pressure and an external pressure of the second substrate transfer chamber 404, respectively.
  • Although not shown in figure, a control unit controls operations of the elements of the substrate transfer module 400, based on the differential pressure measured by the differential pressure sensor 484.
  • Further detailed descriptions of these elements will be omitted because these elements are similar to those already described in connection with the substrate transfer module 300 shown in FIGS. 1 and 2.
  • According to certain embodiments of the present invention, the purge gas supplied from the gas supply unit purges the interior of the substrate transfer chamber. The contamination control unit circulates and purifies the purge gas supplied into the substrate transfer chamber, and then resupplies the circulated/purified purge gas into the substrate transfer chamber.
  • Accordingly, external air cannot flow into the substrate transfer chamber, thereby preventing contamination of the semiconductor substrate due to impurities contained in the external air. Furthermore, circulation of the purge gas reduces an amount of purge gas to be supplied from a source.
  • Preferred embodiments of the present invention have been disclosed herein and, although specific terms are employed, they are used and are to be interpreted in a generic and descriptive sense only and not for purpose of limitation. Accordingly, it will be understood by those of ordinary skill in the art that various changes in form and details may be made without departing from the spirit and scope of the present invention as set forth in the following claims.

Claims (20)

1-22. (canceled)
23. A method of controlling contamination in a substrate transfer chamber that is disposed between a load port for supporting a container to receive a plurality of substrates and a substrate process module for processing the substrates, the method comprising:
supplying a purge gas into the substrate transfer chamber to purge an interior of the substrate transfer chamber;
circulating the purge gas supplied into the substrate transfer chamber through a gas circular pipe;
removing particles and airborne molecular contaminants from the purge gas being circulated; and
resupplying the circulated purge gas into the substrate transfer chamber.
24. The method as claimed in claim 23, further comprising adjusting a flow rate of the purge gas being supplied into the substrate transfer chamber.
25. The method as claimed in claim 23, wherein the purge gas comprises an inert gas.
26. The method as claimed in claim 25, further comprising purifying the inert gas before supplying the inert gas into the substrate transfer chamber.
27. The method as claimed in claim 23, wherein the purge gas comprises air.
28. The method as claimed in claim 27, further comprising removing impurities from the air before supplying the air into the substrate transfer chamber.
29. The method as claimed in claim 23, wherein the purge gas is forcibly circulated by a pump installed in the as circular pipe.
30. The method as claimed in claim 23, further comprising controlling a flow rate of the purge gas being circulated through the gas circular pipe.
31. The method as claimed in claim 23, further comprising exhausting a purge gas being circulated in accordance with a pressure in the gas circular pipe.
32. The method as claimed in claim 23, wherein the airborne molecular contaminants comprise moisture and organic contaminants.
33. The method as claimed in claim 23, wherein the container comprises a front opening unified pod (FOUP).
34. The method as claimed in claim 23, wherein the purge gas is supplied through a distribution panel having a plurality of holes into the substrate transfer chamber.
35. The method as claimed in claim 34, further comprising removing particles contained in the purge gas being supplied through the holes of the distribution panel.
36. The method as claimed in claim 34, further comprising ionizing the purge gas being supplied through the holes of the distribution panel to remove static electricity from the substrates.
37. The method as claimed in claim 34, wherein the purge gas is purifies air.
38. The method as claimed in claim 37, further comprising:
removing organic contaminants contained in the purge gas being supplied into the substrate transfer chamber using a photo catalyst filter; and
applying ultraviolet rays onto the photo catalyst filter.
39. The method as claimed in claim 38, further comprising removing ozone contained in the purge gas being supplied into the substrate transfer chamber.
40. The method as claimed in claim 23, further comprising:
measuring a differential pressure between an internal pressure and an external pressure of the substrate transfer chamber;
comparing the measured differential pressure with a predetermined differential pressure; and
adjusting a flow rate of the purge gas being supplied into the substrate transfer chamber and a flow rate of the purge gas being circulated through the gas circular pipe in accordance with a comparison result.
41. The method as claimed in claim 23, further comprising simultaneously opening a door of the container and a door of the substrate transfer chamber.
US11/451,315 2003-02-12 2006-06-13 Method of transferring a substrate Abandoned US20060225299A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/451,315 US20060225299A1 (en) 2003-02-12 2006-06-13 Method of transferring a substrate

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR2003-8847 2003-02-12
KR10-2003-0008847A KR100505061B1 (en) 2003-02-12 2003-02-12 Substrate transfer module
US10/763,203 US7065898B2 (en) 2003-02-12 2004-01-26 Module for transferring a substrate
US11/451,315 US20060225299A1 (en) 2003-02-12 2006-06-13 Method of transferring a substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/763,203 Continuation US7065898B2 (en) 2003-02-12 2004-01-26 Module for transferring a substrate

Publications (1)

Publication Number Publication Date
US20060225299A1 true US20060225299A1 (en) 2006-10-12

Family

ID=32906524

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/763,203 Expired - Fee Related US7065898B2 (en) 2003-02-12 2004-01-26 Module for transferring a substrate
US11/451,315 Abandoned US20060225299A1 (en) 2003-02-12 2006-06-13 Method of transferring a substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/763,203 Expired - Fee Related US7065898B2 (en) 2003-02-12 2004-01-26 Module for transferring a substrate

Country Status (2)

Country Link
US (2) US7065898B2 (en)
KR (1) KR100505061B1 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080155852A1 (en) * 2006-12-29 2008-07-03 Olgado Donald J K Multiple substrate vapor drying systems and methods
US20100307022A1 (en) * 2007-06-26 2010-12-09 Gisulfo Baccini Drying apparatus and method for silicon-based electronic circuits
US20110097981A1 (en) * 2009-10-26 2011-04-28 Tokyo Electron Limited Semiconductor manufacturing apparatus
WO2012148827A2 (en) * 2011-04-26 2012-11-01 Kla-Tencor Corporation Pre and post cleaning of mask, wafer, optical surfaces for prevention of contamination prior to and after inspection
WO2016085622A1 (en) * 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
WO2018102055A1 (en) * 2016-12-02 2018-06-07 Applied Materials, Inc. Advanced in-situ particle detection system for semiconductor substrate processing systems
WO2018169614A1 (en) * 2017-03-14 2018-09-20 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
US10192765B2 (en) 2013-08-12 2019-01-29 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
JP2020167190A (en) * 2019-03-28 2020-10-08 株式会社Screenホールディングス Substrate processing apparatus
US20210027993A1 (en) * 2019-07-24 2021-01-28 Samsung Electronics Co., Ltd. Substrate treating apparatus and substrate treating system having the same
TWI761416B (en) * 2017-01-18 2022-04-21 日商信越聚合物股份有限公司 Substrate storage container and gas replacement unit
US11495481B2 (en) * 2018-03-15 2022-11-08 Sinfonia Technology Co., Ltd. Efem
US12002693B2 (en) 2018-03-15 2024-06-04 Sinfonia Technology Co., Ltd. Efem

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6922867B1 (en) * 2001-08-08 2005-08-02 Lam Research Corporation Two position robot design for FOUP purge
JP2005307311A (en) * 2004-04-23 2005-11-04 Ebara Corp Substrate treatment device and substrate treatment method
US7418982B2 (en) 2006-05-17 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
US7901490B2 (en) * 2008-01-10 2011-03-08 International Business Machines Corporation Reducing introduction of foreign material to wafers
JP2009266962A (en) * 2008-04-23 2009-11-12 Hitachi Kokusai Electric Inc Substrate processing apparatus and method for manufacturing semiconductor device
US11975546B2 (en) 2008-06-13 2024-05-07 Kateeva, Inc. Gas enclosure assembly and system
US10434804B2 (en) 2008-06-13 2019-10-08 Kateeva, Inc. Low particle gas enclosure systems and methods
US8383202B2 (en) 2008-06-13 2013-02-26 Kateeva, Inc. Method and apparatus for load-locked printing
US8827695B2 (en) * 2008-06-23 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer's ambiance control
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5617708B2 (en) * 2011-03-16 2014-11-05 東京エレクトロン株式会社 Lid opening / closing device
JP2012204645A (en) * 2011-03-25 2012-10-22 Tokyo Electron Ltd Lid opening/closing device
KR101052460B1 (en) * 2011-04-22 2011-07-28 (주)엠오텍 Glove box with clean room capacity and its used transfer system
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101147192B1 (en) * 2011-11-11 2012-05-25 주식회사 엘에스테크 Apparatus for purge native oxide of wafer
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6024980B2 (en) * 2012-10-31 2016-11-16 Tdk株式会社 Load port unit and EFEM system
KR102039808B1 (en) 2012-12-27 2019-11-01 카티바, 인크. Techniques for print ink volume control to deposit fluids within precise tolerances
US11673155B2 (en) 2012-12-27 2023-06-13 Kateeva, Inc. Techniques for arrayed printing of a permanent layer with improved speed and accuracy
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102267858B1 (en) * 2013-03-13 2021-06-22 카티바, 인크. Gas enclosure systems and methods utilizing an auxiliary enclosure
JP6108643B2 (en) * 2013-07-09 2017-04-05 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and abnormality processing program
JP6363707B2 (en) 2013-12-12 2018-07-25 カティーバ, インコーポレイテッド Ink base layer processing that controls thickness using halftoning
KR102334972B1 (en) * 2013-12-13 2021-12-03 루츠 레브스톡 Recirculation substrate container purging systems and methods
TWI749397B (en) * 2013-12-13 2021-12-11 日商昕芙旎雅股份有限公司 Equipment front-end module (EFEM) and semiconductor manufacturing equipment
CN107611287A (en) 2014-01-21 2018-01-19 科迪华公司 Equipment and technology for electronic device package
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6982050B2 (en) * 2014-12-13 2021-12-17 ブルックス シーシーエス ゲーエムベーハーBrooks CCS GmbH Recirculating board container parsing system and method
KR101867914B1 (en) * 2014-12-29 2018-07-17 주식회사 비아트론 Recirculation Cooling Unit and Heat Treatment Apparatus Having the Same
JP6511858B2 (en) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 Transfer room
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016205191A1 (en) * 2015-06-17 2016-12-22 Entegris, Inc. Flow modification fixture for an equipment front end module
JP6367763B2 (en) * 2015-06-22 2018-08-01 株式会社荏原製作所 Wafer drying apparatus and wafer drying method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6555091B2 (en) * 2015-11-10 2019-08-07 シンフォニアテクノロジー株式会社 Robot transfer device
JP6613864B2 (en) * 2015-12-14 2019-12-04 Tdk株式会社 Mini-environment device
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR20170133694A (en) * 2016-05-26 2017-12-06 세메스 주식회사 Unit for supplying fluid, Apparatus and Method for treating substrate with the unit
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102489730B1 (en) * 2016-07-29 2023-01-18 삼성전자주식회사 Source supplier for supercritical fluid, substrate treating apparatus having the same and method of treating substrates in the substrate treating apparatus
JP2018053299A (en) * 2016-09-28 2018-04-05 株式会社日立国際電気 Substrate treatment apparatus, and heat insulation piping structure
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6890029B2 (en) * 2017-03-31 2021-06-18 東京エレクトロン株式会社 Board transfer device and board transfer method
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11133208B2 (en) 2017-05-31 2021-09-28 Tdk Corporation EFEM and method of introducing dry air thereinto
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2019047042A (en) * 2017-09-05 2019-03-22 東芝メモリ株式会社 Semiconductor manufacturing device
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
JP7206265B2 (en) * 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10763134B2 (en) * 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
JP7183567B2 (en) 2018-05-02 2022-12-06 Tdk株式会社 Circulating EFEM
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210024462A (en) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and films and structures comprising metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7234527B2 (en) * 2018-07-30 2023-03-08 Tdk株式会社 Filter structure with built-in sensor and wafer storage container
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7187890B2 (en) * 2018-08-24 2022-12-13 東京エレクトロン株式会社 SUBSTRATE TRANSFER MODULE AND SUBSTRATE TRANSFER METHOD
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7209503B2 (en) * 2018-09-21 2023-01-20 株式会社Screenホールディングス SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
KR102126466B1 (en) * 2018-09-27 2020-06-24 크린팩토메이션 주식회사 Eqipment front end module
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR102197806B1 (en) * 2019-05-07 2021-01-04 (주)벡터에스 Purification fume apparatus for semiconductor manufacturing equipment
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
JP2021150372A (en) * 2020-03-17 2021-09-27 東京エレクトロン株式会社 Substrate transfer module, processing system, and substrate transfer method
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
US11696964B2 (en) * 2020-04-06 2023-07-11 Soulnano Limited Ultraviolet device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
KR102369463B1 (en) * 2020-06-24 2022-03-04 주식회사 저스템 Purifier apparatus for efem and method thereof
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TWI745049B (en) * 2020-08-25 2021-11-01 奇鼎科技股份有限公司 Nitrogen circulation system
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11854851B2 (en) * 2021-03-05 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interface tool
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023048293A (en) * 2021-09-28 2023-04-07 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, substrate processing method, and program

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5998766A (en) * 1996-02-08 1999-12-07 Tokyo Electron Limited Apparatus and method for cleaning substrate surface by use of Ozone
US6041819A (en) * 1997-07-31 2000-03-28 Flow Technologies, Inc. Valve system providing simultaneous recirculating fluid flow and purging
US6146468A (en) * 1998-06-29 2000-11-14 Speedfam-Ipec Corporation Semiconductor wafer treatment
US6224679B1 (en) * 1997-08-12 2001-05-01 Tokyo Electron Limited Controlling gas in a multichamber processing system
US6364762B1 (en) * 1999-09-30 2002-04-02 Lam Research Corporation Wafer atmospheric transport module having a controlled mini-environment
US6497734B1 (en) * 2002-01-02 2002-12-24 Novellus Systems, Inc. Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput
US6610123B2 (en) * 2001-12-17 2003-08-26 Intel Corporation Filtered mask enclosure
US6797617B2 (en) * 2002-05-21 2004-09-28 Asm America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100486690B1 (en) 2002-11-29 2005-05-03 삼성전자주식회사 Substrate processing apparatus and method for controlling contamination in substrate transfer module

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5998766A (en) * 1996-02-08 1999-12-07 Tokyo Electron Limited Apparatus and method for cleaning substrate surface by use of Ozone
US6041819A (en) * 1997-07-31 2000-03-28 Flow Technologies, Inc. Valve system providing simultaneous recirculating fluid flow and purging
US6224679B1 (en) * 1997-08-12 2001-05-01 Tokyo Electron Limited Controlling gas in a multichamber processing system
US6146468A (en) * 1998-06-29 2000-11-14 Speedfam-Ipec Corporation Semiconductor wafer treatment
US6364762B1 (en) * 1999-09-30 2002-04-02 Lam Research Corporation Wafer atmospheric transport module having a controlled mini-environment
US6610123B2 (en) * 2001-12-17 2003-08-26 Intel Corporation Filtered mask enclosure
US6497734B1 (en) * 2002-01-02 2002-12-24 Novellus Systems, Inc. Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput
US6797617B2 (en) * 2002-05-21 2004-09-28 Asm America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080155852A1 (en) * 2006-12-29 2008-07-03 Olgado Donald J K Multiple substrate vapor drying systems and methods
US20100307022A1 (en) * 2007-06-26 2010-12-09 Gisulfo Baccini Drying apparatus and method for silicon-based electronic circuits
US20110097981A1 (en) * 2009-10-26 2011-04-28 Tokyo Electron Limited Semiconductor manufacturing apparatus
US8936507B2 (en) * 2009-10-26 2015-01-20 Tokyo Electron Limited Semiconductor manufacturing apparatus
KR102005122B1 (en) * 2011-04-26 2019-07-29 케이엘에이-텐코 코포레이션 Pre and post cleaning of mask, wafer, optical surfaces for prevention of contamination prior to and after inspection
WO2012148827A2 (en) * 2011-04-26 2012-11-01 Kla-Tencor Corporation Pre and post cleaning of mask, wafer, optical surfaces for prevention of contamination prior to and after inspection
WO2012148827A3 (en) * 2011-04-26 2013-01-24 Kla-Tencor Corporation Pre and post cleaning of mask, wafer, optical surfaces for prevention of contamination prior to and after inspection
KR20140028020A (en) * 2011-04-26 2014-03-07 케이엘에이-텐코 코포레이션 Pre and post cleaning of mask, wafer, optical surfaces for prevention of contamination prior to and after inspection
JP2014519400A (en) * 2011-04-26 2014-08-14 ケーエルエー−テンカー コーポレイション Pre- and post-cleaning of masks, wafers and optical surfaces to avoid contamination before and after inspection
US9335279B2 (en) 2011-04-26 2016-05-10 Kla-Tencor Corporation Pre and post cleaning of mask, wafer, optical surfaces for prevention of contamination prior to and after inspection
US11282724B2 (en) 2013-08-12 2022-03-22 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
US11450539B2 (en) 2013-08-12 2022-09-20 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
US10192765B2 (en) 2013-08-12 2019-01-29 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
TWI720731B (en) * 2014-11-25 2021-03-01 美商應用材料股份有限公司 Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
US11003149B2 (en) 2014-11-25 2021-05-11 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
US11782404B2 (en) 2014-11-25 2023-10-10 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
US10359743B2 (en) 2014-11-25 2019-07-23 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
WO2016085622A1 (en) * 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
TWI706814B (en) * 2014-11-25 2020-10-11 美商應用材料股份有限公司 Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
WO2018102055A1 (en) * 2016-12-02 2018-06-07 Applied Materials, Inc. Advanced in-situ particle detection system for semiconductor substrate processing systems
US10365216B2 (en) 2016-12-02 2019-07-30 Applied Materials, Inc. Advanced in-situ particle detection system for semiconductor substrate processing systems
US10883932B2 (en) 2016-12-02 2021-01-05 Applied Materials, Inc. Advanced in-situ particle detection system for semiconductor substrate processing systems
TWI761416B (en) * 2017-01-18 2022-04-21 日商信越聚合物股份有限公司 Substrate storage container and gas replacement unit
US11081379B2 (en) 2017-03-14 2021-08-03 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
WO2018169614A1 (en) * 2017-03-14 2018-09-20 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
US10446428B2 (en) 2017-03-14 2019-10-15 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
US11637029B2 (en) 2017-03-14 2023-04-25 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
US11495481B2 (en) * 2018-03-15 2022-11-08 Sinfonia Technology Co., Ltd. Efem
US12002693B2 (en) 2018-03-15 2024-06-04 Sinfonia Technology Co., Ltd. Efem
JP2020167190A (en) * 2019-03-28 2020-10-08 株式会社Screenホールディングス Substrate processing apparatus
JP7221110B2 (en) 2019-03-28 2023-02-13 株式会社Screenホールディングス Substrate processing equipment
US20210027993A1 (en) * 2019-07-24 2021-01-28 Samsung Electronics Co., Ltd. Substrate treating apparatus and substrate treating system having the same

Also Published As

Publication number Publication date
US7065898B2 (en) 2006-06-27
KR20040072383A (en) 2004-08-18
US20040168742A1 (en) 2004-09-02
KR100505061B1 (en) 2005-08-01

Similar Documents

Publication Publication Date Title
US7065898B2 (en) Module for transferring a substrate
JP4553574B2 (en) Substrate processing method capable of controlling contamination of substrate transfer module
KR100453090B1 (en) Methods of controlling the processing unit and the gases in the processing unit
US9868140B2 (en) Recirculation substrate container purging systems
TWI778553B (en) Substrate processing apparatus and methods with factory interface chamber filter purge
US9272315B2 (en) Mechanisms for controlling gas flow in enclosure
US6042651A (en) Molecular contamination control system
JP2002170876A (en) Substrate transport container
WO2013054849A1 (en) Substrate processing device
JP6599599B2 (en) EFEM system
JP2003115519A (en) Manufacturing method of semiconductor device, semiconductor manufacturing apparatus, load lock chamber, substrate storage case and stocker
KR20210023653A (en) Apparatus for reducing moisture of front opening unified pod in load port module and semiconductor process device comprising the same
KR100612421B1 (en) System for transferring substrates
JPH0663379A (en) Vacuum storehouse
US20230207359A1 (en) Humidity control device for equipment front end module of semiconductor processing or characterization tool
KR20230111298A (en) Exhaust apparatus for air flow stabilization in equipment front end module and semiconductor process device comprising the same
TW202312324A (en) Efem
TW202418448A (en) Substrate processing systems, apparatus, and methods with factory interface environmental controls
KR20060114980A (en) Etching facility for manufacturing semiconductor devices and etching method thereof

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION