US11435666B2 - Salt compound, chemically amplified resist composition, and patterning process - Google Patents

Salt compound, chemically amplified resist composition, and patterning process Download PDF

Info

Publication number
US11435666B2
US11435666B2 US16/669,780 US201916669780A US11435666B2 US 11435666 B2 US11435666 B2 US 11435666B2 US 201916669780 A US201916669780 A US 201916669780A US 11435666 B2 US11435666 B2 US 11435666B2
Authority
US
United States
Prior art keywords
hydrocarbon group
formula
group
heteroatom
contain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/669,780
Other languages
English (en)
Other versions
US20200159115A1 (en
Inventor
Emiko Ono
Masayoshi Sagehashi
Masahiro Fukushima
Yuki Kera
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Assigned to SHIN-ETSU CHEMICAL CO., LTD. reassignment SHIN-ETSU CHEMICAL CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FUKUSHIMA, MASAHIRO, KERA, YUKI, ONO, EMIKO, SAGEHASHI, MASAYOSHI
Assigned to SHIN-ETSU CHEMICAL CO., LTD. reassignment SHIN-ETSU CHEMICAL CO., LTD. CORRECTIVE ASSIGNMENT TO CORRECT THE DOCKET NUMBER PREVIOUSLY RECORDED ON REEL 050879 FRAME 0198. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT. Assignors: FUKUSHIMA, MASAHIRO, KERA, YUKI, ONO, EMIKO, SAGEHASHI, MASAYOSHI
Publication of US20200159115A1 publication Critical patent/US20200159115A1/en
Application granted granted Critical
Publication of US11435666B2 publication Critical patent/US11435666B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/07Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton
    • C07C309/12Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton containing esterified hydroxy groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • C07D307/77Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom ortho- or peri-condensed with carbocyclic rings or ring systems
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D311/00Heterocyclic compounds containing six-membered rings having one oxygen atom as the only hetero atom, condensed with other rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D327/00Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms
    • C07D327/02Heterocyclic compounds containing rings having oxygen and sulfur atoms as the only ring hetero atoms one oxygen atom and one sulfur atom
    • C07D327/06Six-membered rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/02Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings
    • C07D333/04Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings not substituted on the ring sulphur atom
    • C07D333/06Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom not condensed with other rings not substituted on the ring sulphur atom with only hydrogen atoms, hydrocarbon or substituted hydrocarbon radicals, directly attached to the ring carbon atoms
    • C07D333/08Hydrogen atoms or radicals containing only hydrogen and carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D333/00Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom
    • C07D333/50Heterocyclic compounds containing five-membered rings having one sulfur atom as the only ring hetero atom condensed with carbocyclic rings or ring systems
    • C07D333/76Dibenzothiophenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2/00Processes of polymerisation
    • C08F2/46Polymerisation initiated by wave energy or particle radiation
    • C08F2/48Polymerisation initiated by wave energy or particle radiation by ultraviolet or visible light
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1806C6-(meth)acrylate, e.g. (cyclo)hexyl (meth)acrylate or phenyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, the oxygen atom being present only as part of the carboxyl radical
    • C09D133/08Homopolymers or copolymers of acrylic acid esters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/032Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders
    • G03F7/033Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders the binders being polymers obtained by reactions only involving carbon-to-carbon unsaturated bonds, e.g. vinyl polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/06Systems containing only non-condensed rings with a five-membered ring
    • C07C2601/08Systems containing only non-condensed rings with a five-membered ring the ring being saturated
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/12Systems containing only non-condensed rings with a six-membered ring
    • C07C2601/14The ring being saturated
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/18Systems containing only non-condensed rings with a ring being at least seven-membered
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2602/00Systems containing two condensed rings
    • C07C2602/02Systems containing two condensed rings the rings having only two atoms in common
    • C07C2602/04One of the condensed rings being a six-membered aromatic ring
    • C07C2602/08One of the condensed rings being a six-membered aromatic ring the other ring being five-membered, e.g. indane
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2602/00Systems containing two condensed rings
    • C07C2602/36Systems containing two condensed rings the rings having more than two atoms in common
    • C07C2602/42Systems containing two condensed rings the rings having more than two atoms in common the bicyclo ring system containing seven carbon atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/02Ortho- or ortho- and peri-condensed systems
    • C07C2603/04Ortho- or ortho- and peri-condensed systems containing three rings
    • C07C2603/06Ortho- or ortho- and peri-condensed systems containing three rings containing at least one ring with less than six ring members
    • C07C2603/10Ortho- or ortho- and peri-condensed systems containing three rings containing at least one ring with less than six ring members containing five-membered rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/56Ring systems containing bridged rings
    • C07C2603/58Ring systems containing bridged rings containing three rings
    • C07C2603/70Ring systems containing bridged rings containing three rings containing only six-membered rings
    • C07C2603/74Adamantanes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2603/00Systems containing at least three condensed rings
    • C07C2603/56Ring systems containing bridged rings
    • C07C2603/86Ring systems containing bridged rings containing four rings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • G03F7/2043Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means with the production of a chemical active agent from a fluid, e.g. an etching agent; with meterial deposition from the fluid phase, e.g. contamination resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking

Definitions

  • This invention relates to a novel salt compound, a chemically amplified resist composition, and a pattern forming process.
  • the effort to reduce the pattern rule is in rapid progress.
  • the wide-spreading flash memory market and the demand for increased storage capacities drive forward the miniaturization technology.
  • the self-aligned double patterning (SADP) process of adding film to opposite sidewalls of lines of a resist pattern resulting from ArF lithography for thereby forming two patterns with half line width from one pattern is successful in manufacturing microelectronic devices at the 20-nm node in a mass scale.
  • the miniaturization technology for microelectronic devices of the next generation 10-nm node the self-aligned quadruple patterning (SAQP) which is double repetition of SADP is a candidate.
  • SAQP self-aligned quadruple patterning
  • EUV Extreme ultraviolet
  • the ArF lithography started partial use from the fabrication of 130-nm node devices and became the main lithography since 90-nm node devices.
  • lithography using F 2 laser (157 nm) was initially thought promising as the next lithography for 45-nm node devices, its development was retarded by several problems.
  • a highlight was suddenly placed on the ArF immersion lithography that introduces a liquid having a higher refractive index than air (e.g., water, ethylene glycol, glycerol) between the projection lens and the wafer, allowing the projection lens to be designed to a numerical aperture (NA) of 1.0 or higher and achieving a higher resolution.
  • NA numerical aperture
  • the ArF immersion lithography is now implemented on the commercial stage.
  • the immersion lithography requires a resist material which is substantially insoluble in water.
  • a high sensitivity resist material capable of achieving a high resolution at a small dose of exposure is needed to prevent the degradation of precise and expensive optical system materials.
  • the most common is to select each component which is highly transparent at the wavelength of 193 nm.
  • polymers of acrylic acid and derivatives thereof, norbornene-maleic anhydride alternating copolymers, polynorbomene, ring-opening metathesis polymerization (ROMP) polymers, and hydrogenated ROMP polymers have been proposed as the base resin. This choice is effective to some extent in that the transparency of a resin alone is increased.
  • Patent Document 1 refers to the prior art PAGs capable of generating ⁇ , ⁇ -difluoroalkanesulfonic acid, such as di(4-tert-butylphenyl)iodonium 1,1-difluoro-2-(1-naphthyl)ethanesulfonate and PAGs capable of generating ⁇ , ⁇ , ⁇ , ⁇ -tetrafluoroalkanesulfonic acid.
  • these PAGs still have the following problems. Since they do not have a decomposable substituent group such as ester structure, they are unsatisfactory from the aspect of environmental safety due to ease of decomposition. The molecular design to change the size of alkanesulfonic acid is limited. Fluorine-containing starting reactants are expensive.
  • the degradation of contrast by acid diffusion becomes more serious for the resist material.
  • the reason is that the pattern feature size is approaching the diffusion length of acid. This invites a lowering of mask fidelity and a degradation of pattern rectangularity because a dimensional shift on wafer (known as mask error factor (MEF)) relative to a dimensional shift on mask is exaggerated.
  • MEF mask error factor
  • the resist material is required to increase a dissolution contrast or restrain acid diffusion, as compared with the prior art materials.
  • One approach is to lower the bake temperature for suppressing acid diffusion and hence, improving MEF. A low bake temperature, however, inevitably leads to a low sensitivity.
  • Patent Documents 4 and 5 describe salt compounds having an amide group in their anion structure.
  • a resist composition comprising this PAG is still insufficient in precise control of acid diffusion, and its lithography performance is unsatisfactory when evaluated totally in terms of LWR as an index of pattern roughness and resolution.
  • Patent Document 6 describes a PAG of betaine structure (having both cation and anion structures in one molecule) capable of generating perfluoroalkanesulfonic acid.
  • the PAG of betaine structure When the PAG of betaine structure generates an acid, it becomes an apparently giant compound by forming a salt compound between molecules or with another PAG if added concurrently.
  • advantages including improved dissolution contrast, suppressed acid diffusion, and improved lithography performance.
  • the PAG of betaine structure is less soluble in resist solvents and likely to assume a dimer form, it will partially agglomerate in the resist film, resulting in less uniform dispersion within the resist film and inviting degradations of LWR and CDU.
  • Patent Documents 7 to 10 describe PAGs of imide or methide acid type.
  • the PAGs described therein allow for noticeable acid diffusion, and their lithography performance is unsatisfactory to the current requirement to form resist patterns at high resolution.
  • An object of the invention is to provide a salt compound suited for use in resist compositions. Another object is to provide a chemically amplified resist composition which forms a pattern with advantages including minimal defects and improved sensitivity, LWR, MEF, and CDU when processed by photolithography using high-energy radiation such as KrF excimer laser, ArF excimer laser, EB or EUV as the light source; and a patterning process using the resist composition.
  • a photoacid generator in the form of an onium salt having a specific structure, and a chemically amplified resist composition comprising the photoacid generator is a quite effective resist material for precise micropatterning, having lithography performance advantages including reduced acid diffusion, and improved EL, MEF, CDU and LWR.
  • the invention provides a salt compound having the formula (A).
  • R 1 is a C 1 -C 20 monovalent hydrocarbon group which may contain a heteroatom
  • R 2 is a C 1 -C 20 divalent hydrocarbon group which may contain a heteroatom
  • R 3 is hydrogen or a C 1 -C 12 monovalent hydrocarbon group
  • R 1 and R 3 may bond together to form a ring with the carbon and nitrogen atoms to which they are attached
  • R f1 , R f2 , R f3 and R f4 are each independently hydrogen, fluorine or trifluoromethyl
  • L 1 is a single bond, —CO—O—, —O—CO—, —O—CO—O— or —O—
  • M + is a monovalent organic cation
  • m is 0 or 1
  • n is 0 or 1.
  • m is 1
  • n is 1
  • R f1 and R f2 are fluorine
  • R f3 and R f4 are hydrogen.
  • m is 1
  • n is 1
  • R f1 and R f2 are fluorine
  • R f3 is trifluoromethyl
  • R f4 is hydrogen
  • R 1 is a lactone structure-containing group.
  • M + is a sulfonium cation having the formula (A1), an iodonium cation having the formula (A2) or an ammonium cation having the formula (A3).
  • R 11 to R 19 are each independently a C 1 -C 20 monovalent hydrocarbon group which may contain a heteroatom.
  • the invention provides a photoacid generator comprising the salt compound defined above.
  • the invention provides a chemically amplified resist composition comprising the photoacid generator defined above.
  • the resist composition may further comprise a base resin containing a polymer comprising recurring units having the formula (a) and recurring units having the formula (b).
  • R A is each independently hydrogen, fluorine, methyl or trifluoromethyl
  • Z A is a single bond, phenylene, naphthylene or (backbone)-C( ⁇ O)—O—Z′—
  • Z′ is a C 1 -C 10 alkanediyl group which may contain a hydroxyl moiety, ether bond, ester bond or lactone ring, or a phenylene or naphthylene group
  • X A is an acid labile group
  • Y A is hydrogen or a polar group containing at least one structure selected from the group consisting of hydroxyl, cyano, carbonyl, carboxyl, ether bond, ester bond, sulfonate bond, carbonate bond, lactone ring, sultone ring and carboxylic anhydride.
  • the resist composition may further comprise an organic solvent.
  • the resist composition may further comprise a quencher.
  • the quencher contains a compound having the formula (1a) or (1b).
  • R q1 is hydrogen or a C 1 -C 40 monovalent hydrocarbon group which may contain a heteroatom, excluding the hydrocarbon group in which the hydrogen atom bonded to the carbon atom at ⁇ -position relative to the sulfo group is substituted by fluorine or fluoroalkyl
  • R q2 is hydrogen or a C 1 -C 40 monovalent hydrocarbon group which may contain a heteroatom
  • Mq + is an onium cation.
  • the quencher contains an amine compound.
  • the resist composition may further comprise a photoacid generator other than the photoacid generator defined above.
  • the other photoacid generator has the formula (3) or (4).
  • R 101 , R 102 and R 103 are each independently a C 1 -C 20 monovalent hydrocarbon group which may contain a heteroatom, any two of R 101 , R 102 and R 103 may bond together to form a ring with the sulfur atom to which they are attached, and X ⁇ is an anion selected from the following formulae (3A) to (3D):
  • R fa , R fb1 , R fb2 , R fc1 , R fc2 and R fc3 are each independently fluorine or a C 1 -C 40 monovalent hydrocarbon group which may contain a heteroatom, or a pair of R fb1 and R fb2 , or R fc1 and R fc2 may bond together to form a ring with the carbon atom to which they are attached and any intervening atoms, R fd is a C 1 -C 40 monovalent hydrocarbon group which may contain a heteroatom,
  • R 201 and R 202 are each independently a C 1 -C 30 monovalent hydrocarbon group which may contain a heteroatom
  • R 203 is a C 1 -C 30 divalent hydrocarbon group which may contain a heteroatom
  • any two of R 201 , R 202 and R 203 may bond together to form a ring with the sulfur atom to which they are attached
  • L A is a single bond, ether bond or a C 1 -C 20 divalent hydrocarbon group which may contain a heteroatom
  • X a , X b , X c and X d are each independently hydrogen, fluorine or trifluoromethyl, at least one of X a , X b , X c and X d is fluorine or trifluoromethyl.
  • the resist composition may further comprise a surfactant which is insoluble or substantially insoluble in water and soluble in alkaline developer, and/or a surfactant which is insoluble or substantially insoluble in water and alkaline developer.
  • the invention provides a pattern forming process comprising the steps of applying the chemically amplified resist composition defined above onto a substrate to form a resist film thereon, exposing a selected region of the resist film to KrF excimer laser, ArF excimer laser, EB or EUV, and developing the exposed resist film in a developer.
  • the developing step uses an alkaline aqueous solution as the developer, thereby forming a positive pattern in which an exposed region of the resist film is dissolved away and an unexposed region of the resist film is not dissolved.
  • the developing step uses an organic solvent as the developer, thereby forming a negative pattern in which an unexposed region of the resist film is dissolved away and an exposed region of the resist film is not dissolved.
  • the organic solvent is at least one solvent selected from the group consisting of 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, butenyl acetate, isopentyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, e
  • the exposure step is carried out by immersion lithography while a liquid having a refractive index of at least 1.0 is held between the resist film and a projection lens.
  • the process may further comprise the step of forming a protective film on the resist film prior to the exposure step, wherein immersion lithography is carried out while the liquid is held between the protective film and the projection lens.
  • the PAG in the form of the inventive salt compound generates an acid which is less diffusive in resist solvent and developer.
  • a chemically amplified resist composition comprising the salt compound forms a pattern of good profile with a minimal LWR.
  • FIG. 1 is a diagram showing 1 H-NMR spectrum of the compound in Example 1-1.
  • FIG. 2 is a diagram showing 1 H-NMR spectrum of the compound in Example 1-2.
  • FIG. 3 is a diagram showing 1 H-NMR spectrum of the compound in Example 1-3.
  • FIG. 4 is a diagram showing 1 H-NMR spectrum of the compound in Example 1-4.
  • EUV extreme ultraviolet
  • PEB post-exposure bake
  • the invention provides a salt compound having the formula (A).
  • R 1 is a C 1 -C 20 monovalent hydrocarbon group which may contain a heteroatom.
  • R 2 is a C 1 -C 20 divalent hydrocarbon group which may contain a heteroatom.
  • R 3 is hydrogen or a C 1 -C 12 monovalent hydrocarbon group. R 1 and R 3 may bond together to form a ring with the carbon and nitrogen atoms to which they are attached.
  • the C 1 -C 20 monovalent hydrocarbon group which may contain a heteroatom, represented by R 1 may be straight, branched or cyclic.
  • Examples include straight, branched or cyclic alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, n-pentyl, tert-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbomyl, oxanorbomyl, tricyclo[5.2.1.0 2,6
  • R 1 is preferably a monovalent hydrocarbon group containing a lactone ring.
  • the C 1 -C 20 divalent hydrocarbon group which may contain a heteroatom, represented by R 2 may be straight, branched or cyclic.
  • Examples include straight or branched alkanediyl groups such as methylene, ethane-1,1-diyl, ethane-1,2-diyl, propane-1,1-diyl, propane-1,2-diyl, propane-1,3-diyl, propane-2,2-diyl, 2-methylpropane-1,1-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, heptane-1,7-diyl, octane-1,8-diyl, nonane-1,9-diyl, decane-1,10-diyl, undecane-1,11-diyl, dodecane-1,12-diyl, tri
  • some hydrogen may be replaced by an alkyl group such as methyl, ethyl, propyl, n-butyl or t-butyl, or some hydrogen may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or a moiety containing a heteroatom such as oxygen, sulfur or nitrogen may intervene between carbon atoms, so that the group may contain a hydroxyl moiety, cyano moiety, carbonyl moiety, ether bond, ester bond, sulfonate bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride or haloalkyl moiety.
  • oxygen is preferred.
  • the C 1 -C 12 monovalent hydrocarbon group, represented by R 3 may be straight, branched or cyclic. Examples thereof include the monovalent hydrocarbon groups of 1 to 12 carbon atoms exemplified above for R 1 .
  • at least one of R f1 , R f2 , R f3 and R f4 is fluorine or trifluoromethyl.
  • the salt compound having formula (A) is used as a PAG in a chemically amplified resist composition
  • L 1 is a single bond, —CO—O—, —O—CO—, —O—CO—O— or —O—. It is preferred for the convenience of synthesis that L 1 be —CO—O— or —O—CO—.
  • the salt compound has an amide bond in its anion structure.
  • the amide group functions to provide the salt compound with an adequate polarity. It is thus expectable that the diffusion of the generated acid in a resist film is suppressed. Then a pattern of good profile is formed.
  • M + is a monovalent organic cation.
  • the organic cation is preferably a sulfonium cation having the formula (A1), an iodonium cation having the formula (A2) or an ammonium cation having the formula (A3).
  • R 11 to R 19 are each independently a C 1 -C 20 monovalent hydrocarbon group which may contain a heteroatom.
  • the C 1 -C 20 monovalent hydrocarbon group may be straight, branched or cyclic. Examples include alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, tert-butyl, cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, adamantyl; alkenyl groups such as vinyl, allyl, propenyl, butenyl, hexenyl, cyclohexenyl; aryl groups such as phenyl, naphthyl, thienyl; and aralkyl groups such as benzyl, 1-phenylethyl, 2-phenylethyl.
  • some hydrogen may be substituted by a heteroatom such as oxygen, sulfur, nitrogen or halogen, or a heteroatom such as oxygen, sulfur or nitrogen may intervene between carbon atoms, so that the group may contain a hydroxyl moiety, cyano moiety, carbonyl moiety, ether bond, ester bond, sulfonate bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride or haloalkyl moiety.
  • a heteroatom such as oxygen, sulfur, nitrogen or halogen
  • a heteroatom such as oxygen, sulfur or nitrogen may intervene between carbon atoms
  • R 11 to R 13 may bond together to form a ring with the sulfur atom to which they are attached.
  • Examples of the sulfonium cation having formula (A1) involving cyclization are shown below.
  • R 20 is a C 1 -C 20 monovalent hydrocarbon group which may contain a heteroatom.
  • Examples of the monovalent hydrocarbon group are as exemplified above for R 11 to R 19 .
  • ammonium cation having formula (A3) examples are shown below, but not limited thereto.
  • R 1 to R 3 , R 11 to R 14 , M + and n are as defined above, Q + is a counter cation, and A ⁇ is a counter anion.
  • the method according to Scheme A intends to synthesize salt compound (A′) by condensing Intermediate X with Intermediate Y as starting reactants to form Intermediate Z, and effecting a salt exchange between Intermediate Z and an onium salt: A ⁇ M + .
  • the first step is to produce Intermediate Z by condensation reaction of Intermediate X with Intermediate Y or alcohol compound.
  • Intermediate X may be a commercial product or synthesized by a well-known method.
  • the carboxyl group on Intermediate X reacts with the hydroxyl group on Intermediate Y to form an ester bond.
  • This reaction may be performed by any well-known organic synthesis method. Specifically, condensation reaction is performed using various condensation agents. Suitable condensation agents include N,N′-dicyclohexylcarbodiimide, N,N′-diisopropylcarbodiimide, 1-[3-(dimethylamino)propyl]-3-ethylcarbodiimide, and 1-ethyl-3-(3-dimethylaminopropyl)carbodiimide hydrochloride.
  • 1-ethyl-3-(3-dimethylaminopropyl)carbodiimide hydrochloride is preferred because a urea compound formed as by-product is readily removed after the reaction.
  • the reaction is performed by dissolving Intermediate X and Intermediate Y in a halide solvent such as methylene chloride and adding a condensation agent.
  • a reaction catalyst such as 4-dimethylaminopyridine may be added to accelerate the reaction rate. It is desired for higher yields that the reaction time be determined by monitoring the progress of reaction by silica gel thin-layer chromatography (TLC) or the like. The reaction time is usually about 12 hours to about 24 hours.
  • TLC thin-layer chromatography
  • the reaction time is usually about 12 hours to about 24 hours.
  • Intermediate Z may be recovered from the reaction solution by ordinary aqueous work-up. If necessary, it can be purified by any standard technique such as chromatography or recrystallization.
  • a salt exchange is performed between Intermediate Z and an onium salt: A ⁇ M + to synthesize an onium salt (A′).
  • a ⁇ is a chloride, bromide or methylsulfate anion, which ensures quantitative progress of the exchange reaction. It is desired for higher yields to monitor the progress of reaction by TLC or the like.
  • Onium salt (A′) may be recovered from the reaction mixture by ordinary aqueous work-up. If necessary, it can be purified by any standard technique such as chromatography or recrystallization.
  • the ion exchange in the second step may be readily performed by a well-known method, for example, according to the teaching of JP-A 2007-145797.
  • a further embodiment of the invention is a chemically amplified resist composition
  • a photoacid generator in the form of the salt compound having formula (A) as an essential component (B) a base resin, and (C) an organic solvent. If necessary, the composition may further comprise:
  • an appropriate amount of the PAG as component (A) is 0.1 to 40 parts by weight, more preferably 1 to 20 parts by weight per 100 parts by weight of the base resin (B). As long as the amount of component (A) is within the range, it exerts a full function of photoacid generator, eliminating any performance degradations including a drop of sensitivity, solubility shortage, and foreign particles.
  • the PAG may be used alone or in admixture of two or more.
  • the base resin used herein as component (B) preferably contains a polymer comprising recurring units having the formula (a) and recurring units having the formula (b).
  • R A is each independently hydrogen, fluorine, methyl or trifluoromethyl.
  • Z A is a single bond, phenylene group, naphthylene group or (backbone)-C( ⁇ O)—O—Z′—, wherein Z′ is a C 1 -C 10 alkanediyl group which may contain a hydroxyl moiety, ether bond, ester bond or lactone ring, or phenylene group or naphthylene group.
  • X A is an acid labile group.
  • Y A is hydrogen or a polar group having at least one structure selected from among hydroxyl, cyano, carbonyl, carboxyl, ether bond, ester bond, sulfonate bond, carbonate bond, lactone ring, sultone ring and carboxylic anhydride.
  • a polymer comprising recurring units of formula (a) is decomposed to generate carboxylic acid, turning to be an alkali soluble polymer.
  • the acid labile group X A may be selected from a variety of such groups.
  • Examples of the acid labile group include groups of the following formulae (L1) to (L4), C 4 -C 20 , preferably C 4 -C 15 tertiary alkyl groups, trialkylsilyl groups in which each alkyl moiety has 1 to 6 carbon atoms, and C 4 -C 20 oxoalkyl groups.
  • R L01 and R L02 each are hydrogen or a C 1 -C 15 , preferably C 1 -C 10 alkyl group.
  • the alkyl group may be straight, branched or cyclic, and examples thereof include methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, n-octyl, norbomyl, tricyclodecanyl, tetracyclododecanyl, and adamantyl.
  • R L03 is a C 1 -C 18 , preferably C 1 -C 10 monovalent hydrocarbon group which may contain a heteroatom such as oxygen.
  • the monovalent hydrocarbon group include straight, branched or cyclic alkyl groups and substituted forms of such alkyl groups in which some hydrogen is substituted by hydroxyl, alkoxy, oxo, amino, alkylamino or the like, or in which some carbon is replaced by a moiety containing a heteroatom such as oxygen.
  • Suitable alkyl groups are as exemplified above for R L01 and R L02 . Examples of the substituted alkyl groups are shown below.
  • R L01 and R L02 , R L01 and R L03 , or R L02 and R L03 may bond together to form a ring with the carbon and oxygen atoms to which they are attached.
  • Ring-forming participants of R L01 , R L02 and R L03 represent a C 1 -C 18 , preferably C 1 -C 10 straight or branched alkylene group.
  • R L04 is a C 4 -C 20 , preferably C 4 -C 15 tertiary alkyl group, a trialkylsilyl group in which each alkyl moiety has 1 to 6 carbon atoms, a C 4 -C 20 oxoalkyl group, or a group of formula (L1).
  • Exemplary tertiary alkyl groups include tert-butyl, tert-pentyl, 1,1-diethylpropyl, 2-cyclopentylpropan-2-yl, 2-cyclohexylpropan-2-yl, 2-(bicyclo[2.2.1]heptan-2-yl)propan-2-yl, 2-(adamantan-1-yl)propan-2-yl, 1-ethylcyclopentyl, 1-butylcyclopentyl, 1-ethylcyclohexyl, 1-butylcyclohexyl, 1-ethyl-2-cyclopentenyl, 1-ethyl-2-cyclohexenyl, 2-methyl-2-adamantyl, and 2-ethyl-2-adamantyl.
  • Exemplary trialkylsilyl groups include trimethylsilyl, triethylsilyl, and dimethyl-tert-butylsilyl.
  • Exemplary oxoalkyl groups include 3-oxocyclohexyl, 4-methyl-2-oxooxan-4-yl, and 5-methyl-2-oxooxolan-5-yl.
  • Letter x is an integer of 0 to 6.
  • R L05 is a substituted or unsubstituted C 1 -C 8 alkyl group or a substituted or unsubstituted C 6 -C 20 aryl group.
  • the optionally substituted alkyl group may be straight, branched or cyclic and examples thereof include straight, branched or cyclic alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-pentyl, n-pentyl, n-hexyl, cyclopentyl, and cyclohexyl, and substituted forms of such groups in which some hydrogen is substituted by hydroxyl, alkoxy, carboxyl, alkoxycarbonyl, oxo, amino, alkylamino, cyano, mercapto, alkylthio, sulfo or the like.
  • aryl groups examples include phenyl, methylphenyl, naphthyl, anthryl, phenanthryl, and pyrenyl, and substituted forms of such groups in which some hydrogen is substituted by hydroxyl, alkoxy, carboxyl, alkoxycarbonyl, oxo, amino, alkylamino, cyano, mercapto, alkylthio, sulfo or the like.
  • Letter y is equal to 0 or 1
  • z is an integer of 0 to 3
  • 2y+z is equal to 2 or 3.
  • R L06 is a substituted or unsubstituted C 1 -C 8 alkyl group or a substituted or unsubstituted C 6 -C 20 aryl group. Examples of these groups are the same as exemplified for R L05 .
  • R L07 to R L16 independently represent hydrogen or C 1 -C 15 monovalent hydrocarbon groups.
  • exemplary hydrocarbon groups include straight, branched or cyclic alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-pentyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl and cyclohexylbutyl, and substituted forms of these groups in which some hydrogen is substituted by hydroxyl, alkoxy, carboxyl, alkoxycarbonyl, oxo, amino, alkyla
  • R L07 to R L16 taken together, form a ring with the carbon atom to which they are attached (for example, a pair of R L07 and R L08 , R L07 and R L09 R L07 and R L10 , R L08 and R L10 , R L09 and R L10 , R L11 and R L12 , or R L13 and R L14 form a ring).
  • Ring-forming participants of R L07 to R L16 represent a C 1 -C 15 divalent hydrocarbon group, examples of which are the ones exemplified above for the monovalent hydrocarbon groups, with one hydrogen atom being eliminated.
  • R L07 to R L16 which are attached to vicinal carbon atoms may bond together directly to form a double bond (for example, a pair of R L07 and R L09 , R L09 and R L15 , R L13 and R L15 , or R L14 and R L15 ).
  • the cyclic ones are, for example, tetrahydrofuran-2-yl, 2-methyltetrahydrofuran-2-yl, tetrahydropyran-2-yl, and 2-methyltetrahydropyran-2-yl.
  • Examples of the acid labile groups of formula (L2) include tert-butoxycarbonyl, tert-butoxycarbonylmethyl, tert-pentyloxycarbonyl, tert-pentyloxycarbonylmethyl, 1,1-diethylpropyloxycarbonyl, 1,1-diethylpropyloxycarbonylmethyl, 1-ethylcyclopentyloxycarbonyl, 1-ethylcyclopentyloxycarbonylmethyl, 1-ethyl-2-cyclopentenyloxycarbonyl, 1-ethyl-2-cyclopentenyloxycarbonylmethyl, 1-ethoxyethoxycarbonylmethyl, 2-tetrahydropyranyloxycarbonylmethyl, and 2-tetrahydrofuranyloxycarbonylmethyl.
  • Examples of the acid labile groups of formula (L3) include 1-methylcyclopentyl, 1-ethylcyclopentyl, 1-n-propylcyclopentyl, 1-isopropylcyclopentyl, 1-n-butylcyclopentyl, 1-sec-butylcyclopentyl, 1-cyclohexylcyclopentyl, 1-(4-methoxy-n-butyl)cyclopentyl, 1-methylcyclohexyl, 1-ethylcyclohexyl, 3-methyl-1-cyclopenten-3-yl, 3-ethyl-1-cyclopenten-3-yl, 3-methyl-1-cyclohexen-3-yl, and 3-ethyl-1-cyclohexen-3-yl.
  • R L21 is each independently a monovalent hydrocarbon group.
  • the monovalent hydrocarbon group may be straight, branched or cyclic, and examples thereof include C 1 -C 10 alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, tert-pentyl, n-pentyl, n-hexyl, cyclopentyl and cyclohexyl.
  • the formula (L4-3) represents one or a mixture of two selected from groups having the following formulas (L4-3-1) and (L4-3-2).
  • R L21 is as defined above.
  • the formula (L4-4) represents one or a mixture of two or more selected from groups having the following formulas (L4-4-1) to (L4-4-4).
  • R L21 is as defined above.
  • Each of formulas (L4-1) to (L4-4), (L4-3-1) and (L4-3-2), and (L4-4-1) to (L4-4-4) collectively represents an enantiomer thereof and a mixture of enantiomers.
  • R L21 is as defined above.
  • Examples of the C 4 -C 20 tertiary alkyl group, trialkylsilyl group in which each alkyl moiety has 1 to 6 carbon atoms, and C 4 -C 20 oxoalkyl group, represented by X A , are as exemplified above for R L04 .
  • R A is as defined above.
  • Z A is a single bond
  • Z A which is other than a single bond may be combined with similar acid labile groups.
  • Examples of units wherein Z A is other than a single bond are substantially the same as illustrated above.
  • R A is as defined above.
  • the polymer may further comprise recurring units of the structure having a hydroxyl group protected with an acid labile group.
  • the recurring unit of the structure having a hydroxyl group protected with an acid labile group is not particularly limited as long as it has one or more protected hydroxyl-bearing structure such that the protective group may be decomposed to generate a hydroxyl group under the action of acid.
  • recurring units having the formula (c1) are preferred.
  • R A is as defined above, R 21 is a C 1 -C 30 (j+1)-valent hydrocarbon group which may contain a heteroatom.
  • the hydrocarbon group may be straight, branched or cyclic.
  • R 22 is an acid labile group, and j is an integer of 1 to 4.
  • the structure of the acid labile group R 22 in formula (c1) is not particularly limited as long as it is deprotected to generate a hydroxyl group under the action of acid.
  • Typical acid labile groups are groups of acetal or ketal structure and alkoxycarbonyl groups, with their examples being shown below.
  • alkoxymethyl groups having the formula (c2) are preferred.
  • R 23 is a C 1 -C 15 monovalent hydrocarbon group, which may be straight, branched or cyclic.
  • the polymer may further comprise recurring units derived from other monomers, for example, substituted acrylic acid esters such as methyl methacrylate, methyl crotonate, dimethyl maleate and dimethyl itaconate, unsaturated carboxylic acids such as maleic acid, fumaric acid, and itaconic acid, cyclic olefins such as norbornene, norbornene derivatives, and tetracyclo[6.2.1.1 3,6 .0 2,7 ]dodecene derivatives, unsaturated acid anhydrides such as itaconic anhydride, and other monomers.
  • substituted acrylic acid esters such as methyl methacrylate, methyl crotonate, dimethyl maleate and dimethyl itaconate
  • unsaturated carboxylic acids such as maleic acid, fumaric acid, and itaconic acid
  • cyclic olefins such as norbornene, norbornene derivatives
  • the polymer has a weight average molecular weight (Mw) of preferably 1,000 to 500,000, more preferably 3,000 to 100,000, as measured by gel permeation chromatography (GPC) versus polystyrene standards using tetrahydrofuran (THF) solvent.
  • Mw weight average molecular weight
  • GPC gel permeation chromatography
  • THF tetrahydrofuran
  • the polymer should preferably have a narrow dispersity (Mw/Mn) of 1.0 to 2.0 in order to formulate a resist composition suited for fine size pattern formation.
  • the method of synthesizing the polymer is, for example, by dissolving one or more unsaturated bond-bearing monomers in an organic solvent, adding a radical initiator, and heating the solution for polymerization.
  • organic solvent which can be used for polymerization include toluene, benzene, THF, diethyl ether, and dioxane.
  • polymerization initiator used herein include 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis(2,4-dimethylvaleronitrile), dimethyl 2,2-azobis(2-methylpropionate), benzoyl peroxide, and lauroyl peroxide.
  • AIBN 2,2′-azobisisobutyronitrile
  • the reaction temperature is in a range of 50 to 80° C. and the reaction time is 2 to 100 hours, more preferably 5 to 20 hours.
  • the acid labile group that has been incorporated in the monomer may be kept as such, or polymerization may be followed by
  • the polymer comprises recurring units derived from monomers, the molar fractions of respective units preferably fall in the following range (mol %), but are not limited thereto:
  • the base resin (B) may be the polymer (defined above) alone or a mixture of two or more polymers which are different in compositional ratio, Mw and/or Mw/Mn.
  • the resist composition may comprise (C) an organic solvent.
  • the organic solvent used herein is not particularly limited as long as the foregoing components and other components are soluble therein. Examples of the organic solvent are described in JP-A 2008-111103, paragraphs [0144]-[0145] (U.S. Pat. No. 7,537,880).
  • exemplary solvents include ketones such as cyclohexanone and methyl-2-n-pentyl ketone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, and diacetone alcohol; ethers such as propylene glycol monomethyl ether (PGME), ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate
  • a high-boiling alcohol solvent such as diethylene glycol, propylene glycol, glycerol, 1,4-butanediol or 1,3-butanediol may be added for accelerating deprotection reaction of acetal.
  • organic solvents it is recommended to use 1-ethoxy-2-propanol, PGMEA, cyclohexanone, GBL, and mixtures thereof because the PAG (A) is most soluble therein.
  • An appropriate amount of the organic solvent (C) used is 200 to 5,000 parts, more preferably 400 to 3,000 parts by weight per 100 parts by weight of the base resin (B).
  • the resist composition may further comprise (D) a quencher.
  • a quencher refers to a compound capable of trapping the acid generated by the PAG, for thereby suppressing the rate of diffusion when the generated acid diffuses within the resist film.
  • onium salts having the formulae (1a) and (1b) are preferred.
  • R q1 is hydrogen or a C 1 -C 40 monovalent hydrocarbon group which may contain a heteroatom, excluding the hydrocarbon group in which the hydrogen atom bonded to the carbon atom at ⁇ -position relative to the sulfo group is substituted by fluorine or fluoroalkyl.
  • R q2 is hydrogen or a C 1 -C 40 monovalent hydrocarbon group which may contain a heteroatom.
  • R q1 is hydrogen or a monovalent hydrocarbon group, examples of which include methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, n-pentyl, tert-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, oxanorbomyl, tricyclo[5.2.1.0 2,6 ]decanyl, adamantyl, phenyl, naphthyl, and anthracenyl.
  • some hydrogen may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some carbon may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxyl moiety, cyano moiety, carbonyl moiety, ether bond, ester bond, sulfonate bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride or haloalkyl moiety.
  • Examples of the monovalent hydrocarbon group represented by R q2 include those exemplified above for R q1 , and fluorinated alkyl groups such as trifluoromethyl and trifluoroethyl and fluorinated aryl groups such as pentafluorophenyl and 4-trifluoromethylphenyl.
  • Mq + is an onium cation.
  • Onium cations having the formulae (2a), (2b) and (2c) are preferred.
  • R q11 to R q19 are each independently a C 1 -C 20 monovalent hydrocarbon group which may contain a heteroatom. Any two of R q11 to R q13 may bond together to form a ring with the sulfur atom to which they are attached. R q14 and R q15 may bond together to form a ring with the iodine atom to which they are attached. Any two of R q16 to R q19 may bond together to form a ring with the nitrogen atom to which they are attached.
  • the monovalent hydrocarbon group may be straight, branched or cyclic.
  • alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, n-pentyl, tert-pentyl, n-hexyl, n-octyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclohexylmethyl, cyclohexylethyl, norbornyl, oxanorbomyl, tricyclo[5.2.1.0 2,6 ]decanyl, adamantyl, and aryl groups such as phenyl and naphthyl.
  • some hydrogen may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some carbon may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxyl moiety, cyano moiety, carbonyl moiety, ether bond, ester bond, sulfonate bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride or haloalkyl moiety.
  • Examples of the onium cation are shown below, but not limited thereto.
  • Examples of the onium salt having formula (1a) or (1b) include arbitrary combinations of anions with cations, both as exemplified above.
  • Such onium salts may be readily prepared by ion exchange reaction.
  • the ion exchange reaction may be readily carried out by any well-known techniques, with reference to JP-A 2007-145797, for example.
  • the onium salt having formula (1a) or (1b) functions as a quencher because the counter anion of the onium salt is a conjugated base of weak acid.
  • the “weak acid” indicates an acidity insufficient to deprotect an acid labile group from an acid labile group-containing unit in the base resin.
  • the onium salt having formula (1a) or (1b) functions as a quencher when used in combination with an onium salt type PAG having a conjugated base of a strong acid, typically a sulfonic acid which is fluorinated at ⁇ -position as the counter anion.
  • an onium salt capable of generating a strong acid e.g., ⁇ -position fluorinated sulfonic acid
  • an onium salt capable of generating a weak acid e.g., ⁇ -position non-fluorinated sulfonic acid or carboxylic acid
  • a salt exchange occurs whereby the weak acid is released and an onium salt having a strong acid anion is formed.
  • the strong acid is exchanged into the weak acid having a low catalysis, incurring apparent deactivation of the acid for enabling to control acid diffusion.
  • the onium salt having formula (1a) or (1b) functions as the quencher.
  • a PAG capable of generating a strong acid is an onium salt
  • an exchange from the strong acid generated upon exposure to high-energy radiation to a weak acid as above can take place, but it rarely happens that the weak acid generated upon exposure to high-energy radiation collides with the unreacted onium salt capable of generating a strong acid to induce a salt exchange. This is because of a likelihood of an onium cation forming an ion pair with a stronger acid anion.
  • An appropriate amount of the onium salt having formula (1a) or (1b) added is 0 to 40 parts, and if used, preferably 0.1 to 40 parts, and more preferably 0.1 to 20 parts by weight per 100 parts by weight of the base resin (B).
  • An excess of the onium salt may cause a degradation of resolution or leave foreign particles after resist development or stripping.
  • the onium salt having formula (1a) or (1b) may be used alone or in admixture.
  • a photo-decomposable onium salt having a nitrogen-containing substituent group may also be used as the quencher (D).
  • This compound functions as a quencher in the unexposed region, but as a so-called photo-degradable base in the exposed region because it loses the quencher function in the exposed region due to neutralization thereof with the acid generated by itself.
  • a photo-degradable base Using a photo-degradable base, the contrast between exposed and unexposed regions can be further enhanced.
  • the photo-degradable base reference may be made to JP-A 2009-109595, 2012-046501 and JP-A 2013-209360, for example.
  • An appropriate amount of the photo-degradable base added is 0 to 40 parts, and if used, preferably 0.1 to 40 parts, and more preferably 0.1 to 20 parts by weight per 100 parts by weight of the base resin (B). An excess of the base may cause a degradation of resolution or leave foreign particles after resist development or stripping.
  • the photo-degradable base may be used alone or in admixture.
  • Amine compounds may also be used as the quencher.
  • Suitable amine compounds include primary, secondary and tertiary amine compounds, specifically amine compounds having a hydroxyl, ether bond, ester bond, lactone ring, cyano or sulfonate group, as described in JP-A 2008-111103, paragraphs [0146]-[0164] (U.S. Pat. No. 7,537,880), and compounds having primary or secondary amine protected with a carbamate group, as described in JP 3790649.
  • An appropriate amount of the amine compound is 0 to 12 parts by weight, and if used, preferably 0.001 to 12 parts, more preferably 0.01 to 8 parts by weight, per 100 parts by weight of the base resin (B).
  • the inclusion of the amine compound facilitates adjustment of resist sensitivity and holds down the rate of acid diffusion within the resist film, resulting in better resolution. In addition, it suppresses changes in sensitivity following exposure and reduces substrate and environment dependence, as well as improving the exposure latitude and the pattern profile.
  • the amine compound is also effective for improving adhesion to the substrate.
  • the amine compound may be used alone or in admixture.
  • the resist composition may further comprise (E) a photoacid generator other than the salt compound having formula (A).
  • the other PAG may be any compound capable of generating an acid upon exposure to high-energy radiation such as UV, deep UV, EB, EUV, x-ray, excimer laser, gamma-ray or synchrotron radiation.
  • Suitable PAGs include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxydicarboxyimide, O-arylsulfonyloxime and O-alkylsulfonyloxime photoacid generators. These PAGs may be used alone or in admixture of two or more.
  • Suitable PAGs are described, for example, in U.S. Pat. No. 7,511,169 (JP-A 2007-145797, paragraphs [0102]-[0113]). It is noted that diphenyliodonium and di-tert-butylphenyliodonium are preferred as the cation of the iodonium salt.
  • the preferred PAG has the formula (3).
  • R 101 , R 102 and R 103 are each independently a C 1 -C 20 monovalent hydrocarbon group which may contain a heteroatom.
  • the monovalent hydrocarbon group may be straight, branched or cyclic. Examples thereof include alkyl groups such as methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, n-pentyl, tert-pentyl, n-hexyl, n-octyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclohexylmethyl, cyclohexylethyl, norbomyl, oxanorbomyl, tricyclo[5.2.1.0 2,6 ]decanyl, and adamantyl, and aryl groups such as phenyl and
  • some hydrogen may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or some carbon may be replaced by a moiety containing a heteroatom such as oxygen, sulfur or nitrogen, so that the group may contain a hydroxyl moiety, cyano moiety, carbonyl moiety, ether bond, ester bond, sulfonate bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride or haloalkyl moiety.
  • R 101 , R 102 and R 103 are preferably optionally substituted aryl groups.
  • R 101 , R 102 and R 103 may bond together to form a ring with the sulfur atom to which they are attached.
  • Examples of the cation in formula (3) involving cyclization are shown below.
  • R 104 is a C 1 -C 20 monovalent hydrocarbon group which may contain a heteroatom.
  • Examples of the monovalent hydrocarbon group are as exemplified above for R 101 to R 103 .
  • X ⁇ is an anion selected from the formulae (3A) to (3D).
  • R fa is fluorine or a C 1 -C 40 monovalent hydrocarbon group which may contain a heteroatom.
  • the monovalent hydrocarbon group may be straight, branched or cyclic.
  • Preferred structures of the anion having formula (3A) include nonafluorobutane sulfonate, partially fluorinated sulfonates described in JP-A 2012-189977, paragraphs [0247]-[0251], and partially fluorinated sulfonates described in JP-A 2013-101271, paragraphs [0261]-[0265].
  • R 111 is hydrogen or trifluoromethyl.
  • R 112 is a C 1 -C 30 monovalent hydrocarbon group which may contain a heteroatom. Suitable heteroatoms include oxygen, nitrogen, sulfur and halogen, with oxygen being preferred. Of the monovalent hydrocarbon groups, those of 6 to 30 carbon atoms are preferred because a high resolution is available in fine pattern formation.
  • the monovalent hydrocarbon group may be straight, branched or cyclic and examples thereof include methyl, ethyl, propyl, isopropyl, butyl, isobutyl, sec-butyl, tert-butyl, pentyl, neopentyl, cyclopentyl, hexyl, cyclohexyl, 3-cyclohexenyl, heptyl, 2-ethylhexyl, nonyl, undecyl, tridecyl, pentadecyl, heptadecyl, 1-adamantyl, 2-adamantyl, 1-adamantylmethyl, norbomyl, norbornylmethyl, tricyclodecanyl, tetracyclododecanyl, tetracyclododecanylmethyl, dicyclohexylmethyl, icosanyl, allyl, benzyl, diphenylmethyl,
  • R fb1 and R fb2 are each independently fluorine or a C 1 -C 40 monovalent hydrocarbon group which may contain a heteroatom.
  • the monovalent hydrocarbon group may be straight, branched or cyclic and examples thereof are as exemplified above for R 112 .
  • R fb1 and R fb2 each are fluorine or a C 1 -C 4 straight fluorinated alkyl group.
  • a pair of R fb1 and R fb2 may bond together to form a ring with the linkage (—CF 2 —SO 2 —N ⁇ —SO 2 —CF 2 —) to which they are attached, and preferably the pair is a fluorinated ethylene or fluorinated propylene group forming a ring structure.
  • R fc1 , R fc2 and R fc3 are each independently fluorine or a C 1 -C 40 monovalent hydrocarbon group which may contain a heteroatom.
  • the monovalent hydrocarbon group may be straight, branched or cyclic and examples thereof are as exemplified above for R 112 .
  • R fc1 , R fc2 and R fc3 each are fluorine or a C 1 -C 4 straight fluorinated alkyl group.
  • a pair of R fc1 and R fc2 may bond together to form a ring with the linkage (—CF 2 —SO 2 —C ⁇ —SO 2 —CF 2 —) to which they are attached, and preferably the pair is a fluorinated ethylene or fluorinated propylene group forming a ring structure.
  • R fd is a C 1 -C 40 monovalent hydrocarbon group which may contain a heteroatom.
  • the monovalent hydrocarbon group may be straight, branched or cyclic and examples thereof are as exemplified above for R 112 .
  • the compound having the anion of formula (3D) has a sufficient acid strength to cleave acid labile groups in the base resin because it is free of fluorine at ⁇ -position of sulfo group, but has two trifluoromethyl groups at 3-position.
  • the compound is a useful PAG.
  • R 201 and R 202 are each independently a C 1 -C 30 monovalent hydrocarbon group which may contain a heteroatom.
  • R 203 is a C 1 -C 30 divalent hydrocarbon group which may contain a heteroatom. Any two of R 201 , R 202 and R 203 may bond together to form a ring with the sulfur atom to which they are attached.
  • L A is a single bond, ether bond or a C 1 -C 20 divalent hydrocarbon group which may contain a heteroatom.
  • X a , X b , X c and X d are each independently hydrogen, fluorine or trifluoromethyl, with at least one thereof being fluorine or trifluoromethyl.
  • the monovalent hydrocarbon group represented by R 201 and R 202 may be straight, branched or cyclic. Examples thereof include methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl, n-pentyl, tert-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbomyl, oxanorbomyl, tricyclo[5.2.1.0 2,6 ]decanyl, adamantyl, phenyl, naphthyl,
  • some hydrogen may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or a moiety containing a heteroatom such as oxygen, sulfur or nitrogen may intervene between carbon atoms, so that the group may contain a hydroxyl, cyano, carbonyl, ether bond, ester bond, sulfonate bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride or haloalkyl moiety.
  • the divalent hydrocarbon group R 203 may be straight, branched or cyclic. Examples thereof include linear alkanediyl groups such as methylene, ethylene, propane-1,3-diyl, butane-1,4-diyl, pentane-1,5-diyl, hexane-1,6-diyl, heptane-1,7-diyl, octane-1,8-diyl, nonane-1,9-diyl, decane-1,10-diyl, undecane-1,11-diyl, dodecane-1,12-diyl, tridecane-1,13-diyl, tetradecane-1,14-diyl, pentadecane-1,15-diyl, hexadecane-1,16-diyl, heptadecane-1,17-diyl; saturated cyclic divalent hydrocarbon groups such
  • some hydrogen may be substituted by an alkyl group such as methyl, ethyl, propyl, n-butyl or t-butyl, or some hydrogen may be substituted by a moiety containing a heteroatom such as oxygen, sulfur, nitrogen or halogen, or a moiety containing a heteroatom such as oxygen, sulfur or nitrogen may intervene between carbon atoms, so that the group may contain a hydroxyl, cyano, carbonyl, ether bond, ester bond, sulfonate bond, carbonate bond, lactone ring, sultone ring, carboxylic anhydride or haloalkyl moiety.
  • Typical of the heteroatom is oxygen.
  • L A is as defined above.
  • G is hydrogen or trifluoromethyl, preferably trifluoromethyl.
  • R 211 , R 212 and R 213 are each independently hydrogen or a C 1 -C 20 monovalent hydrocarbon group which may contain a heteroatom.
  • the monovalent hydrocarbon group may be straight, branched or cyclic and examples thereof are as exemplified above for R 112 .
  • the subscripts p and q are each independently an integer of 0 to 5, and r is an integer of 0 to 4.
  • An amount of the other PAG (E) used is 0 to 40 parts, and when added, preferably 0.1 to 40 parts, more preferably 0.1 to 20 parts by weight per 100 parts by weight of the base resin (B). An amount in the range ensures good resolution and leaves no foreign particles after resist development or during stripping.
  • the PAG (E) may be used alone or in admixture of two or more.
  • the resist composition may further comprise (F) a surfactant which is insoluble or substantially insoluble in water and soluble in alkaline developer, and/or a surfactant which is insoluble or substantially insoluble in water and alkaline developer.
  • a surfactant which is insoluble or substantially insoluble in water and soluble in alkaline developer
  • a surfactant which is insoluble or substantially insoluble in water and alkaline developer.
  • surfactant which is insoluble or substantially insoluble in water and alkaline developer are described in the patent documents cited herein, preferred examples are FC-4430, Surflon® S-381, Surfynol E1004, KH-20 and KH-30, which may be used alone or in admixture.
  • FC-4430 Surflon® S-381
  • Surfynol E1004 Surfynol E1004, KH-20 and KH-30
  • Partially fluorinated oxetane ring-opened polymers having the formula (surf-1) are also useful.
  • R, Rf, A, B, C, m, and n are applied to only formula (surf-1), independent of their descriptions other than for the surfactant.
  • R is a di- to tetra-valent C 2 -C 5 aliphatic group.
  • Exemplary divalent groups include ethylene, 1,4-butylene, 1,2-propylene, 2,2-dimethyl-1,3-propylene and 1,5-pentylene.
  • Exemplary tri- and tetra-valent groups are shown below.
  • Rf is trifluoromethyl or pentafluoroethyl, and preferably trifluoromethyl.
  • the letter m is an integer of 0 to 3
  • n is an integer of 1 to 4
  • the sum of m and n, which represents the valence of R, is an integer of 2 to 4.
  • A is equal to 1
  • B is an integer of 2 to 25
  • C is an integer of 0 to 10.
  • B is an integer of 4 to 20, and C is 0 or 1.
  • the formula (surf-1) does not prescribe the arrangement of respective constituent units while they may be arranged either blockwise or randomly.
  • surfactants in the form of partially fluorinated oxetane ring-opened polymers reference should be made to U.S. Pat. No. 5,650,483, for example.
  • the surfactant which is insoluble or substantially insoluble in water and soluble in alkaline developer is useful when ArF immersion lithography is applied to the resist composition in the absence of a resist protective film.
  • the surfactant has a propensity to segregate on the resist surface after spin coating for achieving a function of minimizing water penetration or leaching.
  • the surfactant is also effective for preventing water-soluble components from being leached out of the resist film for minimizing any damage to the exposure tool.
  • the surfactant becomes solubilized during alkaline development following exposure and PEB, and thus forms few or no foreign particles which become defects.
  • the preferred surfactant is a polymeric surfactant which is insoluble or substantially insoluble in water, but soluble in alkaline developer, also referred to as “hydrophobic resin” in this sense, and especially which is water repellent and enhances water slippage.
  • Suitable polymeric surfactants include those comprising recurring units of at least one type selected from the formulae (5A) to (5E).
  • R B is hydrogen, fluorine, methyl or trifluoromethyl.
  • W 1 is —CH 2 —, —CH 2 CH 2 — or —O—, or two separate —H.
  • R s1 is each independently hydrogen or a C 1 -C 10 monovalent hydrocarbon group.
  • R s2 is a single bond or a C 1 -C 5 straight or branched divalent hydrocarbon group.
  • R s3 is each independently hydrogen, a C 1 -C 15 monovalent hydrocarbon or fluorinated hydrocarbon group, or an acid labile group.
  • R s3 is a monovalent hydrocarbon or fluorinated hydrocarbon group
  • an ether bond (—O—) or carbonyl moiety (—C( ⁇ O)—) may intervene in a carbon-carbon bond.
  • R s4 is a C 1 -C 20 (u+1)-valent hydrocarbon or fluorinated hydrocarbon group, and u is an integer of 1 to 3.
  • R s5 is each independently hydrogen or a group having the formula: —C( ⁇ O)—O—R s7 wherein R s7 is a C 1 -C 20 fluorinated hydrocarbon group.
  • R 6 is a C 1 -C 15 monovalent hydrocarbon or fluorinated hydrocarbon group in which an ether bond (—O—) or carbonyl moiety (—C( ⁇ O)—) may intervene in a carbon-carbon bond.
  • the monovalent hydrocarbon group represented by R s1 may be straight, branched or cyclic and examples thereof include methyl, ethyl, n-propyl, isopropyl, cyclopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, cyclobutyl, n-pentyl, cyclopentyl, n-hexyl, cyclohexyl, n-heptyl, n-octyl, n-nonyl, n-decyl, adamantyl, and norbomyl.
  • C 1 -C 6 hydrocarbon groups are preferred.
  • the divalent hydrocarbon group represented by R s2 may be straight, branched or cyclic and examples thereof include methylene, ethylene, propylene, butylene, and pentylene.
  • the monovalent hydrocarbon group represented by R s3 or R s6 may be straight, branched or cyclic and examples thereof include alkyl, alkenyl, and alkynyl groups, with the alkyl groups being preferred. Suitable alkyl groups include those exemplified for the monovalent hydrocarbon group represented by R s1 as well as n-undecyl, n-dodecyl, tridecyl, tetradecyl, and pentadecyl.
  • Examples of the monovalent fluorinated hydrocarbon group represented by R s3 or R s6 include the foregoing monovalent hydrocarbon groups in which some or all carbon-bonded hydrogen atoms are substituted by fluorine atoms. In these groups, an ether bond (—O—) or carbonyl moiety (—C( ⁇ O)—) may intervene in a carbon-carbon bond as mentioned above.
  • Examples of the acid labile group represented by R s3 include groups of the above formulae (L1) to (L4), C 4 -C 20 , preferably C 4 -C 15 tertiary alkyl groups, trialkylsilyl groups in which each alkyl moiety has 1 to 6 carbon atoms, and C 4 -C 20 oxoalkyl groups.
  • the (u+1)-valent hydrocarbon or fluorinated hydrocarbon group represented by R s4 may be straight, branched or cyclic and examples thereof include the foregoing monovalent hydrocarbon or fluorinated hydrocarbon groups from which the number (u) of hydrogen atoms are eliminated.
  • the fluorinated hydrocarbon group represented by R s7 may be straight, branched or cyclic and examples thereof include the foregoing monovalent hydrocarbon groups in which some or all hydrogen atoms are substituted by fluorine atoms.
  • Illustrative examples include trifluoromethyl, 2,2,2-trifluoroethyl, 3,3,3-trifluoro-1-propyl, 3,3,3-trifluoro-2-propyl, 2,2,3,3-tetrafluoropropyl, 1,1,1,3,3,3-hexafluoroisopropyl, 2,2,3,3,4,4,4-heptafluorobutyl, 2,2,3,3,4,4,5,5-octafluoropentyl, 2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoroheptyl, 2-(perfluorobutyl)ethyl, 2-(perfluorohexyl)ethyl, 2-(perfluorooctyl)eth
  • the polymeric surfactant preferably has a Mw of 1,000 to 500,000, more preferably 2,000 to 20,000. As long as Mw is in the range, a sufficient surface modifying effect may be exerted and development defects may be avoided.
  • JP-A 2008-122932 2009-098638, 2009-191151, 2009-192784, 2009-276363, 2010-107695, 2010-134012, 2010-250105, and 2011-042789.
  • An appropriate amount of component (F) is 0 to 20 parts by weight per 100 parts by weight of the base resin (B).
  • the amount of component (F) is preferably at least 0.001 part, more preferably at least 0.01 part by weight and preferably up to 15 parts, more preferably up to 10 parts by weight.
  • a further embodiment of the invention is a pattern forming process using the resist composition defined above.
  • the preferred process includes the steps of applying the resist composition onto a substrate to form a resist film, exposing a selected region of the resist film to KrF excimer laser, ArF excimer laser, EB or EUV, and developing the exposed resist film in a developer. Any desired steps may be added to the process if necessary.
  • the substrate used herein may be a substrate for integrated circuitry fabrication, e.g., Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, organic antireflective film, etc. or a substrate for mask circuitry fabrication, e.g., Cr, CrO, CrON, MoSi 2 , SiO 2 , etc.
  • the resist composition is applied onto a substrate by a suitable coating technique such as spin coating.
  • the coating is prebaked on a hot plate preferably at a temperature of 60 to 150° C. for 1 to 10 minutes, more preferably at 80 to 140° C. for 1 to 5 minutes.
  • the resulting resist film preferably has a thickness of 0.05 to 2 ⁇ m.
  • the resist film is exposed patternwise to excimer laser, EUV or EB.
  • excimer laser EUV or EB.
  • the resist film is exposed through a mask having a desired pattern, preferably in a dose of 1 to 200 mJ/cm 2 , more preferably 10 to 100 mJ/cm 2 .
  • a pattern may be written directly or through a mask having the desired pattern, preferably in a dose of 1 to 300 ⁇ C/cm 2 , more preferably 10 to 200 ⁇ C/cm 2 .
  • the exposure may be performed by conventional lithography whereas the immersion lithography may be employed if desired.
  • a liquid having a refractive index of at least 1.0 is held between the resist film and the projection lens.
  • the liquid is typically water, and in this case, a protective film which is insoluble in water may be formed on the resist film.
  • the water-insoluble protective film which is used in the immersion lithography serves to prevent any components from being leached out of the resist film and to improve water sliding on the film surface, it is generally divided into two types.
  • the first type is an organic solvent-strippable protective film which must be stripped, prior to alkaline development, with an organic solvent in which the resist film is not dissolvable.
  • the second type is an alkali-soluble protective film which is soluble in an alkaline developer so that it can be removed simultaneously with the removal of solubilized regions of the resist film.
  • the protective film of the second type is preferably of a material comprising a polymer having a 1,1,1,3,3,3-hexafluoro-2-propanol residue (which is insoluble in water and soluble in an alkaline developer) as a base in an alcohol solvent of at least 4 carbon atoms, an ether solvent of 8 to 12 carbon atoms or a mixture thereof.
  • the aforementioned surfactant which is insoluble in water and soluble in an alkaline developer may be dissolved in an alcohol solvent of at least 4 carbon atoms, an ether solvent of 8 to 12 carbon atoms or a mixture thereof to form a material from which the protective film of the second type is formed.
  • the resist film may be baked (PEB), for example, on a hotplate at 60 to 150° C. for 1 to 5 minutes, preferably at 80 to 140° C. for 1 to 3 minutes.
  • PEB baked
  • the resist film is then developed with a developer in the form of an aqueous base solution, for example, 0.1 to 5 wt %, preferably 2 to 3 wt % aqueous solution of tetramethylammonium hydroxide (TMAH) for 0.1 to 3 minutes, preferably 0.5 to 2 minutes by conventional techniques such as dip, puddle and spray techniques.
  • a developer in the form of an aqueous base solution, for example, 0.1 to 5 wt %, preferably 2 to 3 wt % aqueous solution of tetramethylammonium hydroxide (TMAH) for 0.1 to 3 minutes, preferably 0.5 to 2 minutes by conventional techniques such as dip, puddle and spray techniques.
  • TMAH tetramethylammonium hydroxide
  • any desired step may be added to the pattern forming process.
  • a step of rinsing with pure water may be introduced to extract the acid generator or the like from the film surface or wash away particles.
  • a step of rinsing may be introduced to remove any water remaining on the film after exposure.
  • a double patterning process may be used for pattern formation.
  • the double patterning process includes a trench process of processing an underlay to a 1:3 trench pattern by a first step of exposure and etching, shifting the position, and forming a 1:3 trench pattern by a second step of exposure, for forming a 1:1 pattern; and a line process of processing a first underlay to a 1:3 isolated left pattern by a first step of exposure and etching, shifting the position, processing a second underlay formed below the first underlay by a second step of exposure through the 1:3 isolated left pattern, for forming a half-pitch 1:1 pattern.
  • negative tone development may also be used. That is, an organic solvent may be used instead of the aqueous alkaline solution as the developer for developing and dissolving away the unexposed region of the resist film.
  • the organic solvent used as the developer is preferably selected from 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, pentyl acetate, isopentyl acetate, butenyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate
  • MALDI-TOF-MS S3000 by JEOL Ltd.
  • FIG. 1 is the 1 H-NMR/DMSO-d 6 spectrum of the target compound.
  • Negative M ⁇ 464 (corresponding to C 15 H 15 F 5 NO 8 S ⁇ )
  • Example 1-1-3 The same procedure as in Example 1-1-3 was followed aside from using 100 mL of a 10 wt % aqueous solution of (4-tert-butylphenyl)diphenylsulfonium methylsulfate instead of the aqueous solution of Compound C. There was obtained 37.8 g of PAG-2 as an oily matter (yield 99%).
  • FIG. 2 is the 1 H-NMR/DMSO-d 6 spectrum of the target compound.
  • Negative M ⁇ 464 (corresponding to C 15 H 15 F 5 NO 8 S ⁇ )
  • FIG. 3 is the 1 H-NMR/DMSO-d 6 spectrum of the target compound.
  • Negative M ⁇ 462 (corresponding to C 17 H 21 F 5 NO 6 S ⁇ )
  • FIG. 4 is the 1 H-NMR/DMSO-d 6 spectrum of the target compound.
  • Negative M ⁇ 642 (corresponding to C 26 H 29 F 5 NO 10 S ⁇ )
  • PAG-5 to PAG-14 were synthesized by a well-known organic synthesis method using corresponding reactants.
  • Polymers P-2 was synthesized by the same procedure as in Synthesis Example 1 aside from changing the type and amount of monomers. Polymer P-2 had a Mw of 8,500 and a Mw/Mn of 1.58.
  • Chemically amplified resist compositions in solution form were prepared by dissolving a salt (PAG-1 to PAG-14) or comparative photoacid generator (PAG-A to PAG-F), polymer (P-1 or P-2), quencher (Q-1 to Q-4), and alkali-soluble surfactant (SF-1) in a solvent containing 0.01 wt % of surfactant A in accordance with the formulation shown in Tables 1 and 2, and filtering through a Teflon® filter with a pore size of 0.2 ⁇ m.
  • a salt PAG-1 to PAG-14
  • PAG-A to PAG-F comparative photoacid generator
  • P-1 or P-2 polymer
  • quencher Q-1 to Q-4
  • SF-1 alkali-soluble surfactant
  • alkali-soluble surfactant SF-1 The alkali-soluble surfactant SF-1, comparative photoacid generators PAG-A to PAG-F, quenchers Q-1 to Q-4, and surfactant A in Tables 1 and 2 are identified below.
  • an antireflective coating solution (ARC29A, Nissan Chemical Corp.) was coated and baked at 200° C. for 60 seconds to form an ARC of 100 nm thick.
  • ARC29A antireflective coating solution
  • Each of the resist compositions (R-1 to R-14, R-29 to R-34) was spin coated on the ARC and prebaked on a hotplate at 100° C. for 60 seconds to form a resist film of 90 nm thick on the ARC.
  • the wafer was exposed on an ArF excimer laser immersion lithography scanner (NSR-S610C by Nikon Corp., NA 1.30, dipole illumination) through a Cr mask having a line-and-space pattern with a line width of 40 nm and a pitch of 80 nm (on-wafer size), while varying the exposure dose and focus at a dose pitch of 1 mJ/cm 2 and a focus pitch of 0.025 ⁇ m.
  • the immersion liquid used herein was water.
  • the resist film was baked (PEB) at the temperature shown in Table 3 for 60 seconds.
  • the resist film was puddle developed in a 2.38 wt % tetramethylammonium hydroxide (TMAH) aqueous solution for 30 seconds, rinsed with deionized water and spin dried, forming a positive pattern.
  • TMAH tetramethylammonium hydroxide
  • the optimum exposure dose Eop (mJ/cm 2 ) which provided a L/S pattern having a line width of 40 nm and a pitch of 80 nm was determined as an index of sensitivity. A smaller dose value indicates a higher sensitivity.
  • EL exposure latitude
  • E1 is an optimum exposure dose which provides a L/S pattern with a line width of 36 nm and a pitch of 80 nm
  • E2 is an optimum exposure dose which provides a L/S pattern with a line width of 44 nm and a pitch of 80 nm
  • Eop is an optimum exposure dose which provides a L/S pattern with a line width of 40 nm and a pitch of 80 nm.
  • MEF Mask Error Factor
  • a L/S pattern was formed by exposure in the optimum dose Eop.
  • the line width was measured at longitudinally spaced apart 10 points, from which a 3-fold value (3 ⁇ ) of standard deviation (a) was determined and reported as LWR.
  • 3 ⁇ 3-fold value of standard deviation
  • a cross section of the L/S pattern printed at the optimum dose Eop was observed under SEM (S-4800 by Hitachi High Technologies Corp.).
  • a resist film providing a line pattern of substantially rectangular profile is evaluated “Good”.
  • a resist film providing a pattern of rounded profile or T-top profile, i.e., a pattern with overhanging top is evaluated “NG”.
  • the resist compositions containing salts within the scope of the invention exhibit a satisfactory sensitivity, improved values of EL, MEF and LWR and form patterns of good profile.
  • the resist compositions are useful as the ArF immersion lithography material.
  • a spin-on carbon film ODL-180 (Shin-Etsu Chemical Co., Ltd.) having a carbon content of 80 wt % was deposited to a thickness of 180 nm and a silicon-containing spin-on hard mask SHB-A941 having a silicon content of 43 wt % was deposited thereon to a thickness of 35 nm.
  • each of the resist compositions (R-15 to R-28, R-35 to R-40) was spin coated, then baked on a hot plate at 100° C. for 60 seconds to form a resist film of 100 nm thick.
  • the resist film was puddle developed in n-butyl acetate for 30 seconds, rinsed with 4-methyl-2-pentanol, and spin dried, obtaining a negative pattern.
  • the CH pattern after development was observed under CD-SEM CG4000 (Hitachi High Technologies Corp.) whereupon sensitivity, MEF, CDU, and DOF were evaluated by the following methods. The results are shown in Table 4.
  • the optimum dose Eop (mJ/cm 2 ) which provided a CH pattern with a hole size of 45 nm and a pitch of 110 nm in ArF lithography patterning test 2 was determined as an index of sensitivity. A smaller dose value indicates a higher sensitivity.
  • the hole size was measured at 10 areas subject to an identical dose of shot (9 contact holes per area), from which a 3-fold value (3a) of standard deviation (a) was determined and reported as CDU. A smaller value of 3a indicates a CH pattern having improved CDU.
  • the resist compositions containing salts within the scope of the invention exhibit a satisfactory sensitivity and improved values of CDU, MEF and DOF in forming negative patterns via organic solvent development.
  • the resist compositions are also useful in the organic solvent development process.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
US16/669,780 2018-11-15 2019-10-31 Salt compound, chemically amplified resist composition, and patterning process Active US11435666B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2018-214718 2018-11-15
JP2018214718A JP7056524B2 (ja) 2018-11-15 2018-11-15 新規塩化合物、化学増幅レジスト組成物、及びパターン形成方法
JPJP2018-214718 2018-11-15

Publications (2)

Publication Number Publication Date
US20200159115A1 US20200159115A1 (en) 2020-05-21
US11435666B2 true US11435666B2 (en) 2022-09-06

Family

ID=70704509

Family Applications (1)

Application Number Title Priority Date Filing Date
US16/669,780 Active US11435666B2 (en) 2018-11-15 2019-10-31 Salt compound, chemically amplified resist composition, and patterning process

Country Status (5)

Country Link
US (1) US11435666B2 (ko)
JP (1) JP7056524B2 (ko)
KR (1) KR102315790B1 (ko)
CN (1) CN111187235B (ko)
TW (1) TWI717099B (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7367554B2 (ja) * 2019-03-06 2023-10-24 信越化学工業株式会社 ポジ型レジスト組成物及びパターン形成方法
JP2022081416A (ja) * 2020-11-19 2022-05-31 信越化学工業株式会社 レジスト組成物及びパターン形成方法
TW202346263A (zh) * 2022-05-23 2023-12-01 日商Jsr 股份有限公司 感放射線性樹脂組成物及圖案形成方法

Citations (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006084530A (ja) 2004-09-14 2006-03-30 Fuji Photo Film Co Ltd 感光性組成物、該感光性組成物に用いられる化合物及び該感光性組成物を用いたパターン形成方法
JP2006084660A (ja) 2004-09-15 2006-03-30 Fuji Photo Film Co Ltd 感光性組成物及び該感光性組成物を用いたパターン形成方法
US20060166135A1 (en) 2005-01-24 2006-07-27 Fuji Photo Film Co., Ltd. Photosensitive composition, compound for use in the photosensitive composition and pattern forming method using the photosensitive composition
US20060210921A1 (en) 2005-03-15 2006-09-21 Fuji Photo Film Co., Ltd. Positive photosensitive composition and image recording material using the same
JP2006330098A (ja) 2005-05-23 2006-12-07 Fujifilm Holdings Corp 感光性組成物、該感光性組成物に用いる化合物及び該感光性組成物を用いたパターン形成方法
JP2008281974A (ja) 2007-04-13 2008-11-20 Fujifilm Corp パターン形成方法、該パターン形成方法に用いられるレジスト組成物、該パターン形成方法に用いられるネガ型現像液及び該パターン形成方法に用いられるネガ型現像用リンス液
JP2008281975A (ja) 2007-04-13 2008-11-20 Fujifilm Corp パターン形成方法、該パターン形成方法に用いられるネガ型現像若しくは多重現像用レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液、及び該パターン形成方法に用いられるネガ型現像用リンス液
WO2009019793A1 (ja) 2007-08-09 2009-02-12 Jsr Corporation パターン形成方法並びにそれに用いられる感放射線性樹脂組成物及び感放射線性酸発生基含有樹脂
WO2009033069A1 (en) 2007-09-07 2009-03-12 Xenoport, Inc. Simple pantoic acid ester neopentyl sulfonyl ester cyclization release prodrugs of acamprosate, compositions thereof, and methods of use
JP2010008912A (ja) 2008-06-30 2010-01-14 Tokyo Ohka Kogyo Co Ltd レジスト組成物、レジストパターン形成方法、新規な化合物および酸発生剤
JP2010134279A (ja) 2008-12-05 2010-06-17 Fujifilm Corp 感活性光線または感放射線性樹脂組成物及び該組成物を用いたパターン形成方法
JP4554665B2 (ja) 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
US20100255419A1 (en) * 2000-10-20 2010-10-07 Fujifilm Corporation Positive photosensitive composition
US20110003247A1 (en) 2009-07-02 2011-01-06 Masaki Ohashi Photoacid generator, resist composition, and patterning process
JP2011016746A (ja) 2009-07-08 2011-01-27 Shin-Etsu Chemical Co Ltd スルホニウム塩、レジスト材料及びパターン形成方法
JP2011148766A (ja) 2009-12-21 2011-08-04 Sumitomo Chemical Co Ltd 塩、レジスト組成物及びレジストパターンの製造方法
WO2011104127A1 (en) 2010-02-24 2011-09-01 Basf Se Latent acids and their use
US20110217654A1 (en) 2010-02-24 2011-09-08 Basf Se Latent acids and their use
JP2011184434A (ja) 2010-02-10 2011-09-22 Sumitomo Chemical Co Ltd 塩、酸発生剤、レジスト組成物及びレジストパターンの製造方法
JP2012032602A (ja) * 2010-07-30 2012-02-16 Fujifilm Corp 感活性光線性または感放射線性樹脂組成物、膜及び該組成物を用いたパターン形成方法
KR20120072076A (ko) 2010-12-23 2012-07-03 제일모직주식회사 광산 발생제 및 이를 포함하는 레지스트 조성물
JP2012136505A (ja) 2010-12-06 2012-07-19 Sumitomo Chemical Co Ltd 塩及びレジスト組成物
US8283106B2 (en) * 2007-11-01 2012-10-09 Central Glass Company, Limited Sulfonic acid salt and derivative thereof, photoacid generator agent, and resist material and pattern formation method using the photoacid generator agent
US8647812B2 (en) * 2010-03-23 2014-02-11 Fujifilm Corporation Pattern forming method, chemical amplification resist composition and resist film
WO2014034190A1 (ja) 2012-08-27 2014-03-06 Jsr株式会社 感放射線性樹脂組成物、レジストパターン形成方法、感放射線性酸発生剤、化合物及び化合物の製造方法
WO2014185288A1 (ja) 2013-05-14 2014-11-20 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、及びそれを用いたパターン形成方法
TW201512267A (zh) 2013-07-10 2015-04-01 Fujifilm Corp 化合物、樹脂組成物、使用其的抗蝕劑膜、抗蝕劑塗布空白罩幕、光罩及圖案形成方法、以及電子元件的製造方法以及電子元件
JP2015063470A (ja) 2013-09-24 2015-04-09 住友化学株式会社 塩、レジスト組成物及びレジストパターンの製造方法
JP2015063471A (ja) 2013-09-24 2015-04-09 住友化学株式会社 塩、レジスト組成物及びレジストパターンの製造方法
US9069246B2 (en) * 2012-02-16 2015-06-30 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, pattern forming method and resist film using the composition, and electronic device manufacturing method and electronic device using these
JP2016177256A (ja) 2015-03-19 2016-10-06 Jsr株式会社 感放射線性樹脂組成物、レジストパターン形成方法、感放射線性酸発生体及び化合物
US20160349612A1 (en) 2015-05-27 2016-12-01 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, chemically amplified resist composition, and patterning process
US9551931B2 (en) * 2013-03-15 2017-01-24 Fujifilm Corporation Method of forming pattern, actinic-ray- or radiation-sensitive resin composition, actinic-ray- or radiation-sensitive film, process for manufacturing electronic device and electronic device
US20170115566A1 (en) * 2015-10-23 2017-04-27 Shin-Etsu Chemical Co., Ltd. Resist composition, patterning process, and barium, cesium and cerium salts
WO2017179727A1 (ja) 2016-04-15 2017-10-19 Jsr株式会社 感放射線性樹脂組成物、レジストパターン形成方法及び感放射線性酸発生剤
US20170369616A1 (en) 2016-06-28 2017-12-28 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US20180267402A1 (en) 2017-03-17 2018-09-20 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US10095111B2 (en) * 2014-09-02 2018-10-09 Fujifilm Corporation Pattern forming method, method for manufacturing electronic device, and electronic device
US10120278B2 (en) * 2016-04-28 2018-11-06 Shin-Etsu Chemical Co., Ltd. Carboxylic acid onium salt, chemically amplified resist composition, and pattern forming process
JP2018199781A (ja) 2017-05-26 2018-12-20 東洋合成工業株式会社 ポリマー、該ポリマーを含有するレジスト組成物及びそれを用いたデバイスの製造方法
US20180364571A1 (en) * 2016-03-07 2018-12-20 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and method for manufacturing electronic device
US10180626B2 (en) * 2016-09-27 2019-01-15 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, resist composition, and patterning process
US20190033716A1 (en) * 2017-07-27 2019-01-31 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, polymer, resist composition, and patterning process
US10261417B2 (en) * 2014-04-14 2019-04-16 Fujifilm Corporation Active-light-sensitive or radiation-sensitive resin composition, active-light-sensitive or radiation-sensitive film and pattern forming method, each using composition, and method for manufacturing electronic device
US20190113843A1 (en) 2017-10-16 2019-04-18 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US20190361345A1 (en) 2018-05-28 2019-11-28 Tokyo Ohka Kogyo Co., Ltd. Resist composition, method of forming resist pattern, compound, and acid generator
US20190361344A1 (en) * 2018-05-28 2019-11-28 Tokyo Ohka Kogyo Co., Ltd. Resist composition, method of forming resist pattern, compound, acid generator, and method of producing compound
US20190361343A1 (en) 2018-05-28 2019-11-28 Tokyo Ohka Kogyo Co., Ltd. Resist composition and method of forming resist pattern
JP2019207300A (ja) 2018-05-28 2019-12-05 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
JP2019207408A (ja) 2018-05-28 2019-12-05 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法、並びに、化合物及び酸発生剤
JP2019207299A (ja) 2018-05-28 2019-12-05 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
US11163232B2 (en) * 2017-10-18 2021-11-02 Shin-Etsu Chemical Co., Ltd. Resist composition, patterning process, and barium salt

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5615860B2 (ja) * 2012-03-07 2014-10-29 信越化学工業株式会社 酸発生剤、化学増幅型レジスト材料、及びパターン形成方法
JP6642345B2 (ja) * 2016-03-28 2020-02-05 信越化学工業株式会社 レジスト材料及びパターン形成方法

Patent Citations (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100255419A1 (en) * 2000-10-20 2010-10-07 Fujifilm Corporation Positive photosensitive composition
JP2006084530A (ja) 2004-09-14 2006-03-30 Fuji Photo Film Co Ltd 感光性組成物、該感光性組成物に用いられる化合物及び該感光性組成物を用いたパターン形成方法
JP2006084660A (ja) 2004-09-15 2006-03-30 Fuji Photo Film Co Ltd 感光性組成物及び該感光性組成物を用いたパターン形成方法
US20060166135A1 (en) 2005-01-24 2006-07-27 Fuji Photo Film Co., Ltd. Photosensitive composition, compound for use in the photosensitive composition and pattern forming method using the photosensitive composition
JP2006201711A (ja) 2005-01-24 2006-08-03 Fuji Photo Film Co Ltd 感光性組成物、該感光性組成物に用いる化合物及び該感光性組成物を用いたパターン形成方法
US20060210921A1 (en) 2005-03-15 2006-09-21 Fuji Photo Film Co., Ltd. Positive photosensitive composition and image recording material using the same
JP2006258980A (ja) 2005-03-15 2006-09-28 Fuji Photo Film Co Ltd ポジ型感光性組成物及びそれを用いた画像記録材料
JP2006330098A (ja) 2005-05-23 2006-12-07 Fujifilm Holdings Corp 感光性組成物、該感光性組成物に用いる化合物及び該感光性組成物を用いたパターン形成方法
US7875746B2 (en) 2005-05-23 2011-01-25 Fujifilm Corporation Photosensitive composition, compound for use in the photosensitive composition and pattern forming method using the photosensitive composition
JP4554665B2 (ja) 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
US8227183B2 (en) 2006-12-25 2012-07-24 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
JP2008281975A (ja) 2007-04-13 2008-11-20 Fujifilm Corp パターン形成方法、該パターン形成方法に用いられるネガ型現像若しくは多重現像用レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液、及び該パターン形成方法に用いられるネガ型現像用リンス液
JP2008281974A (ja) 2007-04-13 2008-11-20 Fujifilm Corp パターン形成方法、該パターン形成方法に用いられるレジスト組成物、該パターン形成方法に用いられるネガ型現像液及び該パターン形成方法に用いられるネガ型現像用リンス液
WO2009019793A1 (ja) 2007-08-09 2009-02-12 Jsr Corporation パターン形成方法並びにそれに用いられる感放射線性樹脂組成物及び感放射線性酸発生基含有樹脂
WO2009033069A1 (en) 2007-09-07 2009-03-12 Xenoport, Inc. Simple pantoic acid ester neopentyl sulfonyl ester cyclization release prodrugs of acamprosate, compositions thereof, and methods of use
US8283106B2 (en) * 2007-11-01 2012-10-09 Central Glass Company, Limited Sulfonic acid salt and derivative thereof, photoacid generator agent, and resist material and pattern formation method using the photoacid generator agent
JP2010008912A (ja) 2008-06-30 2010-01-14 Tokyo Ohka Kogyo Co Ltd レジスト組成物、レジストパターン形成方法、新規な化合物および酸発生剤
JP2010134279A (ja) 2008-12-05 2010-06-17 Fujifilm Corp 感活性光線または感放射線性樹脂組成物及び該組成物を用いたパターン形成方法
JP2011013479A (ja) 2009-07-02 2011-01-20 Shin-Etsu Chemical Co Ltd 光酸発生剤並びにレジスト材料及びパターン形成方法
US20110003247A1 (en) 2009-07-02 2011-01-06 Masaki Ohashi Photoacid generator, resist composition, and patterning process
JP2011016746A (ja) 2009-07-08 2011-01-27 Shin-Etsu Chemical Co Ltd スルホニウム塩、レジスト材料及びパターン形成方法
JP2011148766A (ja) 2009-12-21 2011-08-04 Sumitomo Chemical Co Ltd 塩、レジスト組成物及びレジストパターンの製造方法
JP2011184434A (ja) 2010-02-10 2011-09-22 Sumitomo Chemical Co Ltd 塩、酸発生剤、レジスト組成物及びレジストパターンの製造方法
WO2011104127A1 (en) 2010-02-24 2011-09-01 Basf Se Latent acids and their use
US20110217654A1 (en) 2010-02-24 2011-09-08 Basf Se Latent acids and their use
JP2013520458A (ja) 2010-02-24 2013-06-06 ビーエーエスエフ ソシエタス・ヨーロピア 潜在性酸及びそれらの使用
US8647812B2 (en) * 2010-03-23 2014-02-11 Fujifilm Corporation Pattern forming method, chemical amplification resist composition and resist film
JP2012032602A (ja) * 2010-07-30 2012-02-16 Fujifilm Corp 感活性光線性または感放射線性樹脂組成物、膜及び該組成物を用いたパターン形成方法
JP2012136505A (ja) 2010-12-06 2012-07-19 Sumitomo Chemical Co Ltd 塩及びレジスト組成物
KR20120072076A (ko) 2010-12-23 2012-07-03 제일모직주식회사 광산 발생제 및 이를 포함하는 레지스트 조성물
US9069246B2 (en) * 2012-02-16 2015-06-30 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, pattern forming method and resist film using the composition, and electronic device manufacturing method and electronic device using these
WO2014034190A1 (ja) 2012-08-27 2014-03-06 Jsr株式会社 感放射線性樹脂組成物、レジストパターン形成方法、感放射線性酸発生剤、化合物及び化合物の製造方法
US9551931B2 (en) * 2013-03-15 2017-01-24 Fujifilm Corporation Method of forming pattern, actinic-ray- or radiation-sensitive resin composition, actinic-ray- or radiation-sensitive film, process for manufacturing electronic device and electronic device
WO2014185288A1 (ja) 2013-05-14 2014-11-20 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、及びそれを用いたパターン形成方法
US20160033862A1 (en) 2013-05-14 2016-02-04 Fujifilm Corporation Active light-sensitive, or radiation-sensitive resin composition, and pattern-forming method using same
TW201512267A (zh) 2013-07-10 2015-04-01 Fujifilm Corp 化合物、樹脂組成物、使用其的抗蝕劑膜、抗蝕劑塗布空白罩幕、光罩及圖案形成方法、以及電子元件的製造方法以及電子元件
US20160116840A1 (en) 2013-07-10 2016-04-28 Fujifilm Corporation Compound, active light sensitive or radiation sensitive resin composition, resist film using same, resist-coated mask blank, photomask, pattern forming method, method for manufacturing electronic device, and electronic device
US9904167B2 (en) * 2013-07-10 2018-02-27 Fujifilm Corporation Compound, active light sensitive or radiation sensitive resin composition, resist film using same, resist-coated mask blank, photomask, pattern forming method, method for manufacturing electronic device, and electronic device
JP2015063470A (ja) 2013-09-24 2015-04-09 住友化学株式会社 塩、レジスト組成物及びレジストパターンの製造方法
JP2015063471A (ja) 2013-09-24 2015-04-09 住友化学株式会社 塩、レジスト組成物及びレジストパターンの製造方法
US10261417B2 (en) * 2014-04-14 2019-04-16 Fujifilm Corporation Active-light-sensitive or radiation-sensitive resin composition, active-light-sensitive or radiation-sensitive film and pattern forming method, each using composition, and method for manufacturing electronic device
US10095111B2 (en) * 2014-09-02 2018-10-09 Fujifilm Corporation Pattern forming method, method for manufacturing electronic device, and electronic device
JP2016177256A (ja) 2015-03-19 2016-10-06 Jsr株式会社 感放射線性樹脂組成物、レジストパターン形成方法、感放射線性酸発生体及び化合物
US20160349612A1 (en) 2015-05-27 2016-12-01 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, chemically amplified resist composition, and patterning process
TW201708188A (zh) 2015-05-27 2017-03-01 信越化學工業股份有限公司 鋶鹽、化學增幅光阻組成物及圖案形成方法
US20170115566A1 (en) * 2015-10-23 2017-04-27 Shin-Etsu Chemical Co., Ltd. Resist composition, patterning process, and barium, cesium and cerium salts
US20180364571A1 (en) * 2016-03-07 2018-12-20 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and method for manufacturing electronic device
WO2017179727A1 (ja) 2016-04-15 2017-10-19 Jsr株式会社 感放射線性樹脂組成物、レジストパターン形成方法及び感放射線性酸発生剤
US10120278B2 (en) * 2016-04-28 2018-11-06 Shin-Etsu Chemical Co., Ltd. Carboxylic acid onium salt, chemically amplified resist composition, and pattern forming process
JP2018005224A (ja) 2016-06-28 2018-01-11 信越化学工業株式会社 レジスト材料及びパターン形成方法
US20170369616A1 (en) 2016-06-28 2017-12-28 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US10180626B2 (en) * 2016-09-27 2019-01-15 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, resist composition, and patterning process
JP2018155908A (ja) 2017-03-17 2018-10-04 信越化学工業株式会社 レジスト材料及びパターン形成方法
US20180267402A1 (en) 2017-03-17 2018-09-20 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US10613437B2 (en) * 2017-03-17 2020-04-07 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
JP2018199781A (ja) 2017-05-26 2018-12-20 東洋合成工業株式会社 ポリマー、該ポリマーを含有するレジスト組成物及びそれを用いたデバイスの製造方法
US20190033716A1 (en) * 2017-07-27 2019-01-31 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, polymer, resist composition, and patterning process
JP2019074731A (ja) 2017-10-16 2019-05-16 信越化学工業株式会社 レジスト材料及びパターン形成方法
US20190113843A1 (en) 2017-10-16 2019-04-18 Shin-Etsu Chemical Co., Ltd. Resist composition and patterning process
US11163232B2 (en) * 2017-10-18 2021-11-02 Shin-Etsu Chemical Co., Ltd. Resist composition, patterning process, and barium salt
US20190361345A1 (en) 2018-05-28 2019-11-28 Tokyo Ohka Kogyo Co., Ltd. Resist composition, method of forming resist pattern, compound, and acid generator
US20190361344A1 (en) * 2018-05-28 2019-11-28 Tokyo Ohka Kogyo Co., Ltd. Resist composition, method of forming resist pattern, compound, acid generator, and method of producing compound
US20190361343A1 (en) 2018-05-28 2019-11-28 Tokyo Ohka Kogyo Co., Ltd. Resist composition and method of forming resist pattern
JP2019207300A (ja) 2018-05-28 2019-12-05 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
JP2019207408A (ja) 2018-05-28 2019-12-05 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法、並びに、化合物及び酸発生剤
JP2019207299A (ja) 2018-05-28 2019-12-05 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
Gopakumar, A. et al, "UV-Imprint Resists Generated from Polymerizable Ionic Liquids and Titania Nanoparticles", The Journal of Physical Chemistry C, 2014,118, pp. 16743-16748, cited in JP Office Action dated Aug. 10, 2021. (6 pages).
Grande, D. et al, "Novel Routes to Functional (Meso)Porous Cross-Linked Polymers Using (Semi-)Interpenetrating Polymer Networks as Nanostructured Precursors", Macromolecular Symposia, 2010, 291-292 pp. 168-176, cited in JP Office Action dated Aug. 10, 2021. (9 pages).
Office Action dated Aug. 10, 2021, issued in counterpart JP Application No. 2018-214718, with machine translation. (15 pages).
Office Action dated Aug. 27, 2020, issued in counterpart TW Application No. 108141094. (10 pages).

Also Published As

Publication number Publication date
TW202024030A (zh) 2020-07-01
KR20200056939A (ko) 2020-05-25
CN111187235A (zh) 2020-05-22
TWI717099B (zh) 2021-01-21
JP2020083760A (ja) 2020-06-04
CN111187235B (zh) 2023-06-06
US20200159115A1 (en) 2020-05-21
JP7056524B2 (ja) 2022-04-19
KR102315790B1 (ko) 2021-10-20

Similar Documents

Publication Publication Date Title
US10120278B2 (en) Carboxylic acid onium salt, chemically amplified resist composition, and pattern forming process
US10054853B2 (en) Monomer, polymer, resist composition, and patterning process
US10248022B2 (en) Sulfonium compound, making method, resist composition, and pattern forming process
US9366958B2 (en) Photoacid generator, chemically amplified resist composition, and patterning process
US11215926B2 (en) Sulfonium compound, resist composition, and patterning process
US10025180B2 (en) Sulfonium compound, resist composition, and patterning process
US11262653B2 (en) Sulfonium salt, polymer, resist composition, and patterning process
US11560355B2 (en) Onium salt, chemically amplified resist composition, and patterning process
US9989847B2 (en) Onium salt compound, resist composition, and pattern forming process
US10173975B2 (en) Sulfonium compound, resist composition, and pattern forming process
US11687000B2 (en) Sulfonium compound, chemically amplified resist composition, and patterning process
US11435666B2 (en) Salt compound, chemically amplified resist composition, and patterning process
US11953827B2 (en) Molecular resist composition and patterning process
US10921710B2 (en) Resist composition and pattern forming process
US20230280651A1 (en) Resist composition and patterning process
US11022881B2 (en) Photoacid generator, chemically amplified resist composition, and patterning process
US11333974B2 (en) Onium salt, chemically amplified resist composition, and patterning process
US20220107560A1 (en) Sulfonium salt, chemically amplified resist composition, and patterning process
US20230134822A1 (en) Amine compound, chemically amplified resist composition, and patterning process
US20240184200A1 (en) Amine compound, chemically amplified resist composition and patterning process
US20230137472A1 (en) Chemically amplified resist composition and patterning process

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT RECEIVED

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE