TWI826370B - High pressure wafer processing systems and related methods - Google Patents

High pressure wafer processing systems and related methods Download PDF

Info

Publication number
TWI826370B
TWI826370B TW107108016A TW107108016A TWI826370B TW I826370 B TWI826370 B TW I826370B TW 107108016 A TW107108016 A TW 107108016A TW 107108016 A TW107108016 A TW 107108016A TW I826370 B TWI826370 B TW I826370B
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
processing
pressure
base
Prior art date
Application number
TW107108016A
Other languages
Chinese (zh)
Other versions
TW201841290A (en
Inventor
奇偉 梁
史林尼法斯D 奈馬尼
艾德柏M 坎
文卡塔拉維香卡 凱西霍特拉
蘇坦 馬立克
席恩 康
基斯達宣 王
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201841290A publication Critical patent/TW201841290A/en
Application granted granted Critical
Publication of TWI826370B publication Critical patent/TWI826370B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

A high-pressure processing system for processing a substrate includes a first chamber, a pedestal positioned within the first chamber to support the substrate, a second chamber adjacent the first chamber, a vacuum processing system configured to lower a pressure within the second chamber to near vacuum, a valve assembly between the first chamber and the second chamber to isolate the pressure within the first chamber from the pressure within the second chamber, and a gas delivery system configured to introduce a processing gas into the first chamber and to increase the pressure within the first chamber to at least 10 atmospheres while the processing gas is in the first chamber and while the first chamber is isolated from the second chamber.

Description

高壓晶圓處理系統以及相關方法High-pressure wafer processing systems and related methods

這份說明書關於晶圓處理系統和相關方法。This specification describes wafer handling systems and related methods.

微電子電路和其他微尺寸裝置通常由基板或晶圓(諸如矽或其他半導體材料晶圓)所製造。將多個金屬層施加到基板上,以形成微電子或其他微尺寸部件,或提供電互連件。這些金屬層(如,銅)被電鍍到基板上,並以光刻、電鍍、蝕刻、拋光,或其他步驟的順序形成部件和互連件。Microelectronic circuits and other micro-sized devices are often fabricated from substrates or wafers, such as silicon or other semiconductor material wafers. Multiple metal layers are applied to a substrate to form microelectronics or other micro-sized components, or to provide electrical interconnections. These metal layers (e.g., copper) are electroplated onto the substrate and photolithographically, electroplated, etched, polished, or other steps are sequenced to form features and interconnects.

為了獲得期望的材料性質,通常使基板通過退火處理,其中基板被快速加熱,通常加熱到約200-500℃,且更通常加熱到約300-400℃。基板可保持在這些溫度持續相對較短的時間,如,60-300秒。接著快速冷卻基板,其中整個處理通常只需幾分鐘。退火可用以改變基板上的層的材料性質。它也可用以激活摻雜劑,驅動在基板上的薄膜之間的摻雜劑,改變薄膜到薄膜或薄膜到基板的界面,使沉積薄膜緻密化,或修復離子佈植造成的損傷。To obtain the desired material properties, the substrate is typically passed through an annealing process in which the substrate is rapidly heated, typically to about 200-500°C, and more typically to about 300-400°C. The substrate can be maintained at these temperatures for relatively short periods of time, such as 60-300 seconds. The substrate is then rapidly cooled, with the entire process typically taking only a few minutes. Annealing can be used to change the material properties of layers on a substrate. It can also be used to activate dopants, drive dopants between films on a substrate, change film-to-film or film-to-substrate interfaces, densify deposited films, or repair damage caused by ion implantation.

隨著微電子裝置和互連件的特徵尺寸變小,可允許的缺陷率顯著地降低。一些缺陷是由污染物顆粒引起的。其他缺陷可能是由於晶圓的某些區域的未完成處理,如,未能在溝槽的底部處生長膜而導致的。As the feature sizes of microelectronic devices and interconnects become smaller, the allowable defect rate decreases significantly. Some defects are caused by contaminant particles. Other defects may result from incomplete processing of certain areas of the wafer, such as failure to grow a film at the bottom of a trench.

過去已經使用各種退火腔室。在單晶圓處理配備中,這些退火腔室通常將基板定位在加熱和冷卻元件之間或之上,以控制基板的溫度輪廓。然而,實施方案精確和可重複的溫度輪廓以及可接受的缺陷水平可能會帶來工程挑戰。Various annealing chambers have been used in the past. In single wafer processing configurations, these annealing chambers typically position the substrate between or on top of heating and cooling elements to control the temperature profile of the substrate. However, implementing precise and repeatable temperature profiles and acceptable defect levels can present engineering challenges.

在一個態樣中,一種用於處理基板的高壓處理系統,包括:第一腔室;基座,定位在第一腔室內,以支撐基板;第二腔室,鄰近第一腔室;真空處理系統,配置成降低第二腔室內的壓力到接近真空;閥組件,在第一腔室和第二腔室之間,以將第一腔室內的壓力與第二腔室內的壓力隔離;氣體輸送系統,配置成將處理氣體引入第一腔室並當處理氣體在第一腔室中時且當第一腔室與第二腔室隔離時,增加第一腔室內的壓力到至少為10個大氣壓;及控制器。控制器被配置為操作氣體輸送系統,以將處理氣體引入到第一腔室中,並打開閥組件,以使基板能夠從第一腔室傳送到第二腔室。In one aspect, a high-pressure processing system for processing a substrate includes: a first chamber; a base positioned within the first chamber to support the substrate; a second chamber adjacent the first chamber; and a vacuum process A system configured to reduce the pressure in the second chamber to near vacuum; a valve assembly between the first chamber and the second chamber to isolate the pressure in the first chamber from the pressure in the second chamber; gas delivery A system configured to introduce a process gas into a first chamber and, while the process gas is in the first chamber and when the first chamber is isolated from the second chamber, increase the pressure within the first chamber to at least 10 atmospheres ; and controller. The controller is configured to operate the gas delivery system to introduce process gas into the first chamber and open the valve assembly to enable transfer of the substrate from the first chamber to the second chamber.

實施方案可包括一個或多個以下特徵。Implementations may include one or more of the following features.

閥組件可包括在第一腔室和第二腔室之間的狹縫閥。狹縫閥可包括:狹縫,通過在第一腔室和第二腔室之間的壁;及臂,可在第一位置和第二位置之間移動,在第一位置中,臂覆蓋狹縫,以在第一腔室和第二腔室之間形成密封,在第二位置中,狹縫未被覆蓋。基板可通過狹縫閥從第一腔室傳送到第二腔室。臂可配置成在第一位置與界定第一腔室的壁的內表面嚙合,以在第一腔室與第二腔室之間形成密封。致動器可相對於狹縫而移動臂。致動器可耦接到第二腔室的外部或第二腔室內的臂的近端。臂可被配置成在第一位置嚙合第一腔室的外表面,以在第一腔室和第二腔室之間形成密封。 The valve assembly may include a slit valve between the first chamber and the second chamber. The slit valve may include a slit passing through the wall between the first chamber and the second chamber, and an arm movable between a first position and a second position in which the arm covers the slit. slit to form a seal between the first chamber and the second chamber, and in the second position the slit is uncovered. The substrate can be transferred from the first chamber to the second chamber through the slit valve. The arm may be configured to engage an interior surface of the wall defining the first chamber in the first position to form a seal between the first chamber and the second chamber. The actuator moves the arm relative to the slit. The actuator may be coupled to the exterior of the second chamber or to the proximal end of the arm within the second chamber. The arm may be configured to engage an outer surface of the first chamber in the first position to form a seal between the first chamber and the second chamber.

基座可固定到界定第一腔室的壁。界定第一腔室的壁可相對於界定第一腔室的底座移動,以提供閥組件。基座可從第一腔室的頂板懸掛下來。 The base may be secured to the wall defining the first chamber. The wall defining the first chamber is moveable relative to the base defining the first chamber to provide a valve assembly. The base may be suspended from the ceiling of the first chamber.

氣體輸送系統可包括排氣系統,以排出第一腔室內的氣體,從而減壓第一腔室。控制器可被配置為在閥組件打開之前操作排氣系統,以使第一腔室減壓。真空處理系統可被配置成在第二腔室內產生壓力,壓力小於1個大氣壓。 The gas delivery system may include an exhaust system to exhaust gas within the first chamber to depressurize the first chamber. The controller may be configured to operate the exhaust system to depressurize the first chamber before the valve assembly is opened. The vacuum processing system may be configured to generate a pressure within the second chamber that is less than 1 atmosphere.

加熱元件可被配置成當基板被支撐在基座上時將熱量施加到基板,以退火基板。加熱元件可定位在基座內。加熱元件可定位在界定第一腔室的壁內。 The heating element may be configured to apply heat to the substrate when the substrate is supported on the base to anneal the substrate. The heating element can be positioned within the base. The heating element may be positioned within the wall defining the first chamber.

機器人臂可被配置成將基板通過閥組件從第一腔室傳送到第二腔室。升降銷組件可從基座升起基板。 The robotic arm may be configured to transfer the substrate from the first chamber to the second chamber through the valve assembly. The lift pin assembly raises the base plate from the base.

一種半導體製造設備,可包括:中央真空腔室,具有定位於中的機器人;工廠介面模組,耦接到中央真空腔室;低壓基板處理系統,藉由第一真空閥耦接到中央真空腔室;及以上所述的高壓處理系統。第二腔室可藉由第二真空閥耦接到中央真空腔室。A semiconductor manufacturing equipment may include: a central vacuum chamber with a robot positioned therein; a factory interface module coupled to the central vacuum chamber; and a low-pressure substrate processing system coupled to the central vacuum chamber through a first vacuum valve chamber; and the high-pressure treatment system described above. The second chamber may be coupled to the central vacuum chamber via a second vacuum valve.

在另一態樣中,一種半導體處理方法,包括以下步驟:將處理氣體引入第一腔室中,以處理基板上的層並在處理該層期間在第一腔室內產生至少10個大氣壓的壓力;及將基板直接從第一腔室傳送到第二腔室,第二腔室具有小於1個大氣壓的壓力。In another aspect, a semiconductor processing method includes the steps of introducing a processing gas into a first chamber to process a layer on a substrate and generating a pressure of at least 10 atmospheres in the first chamber during processing of the layer. ; and transferring the substrate directly from the first chamber to the second chamber, the second chamber having a pressure less than 1 atmosphere.

實施方案可包括一個或多個以下特徵。在引入處理氣體之後且在傳送基板之前,處理氣體可從第一腔室排出,以降低第一腔室內的壓力。在傳送基板之前,可打開在第一腔室和第二腔室之間的狹縫閥。基板可通過狹縫閥傳送到第二腔室。打開狹縫閥可包括使臂從第一位置移動到第二位置,在第一位置中,臂和狹縫閥在第一腔室和第二腔室之間形成密封,在第二位置中,狹縫閥打開。在處理氣體被引入之後,可將熱量施加到基板上,以退火基板。基板可包括矽材料。Implementations may include one or more of the following features. After the processing gas is introduced and before the substrate is transferred, the processing gas may be exhausted from the first chamber to reduce the pressure within the first chamber. Before transferring the substrate, the slit valve between the first chamber and the second chamber may be opened. The substrate can be transferred to the second chamber through the slit valve. Opening the slit valve may include moving the arm from a first position in which the arm and the slit valve form a seal between the first chamber and the second chamber to a second position in which The slit valve opens. After the process gas is introduced, heat can be applied to the substrate to anneal the substrate. The substrate may include silicon material.

前述的優點可包括(但不限於)下文和於此其他地方所描述的那些。根據某些態樣的高壓處理系統可提高基板上的材料層的處理(如,退火或沉積)的徹底性。例如,藉由在高壓環境中退火或沉積,所得材料可更容易地滲入基板上的複雜表面幾何形狀(如,蝕刻幾何形狀)。因此,在處理期間中可能會發生更少的缺陷。The foregoing advantages may include, but are not limited to, those described below and elsewhere herein. High pressure processing systems in some aspects may improve the thoroughness of processing (eg, annealing or deposition) of material layers on a substrate. For example, by annealing or depositing in a high-pressure environment, the resulting material can more easily penetrate complex surface geometries on the substrate (eg, etching geometries). Therefore, fewer defects may occur during processing.

在這份說明書中描述的標的的一個或多個實施方案的細節在附隨的圖式和下面的實施方式中闡述。從實施方式、附隨的圖式和申請專利範圍中,其他潛在的特徵、態樣和優點將變得顯而易見。The details of one or more implementations of the subject matter described in this specification are set forth in the accompanying drawings and the description below. Other potential features, aspects, and advantages will become apparent from the description, accompanying drawings, and claims.

如上所述,一些缺陷可能是由於基板的某些區域的不完整處理造成的。但是,高壓處理可提高跨越基板的處理的一致性。特別地,可在高壓環境中進行退火或沉積;這可有助於提高材料層的加工徹底性。結果,層可跨越基板更均勻地形成或改性。高壓處理還可提供在較低壓力下不可用的化學反應。As mentioned above, some defects may be caused by incomplete processing of certain areas of the substrate. However, high-pressure processing improves the consistency of processing across the substrate. In particular, annealing or deposition can be performed in a high-pressure environment; this can help improve the thoroughness of processing of the material layer. As a result, layers can be formed or modified more uniformly across the substrate. High-pressure processing also provides chemical reactions not available at lower pressures.

另一個問題是,某些材料(諸如銅)將在當曝露於氧氣時會在高於約70℃的溫度下迅速氧化。若銅或其他材料氧化,則基板可能不再可用,或氧化物層必須首先在進一步處理之前移除。這些對於高效製造都是不可接受的選擇。因此,當基板溫度超過約70℃時,設計因素是將基板與氧隔離。由於氧當然存在於環境空氣中,因此在退火期間避免銅的氧化也會帶來工程上的挑戰。如於此所述,基板可在高壓處理腔室和低壓(如,接近真空)環境中的不同處理腔室之間傳送,以避免基板的污染和氧化。Another problem is that certain materials, such as copper, will oxidize rapidly at temperatures above about 70°C when exposed to oxygen. If copper or other materials become oxidized, the substrate may no longer be usable, or the oxide layer must first be removed before further processing. These are unacceptable options for efficient manufacturing. Therefore, when the substrate temperature exceeds about 70°C, a design factor is to isolate the substrate from oxygen. Since oxygen is of course present in ambient air, avoiding oxidation of copper during annealing also poses engineering challenges. As described herein, substrates may be transported between high pressure processing chambers and different processing chambers in low pressure (eg, near vacuum) environments to avoid contamination and oxidation of the substrates.

晶圓的溫度均勻性是另一個重要的設計因素,因為它影響晶圓上的銅或其他材料的晶體結構。處理系統(如,基座配置)可提供晶圓的均勻加熱。Temperature uniformity of the wafer is another important design factor because it affects the crystal structure of copper or other materials on the wafer. The handling system (e.g., pedestal configuration) provides uniform heating of the wafer.

另一個考慮是可服務性。能夠儘可能快速和有效地恢復或維修腔室是非常重要的。於此描述的腔室配置可容易維修。Another consideration is serviceability. It is very important to be able to restore or repair the chamber as quickly and efficiently as possible. The chamber configuration described here can be easily serviced.

第1圖顯示了適用於執行於此所述的物理氣相沉積、化學氣相沉積及/或退火處理的至少一個實施例的整合多腔室基板處理系統。通常,多腔室基板處理系統包括至少一個高壓處理腔室(如,能夠在10個大氣壓以上的壓力下操作),以執行高壓處理(諸如沉積或退火);及至少一個低壓處理腔室(如,能夠在低於約100毫托的壓力下操作),以執行低壓處理(諸如蝕刻、沉積,或熱處理)。在一些實施方案中,多腔室處理系統是具有處於低壓的中央傳送腔室且可從中接近多個處理腔室的群集工具。Figure 1 illustrates an integrated multi-chamber substrate processing system suitable for performing at least one embodiment of physical vapor deposition, chemical vapor deposition, and/or annealing processes described herein. Typically, multi-chamber substrate processing systems include at least one high-pressure processing chamber (e.g., capable of operating at pressures above 10 atmospheres) to perform high-pressure processing (such as deposition or annealing); and at least one low-pressure processing chamber (e.g., capable of operating at pressures above 10 atmospheres); , capable of operating at pressures below about 100 mTorr) to perform low-pressure processes (such as etching, deposition, or thermal processing). In some embodiments, a multi-chamber processing system is a cluster tool having a central transfer chamber at low pressure from which multiple processing chambers are accessible.

於此描述的處理和系統的一些實施例關於形成用於特徵定義的材料層(如,金屬和金屬矽化物阻障)。例如,第一金屬層沉積在矽基板上,並退火以形成金屬矽化物層。在金屬矽化物層上接著沉積第二金屬層,以填充特徵。用以形成金屬矽化物層的退火處理可在多個退火步驟中進行。 Some embodiments of the processes and systems described herein relate to forming layers of materials (eg, metal and metal silicide barriers) for feature definition. For example, a first metal layer is deposited on a silicon substrate and annealed to form a metal silicide layer. A second metal layer is then deposited over the metal silicide layer to fill the features. The annealing process used to form the metal silicide layer can be performed in multiple annealing steps.

第1圖是處理平台100的一個實施例的示意性頂視圖,處理平台100包括兩個傳送腔室102、104、分別位於傳送腔室102、104中的傳送機器人106、108及設置在兩個傳送腔室102、104上的處理腔室110、112、114、116、118。第一傳送腔室和第二傳送腔室102、104是與相鄰處理腔室110、112、114、116、118介面連接的中央真空腔室。第一傳送腔室102和第二傳送腔室104藉由通過腔室120分開,通過腔室120可包含冷卻腔室或預熱腔室。當第一傳送腔室102和第二傳送腔室104在不同的壓力下操作時,通過腔室120也可在基板處理期間抽真空或通風。例如,第一傳送腔室102可在約100毫托至約5托之間(諸如約40毫托)操作,且第二傳送腔室104可在約1×10-5托至約1×10-8托之間(諸如約1×10-7托)操作。 Figure 1 is a schematic top view of an embodiment of a processing platform 100. The processing platform 100 includes two transfer chambers 102, 104, transfer robots 106, 108 respectively located in the transfer chambers 102, 104 and two Processing chambers 110, 112, 114, 116, 118 on transfer chambers 102, 104. The first and second transfer chambers 102, 104 are central vacuum chambers that interface with adjacent processing chambers 110, 112, 114, 116, 118. The first transfer chamber 102 and the second transfer chamber 104 are separated by a pass through chamber 120, which may contain a cooling chamber or a preheating chamber. Vacuum or ventilation may also be provided through chamber 120 during substrate processing when first transfer chamber 102 and second transfer chamber 104 operate at different pressures. For example, the first delivery chamber 102 may operate at between about 100 mTorr and about 5 Torr, such as about 40 mTorr, and the second delivery chamber 104 may operate at about 1×10 −5 Torr to about 1×10 Operating between -8 Torr (such as approximately 1×10 -7 Torr).

處理平台100藉由程式化控制器122而自動化。控制器122可操作用於處理平台100的腔室的每一者的單獨操作,以處理基板。The processing platform 100 is automated via a programmed controller 122. Controller 122 is operable for individual operation of each of the chambers of processing platform 100 to process substrates.

第一傳送腔室102與兩個除氣腔室124、兩個裝載閘腔室128、反應性預清潔腔室118、至少一個物理氣相沉積腔室(優選長拋式物理氣相沉積(PVD)腔室110)及通過腔室120耦接。預清潔腔室可為可從加州聖克拉拉市的應用材料公司購得的PreClean II腔室。通過裝載閘腔室128將基板(未顯示)裝載到處理平台100中。例如,工廠介面模組132(若存在)將負責從人類操作員或自動基板處理系統接收一​​個或多個基板(如,晶圓、晶圓匣,或晶圓封閉艙。若適用的話,工廠介面模組132可打開基板的匣或艙,並將基板移入和移出裝載閘腔室128。處理腔室110、112、114、116、118從傳送腔室102、104接收基板、處理基板,並允許基板被傳送回到傳送腔室102、104。在被加載到處理平台100中之後,基板順序地分別在除氣腔室124和預清潔腔室118中被除氣和清潔。The first transfer chamber 102 is associated with two degassing chambers 124, two load lock chambers 128, a reactive pre-cleaning chamber 118, at least one physical vapor deposition chamber (preferably long throw physical vapor deposition (PVD) ) chamber 110) and coupled through chamber 120. The preclean chamber may be a PreClean II chamber available from Applied Materials, Inc. of Santa Clara, California. Substrates (not shown) are loaded into the processing platform 100 through the load gate chamber 128 . For example, the fab interface module 132 (if present) will be responsible for receiving one or more substrates (e.g., wafers, wafer cassettes, or wafer enclosures) from a human operator or an automated substrate handling system, as applicable. , the factory interface module 132 can open the cassette or bay of substrates and move the substrates into and out of the load gate chamber 128. The processing chambers 110, 112, 114, 116, 118 receive substrates from the transfer chambers 102, 104, process the substrates , and allow the substrates to be transferred back to the transfer chambers 102, 104. After being loaded into the processing platform 100, the substrates are sequentially degassed and cleaned in the degassing chamber 124 and the pre-cleaning chamber 118, respectively.

處理腔室的每一個藉由隔離閥與傳送腔室102、104隔離,隔離閥允許處理腔室在與傳送腔室102、104不同的真空水平下操作,並防止處理腔室中使用的任何氣體引入傳送腔室中。裝載閘腔室128也藉由隔離閥與傳送腔室102、104隔離。每個裝載閘腔室128具有通向外部環境(如,通向工廠介面模組132)的門。在正常操作中,裝載有基板的匣通過門從工廠介面模組132放入裝載閘腔室128中且門被關閉。接著將裝載閘腔室128抽空至與傳送腔室102相同的壓力,並打開在裝載閘腔室128與傳送腔室102之間的隔離閥。傳送腔室102中的機器人被移動到位且一個基板從裝載閘腔室128移除。裝載閘腔室128優選配備有升降器機構,以便從匣移除一個基板,升降器移動在匣中的晶圓的堆疊以將另一個晶圓定位在傳送平面中,使得它可定位在機器人葉片上。 Each of the processing chambers is isolated from the transfer chambers 102, 104 by an isolation valve that allows the processing chambers to operate at a different vacuum level than the transfer chambers 102, 104 and prevents any gases used in the processing chambers Introduced into the transfer chamber. The load gate chamber 128 is also isolated from the transfer chambers 102, 104 by isolation valves. Each load lock chamber 128 has a door to the outside environment (eg, to the factory interface module 132). In normal operation, a cassette loaded with substrates is placed into the load lock chamber 128 from the factory interface module 132 through the door and the door is closed. The load lock chamber 128 is then evacuated to the same pressure as the transfer chamber 102 and the isolation valve between the load lock chamber 128 and the transfer chamber 102 is opened. The robot in transfer chamber 102 is moved into position and one substrate is removed from load lock chamber 128 . The load gate chamber 128 is preferably equipped with an elevator mechanism to remove one substrate from the cassette, the elevator moves the stack of wafers in the cassette to position another wafer in the transfer plane so that it can be positioned on the robot blade superior.

傳送腔室102中的傳送機器人106接著與基板一起旋轉,使得基板與處理腔室位置對齊。將任何有毒氣體從處理腔室沖洗出,達到與傳送腔室相同的壓力水平,並打開隔離閥。傳送機器人106接著將晶圓移動到處理腔室中,在那裡它從機器人上被升起。傳送機器人106接著從處理腔室縮回並關閉隔離閥。處理腔室接著經歷一系列操作,以在晶圓上執行指定的處理。當完成時,處理腔室返回到與傳送腔室102相同的環境,並打開隔離閥。當整個晶圓匣已被處理時,傳送機器人106從處理腔室移除晶圓,並接著將晶圓移動到另一個處理腔室用於另一操作,或在裝載閘腔室128中替換晶圓,以從處理平台100移除。 The transfer robot 106 in the transfer chamber 102 then rotates with the substrate so that the substrate is aligned with the processing chamber position. Flush any toxic gases from the processing chamber to the same pressure level as the transfer chamber and open the isolation valve. Transfer robot 106 then moves the wafer into the processing chamber where it is lifted off the robot. The transfer robot 106 then retracts from the processing chamber and closes the isolation valve. The processing chamber then goes through a series of operations to perform the specified processing on the wafer. When completed, the processing chamber is returned to the same environment as the transfer chamber 102 and the isolation valve is opened. When the entire wafer cassette has been processed, the transfer robot 106 removes the wafer from the processing chamber and then moves the wafer to another processing chamber for another operation or to replace the wafer in the load gate chamber 128 circle to be removed from the processing platform 100.

傳送機器人106、108分別包括在不同處理腔室之間支撐和移動基板的機器人臂107、109。傳送機器人106在除氣腔室124和預清潔腔室118之間移動基板。接著可將基板傳送到長拋式PVD腔室110,以在基板上沉積材料。 Transfer robots 106, 108 include robot arms 107, 109 respectively that support and move substrates between different processing chambers. Transfer robot 106 moves substrates between degassing chamber 124 and pre-cleaning chamber 118 . The substrate may then be transferred to a long throw PVD chamber 110 to deposit material on the substrate.

第二傳送腔室104耦接到處理腔室110、112、114、130的群集。處理腔室110、112可為化學氣相沉積(CVD)腔室,用於按照操作者的期望而沉積材料(諸如鎢)。合適的CVD腔室的示例包括可從位於加州聖克拉拉市的應用材料公司購得的W×ZTM 腔室。CVD腔室優選適於藉由原子層沉積(ALD)技術及藉由傳統的化學氣相沉積技術而沉積材料。處理腔室114和130可為可在真空或接近真空壓力下退火基板的快速熱退火(RTA)腔室或快速熱處理(RTP)腔室。RTA腔室114的示例是可從加州聖克拉拉市的應用材料公司購得的RADIANCETM 腔室。替代地,處理腔室114和130可為能夠執行高溫CVD沉積、退火處理,或原位沉積和退火處理的W×ZTM 沉積腔室。經PVD處理的基板經由通過腔室120從第一傳送腔室102移動到第二傳送腔室104中。此後,傳送機器人108在處理腔室110、112、114、130的一個或多個之間移動基板,用於處理所需的材料沉積和退火。The second transfer chamber 104 is coupled to the cluster of processing chambers 110, 112, 114, 130. Processing chambers 110, 112 may be chemical vapor deposition (CVD) chambers for depositing materials (such as tungsten) as desired by an operator. Examples of suitable CVD chambers include the WxZ chamber available from Applied Materials, Inc., Santa Clara, California. The CVD chamber is preferably suitable for depositing materials by atomic layer deposition (ALD) techniques and by conventional chemical vapor deposition techniques. Processing chambers 114 and 130 may be rapid thermal annealing (RTA) chambers or rapid thermal processing (RTP) chambers that may anneal substrates under vacuum or near vacuum pressure. An example of an RTA chamber 114 is a RADIANCE chamber available from Applied Materials, Inc. of Santa Clara, California. Alternatively, processing chambers 114 and 130 may be WxZ TM deposition chambers capable of performing high temperature CVD deposition, annealing processes, or in-situ deposition and annealing processes. The PVD-treated substrate moves from the first transfer chamber 102 into the second transfer chamber 104 via the pass chamber 120 . Thereafter, the transfer robot 108 moves the substrate between one or more of the processing chambers 110, 112, 114, 130 for material deposition and annealing required for processing.

RTA腔室(未顯示)也可設置在處理平台100的第一傳送腔室102上,以在基板從平台100移除或傳送到第二傳送腔室104之前提供沉積後退火處理。An RTA chamber (not shown) may also be provided on the first transfer chamber 102 of the processing platform 100 to provide a post-deposition annealing process before the substrate is removed from the platform 100 or transferred to the second transfer chamber 104 .

雖然未顯示,但是複數個真空泵設置成與每個傳送腔室和處理腔室的每一個流體連通,以獨立地調節相應腔室中的壓力。泵可建立從裝載閘腔室到處理腔室跨越設備的增加壓力的真空梯度。Although not shown, a plurality of vacuum pumps are provided in fluid communication with each of the transfer chamber and processing chamber to independently regulate the pressure in the respective chamber. The pump establishes a vacuum gradient of increasing pressure across the equipment from the loading lock chamber to the processing chamber.

替代地或附加地,電漿蝕刻腔室(諸如由加州聖克拉拉市的應用材料公司製造的解耦電漿源腔室(DPSTM腔室))可耦接到處理平台100或在單獨的處理系統中,用於在PVD金屬沉積及/或退火沉積金屬之後蝕刻基板表面,以移除未反應的金屬。例如,在藉由退火處理由鈷和矽材料形成矽化鈷時,可使用蝕刻腔室從基板表面移除未反應的鈷材料。 Alternatively or additionally, a plasma etch chamber, such as a decoupled plasma source chamber (DPS chamber) manufactured by Applied Materials, Santa Clara, Calif., may be coupled to the processing platform 100 or on a separate In the processing system, it is used to etch the substrate surface to remove unreacted metal after PVD metal deposition and/or annealing metal deposition. For example, when forming cobalt silicide from cobalt and silicon materials through an annealing process, an etching chamber may be used to remove unreacted cobalt material from the substrate surface.

其他蝕刻處理和設備(諸如濕式蝕刻腔室)可與於此描述的處理和設備結合使用。 Other etching processes and equipment, such as wet etch chambers, may be used in conjunction with the processes and equipment described herein.

第2圖顯示了受控高壓系統200,受控高壓系統200產生用於處理基板的高壓環境和當基板在處理腔室之間傳送時用於基板的低壓環境。受控高壓系統200包括第一高壓腔室202和第二真空腔室204。第一腔室202可對應於處理平台100的處理腔室110、112、114、116、118、130的一個,且第二腔室204可對應於處理平台100的傳送腔室102、104的一個。替代地,在一些實施方案中,處理腔室110、112、114、116、118、130的一個包括第一腔室202和第二腔室204兩者。第一腔室202對應於內腔室,且第二腔室204對應於圍繞內腔室的外腔室。 Figure 2 shows a controlled high pressure system 200 that generates a high pressure environment for processing substrates and a low pressure environment for the substrates as they are transferred between processing chambers. Controlled high pressure system 200 includes a first high pressure chamber 202 and a second vacuum chamber 204 . The first chamber 202 may correspond to one of the processing chambers 110 , 112 , 114 , 116 , 118 , 130 of the processing platform 100 and the second chamber 204 may correspond to one of the transfer chambers 102 , 104 of the processing platform 100 . Alternatively, in some embodiments, one of the processing chambers 110, 112, 114, 116, 118, 130 includes both the first chamber 202 and the second chamber 204. The first chamber 202 corresponds to the inner chamber, and the second chamber 204 corresponds to the outer chamber surrounding the inner chamber.

第一腔室202內的壓力可獨立於第二腔室204中的壓力而被控制。若第一腔室202和第二腔室204與傳送腔室不同,則第一腔室202和第二腔室204可具有獨立於傳送腔室內的壓力而控制的壓力。受控高壓系統200進一步包括氣體輸送系統206、真空處理系統208和控制器210。在一些示例中,處理平台100的控制器122可包括控制器210。The pressure within the first chamber 202 can be controlled independently of the pressure in the second chamber 204. If the first chamber 202 and the second chamber 204 are different from the transfer chamber, the first chamber 202 and the second chamber 204 may have pressures that are controlled independently of the pressure within the transfer chamber. Controlled high pressure system 200 further includes a gas delivery system 206, a vacuum processing system 208, and a controller 210. In some examples, controller 122 of processing platform 100 may include controller 210 .

第二腔室204是鄰近第一腔室202的低壓腔室。在一些實施方案中,第二腔室204也圍繞第一腔室202。第二腔室204可對應於接收在不同處理腔室之間的基板的傳送腔室(如,傳送腔室102或傳送腔室104)。第二腔室204的低壓環境可抑制基板或形成在基板上的材料的污染及/或氧化。The second chamber 204 is a low pressure chamber adjacent the first chamber 202 . In some embodiments, the second chamber 204 also surrounds the first chamber 202. The second chamber 204 may correspond to a transfer chamber (eg, transfer chamber 102 or transfer chamber 104 ) that receives substrates between different processing chambers. The low pressure environment of the second chamber 204 may inhibit contamination and/or oxidation of the substrate or materials formed on the substrate.

操作氣體輸送系統206以對第一腔室202加壓和減壓。第一腔室202是從氣體輸送系統206接收處理氣體並建立高壓(如,處於至少10個大氣壓)的高壓處理腔室。處理氣體可與正在處理的層相互作用,以便對層進行退火(如,藉由改性層或與材料反應形成新層)。處理氣體可包括氫氣(如,處理氣體可為氫氣H2 )。替代地,處理氣體可為用作要在基板上形成的材料的來源的前驅物氣體(如,用於沉積處理)。為了加壓第一腔室202,氣體輸送系統206將處理氣體引入第一腔室202中。在一些情況下,氣體輸送系統206還可將蒸汽引入第一腔室202中,以增加第一腔室202內的壓力。Gas delivery system 206 is operated to pressurize and depressurize first chamber 202. The first chamber 202 is a high pressure processing chamber that receives processing gas from the gas delivery system 206 and establishes a high pressure (eg, at least 10 atmospheres). The processing gas can interact with the layer being processed to anneal the layer (eg, by modifying the layer or reacting with the material to form a new layer). The process gas may include hydrogen (eg, the process gas may be hydrogen H 2 ). Alternatively, the process gas may be a precursor gas used as a source of material to be formed on the substrate (eg, for a deposition process). To pressurize the first chamber 202 , a gas delivery system 206 introduces process gas into the first chamber 202 . In some cases, the gas delivery system 206 may also introduce steam into the first chamber 202 to increase the pressure within the first chamber 202 .

氣體輸送系統206可包括排氣系統211,以從第一腔室202排出處理氣體,從而使第一腔室302減壓。操作真空處理系統208以將第二腔室204的壓力控制在真空或接近真空的壓力(如,小於1毫托)。例如,真空處理系統208將第二腔室204內的壓力降低至接近真空,從而產生用於傳送基板的合適低壓環境。Gas delivery system 206 may include an exhaust system 211 to exhaust process gas from first chamber 202 to depressurize first chamber 302. The vacuum processing system 208 is operated to control the pressure of the second chamber 204 to a vacuum or a pressure close to vacuum (eg, less than 1 mTorr). For example, vacuum processing system 208 reduces the pressure within second chamber 204 to near vacuum, thereby creating a suitable low-pressure environment for transporting substrates.

在第一腔室202和第二腔室204之間的閥組件212將第一腔室202內的壓力與第二腔室204內的壓力隔離。因此,第一腔室202內的高壓環境可與第二腔室204內的低壓環境分離並密封。閥組件212可打開以使基板能夠從第一腔室202直接傳送到第二腔室204中,或使基板能夠從第二腔室204直接傳送到第一腔室202中。A valve assembly 212 between the first chamber 202 and the second chamber 204 isolates the pressure within the first chamber 202 from the pressure within the second chamber 204 . Therefore, the high-pressure environment within the first chamber 202 can be separated and sealed from the low-pressure environment within the second chamber 204 . Valve assembly 212 may be open to enable transfer of substrates from first chamber 202 directly into second chamber 204 or to enable transfer of substrates from second chamber 204 directly into first chamber 202 .

在一些實施方案中,高壓系統200包括連接到傳送腔室(如,傳送腔室102、104的一個)並連接到外部環境的前級管線214。沿著前級管線214佈置隔離閥216,以隔離第二腔室204內的壓力與外部環境的壓力。可操作隔離閥216以調節第二腔室204內的壓力並釋放第二腔室204內的氣體。隔離閥216可與真空處理系統208一起操作以調節第二腔室204內的壓力。In some embodiments, high pressure system 200 includes a foreline 214 connected to a transfer chamber (eg, one of transfer chambers 102, 104) and to the external environment. An isolation valve 216 is disposed along the foreline 214 to isolate the pressure within the second chamber 204 from the pressure of the external environment. Isolation valve 216 is operable to regulate the pressure within second chamber 204 and release gas within second chamber 204 . Isolation valve 216 is operable with vacuum processing system 208 to regulate the pressure within second chamber 204 .

第3-6圖描繪了用於處理基板上的層的高壓處理系統的各種實施例。這些高壓處理系統的腔室的壓力可使用與關於第2圖所描述的系統類似的系統來控制。Figures 3-6 depict various embodiments of high pressure processing systems for processing layers on a substrate. The pressure of the chambers of these high pressure processing systems can be controlled using a system similar to that described with respect to Figure 2.

參考第3圖,高壓處理系統300包括第一腔室302、基座304、第二腔室306和控制器(如,控制器122)。高壓處理系統300進一步包括類似於真空處理系統208的真空處理系統(未顯示)和類似於關於第2圖描述的氣體輸送系統206的氣體輸送系統307。例如,氣體輸送系統307包括輸入管線307a和排氣管線307b。處理氣體通過輸入管線307a被引入到第一腔室302中,且處理氣體通過排氣管線307b從第一腔室302排出。Referring to Figure 3, high pressure processing system 300 includes a first chamber 302, a base 304, a second chamber 306, and a controller (eg, controller 122). The high pressure processing system 300 further includes a vacuum processing system (not shown) similar to the vacuum processing system 208 and a gas delivery system 307 similar to the gas delivery system 206 described with respect to FIG. 2 . For example, gas delivery system 307 includes input line 307a and exhaust line 307b. Process gas is introduced into the first chamber 302 through input line 307a, and process gas is exhausted from the first chamber 302 through exhaust line 307b.

基座304支撐基板314,將要在基板314上處理材料層(如,退火或沉積)。基座304定位或可定位在第一腔室302內。在一些實施方案中,基板314直接座落於基座的平坦頂表面上。在一些實施方案中,基板314座落在從基座突出的銷330上。The pedestal 304 supports a substrate 314 on which layers of materials are to be processed (eg, annealed or deposited). The base 304 is or can be positioned within the first chamber 302 . In some embodiments, the base plate 314 sits directly on the flat top surface of the base. In some embodiments, the base plate 314 sits on pins 330 that protrude from the base.

高壓處理系統300包括內壁320、底座322和外壁324。第一腔室302由內壁320內的容積(如,在內壁320和底座322之間)提供。第二腔室304由內壁320外側的容積(如,在內壁320與外壁324之間)提供。High pressure processing system 300 includes an inner wall 320, a base 322, and an outer wall 324. The first chamber 302 is provided by the volume within the inner wall 320 (eg, between the inner wall 320 and the base 322). The second chamber 304 is provided by the volume outside the inner wall 320 (eg, between the inner wall 320 and the outer wall 324).

高壓處理系統300進一步包括在第一腔室302和第二腔室306之間的閥組件316,閥組件316提供第2圖的閥組件212的功能,亦即,可操作閥組件316以隔離第一腔室302和第二腔室306。例如,閥組件316包括內壁320、底座322和致動器323,以使底座322相對於內壁320移動。可控制致動器323以驅動底座322垂直地移動(如,遠離或朝向界定第一腔室302的壁320)。波紋管328可用以密封第二腔室306而與外部大氣隔絕,同時允許底座322垂直地移動。波紋管328可從底座322的底部延伸到由外壁324形成的第二腔室306的地板。The high pressure processing system 300 further includes a valve assembly 316 between the first chamber 302 and the second chamber 306. The valve assembly 316 provides the functionality of the valve assembly 212 of Figure 2, that is, the valve assembly 316 is operable to isolate the second chamber. A chamber 302 and a second chamber 306. For example, valve assembly 316 includes inner wall 320 , base 322 , and actuator 323 to move base 322 relative to inner wall 320 . The actuator 323 can be controlled to drive the base 322 to move vertically (eg, away from or toward the wall 320 defining the first chamber 302). The bellows 328 may be used to seal the second chamber 306 from the outside atmosphere while allowing the base 322 to move vertically. Bellows 328 may extend from the bottom of base 322 to the floor of second chamber 306 formed by outer wall 324 .

當閥組件316處於關閉位置時,底座322接觸壁320,使得在底座322和壁320之間形成密封,因而將外腔室306與內腔室302分離。以足夠的力操作致動器323以驅動底座322朝向內壁320以形成密封。密封件阻止來自第一高壓腔室302的空氣排入低壓第二腔室306中。 When the valve assembly 316 is in the closed position, the base 322 contacts the wall 320 such that a seal is formed between the base 322 and the wall 320, thereby separating the outer chamber 306 from the inner chamber 302. Actuator 323 is operated with sufficient force to drive base 322 toward inner wall 320 to form a seal. The seal prevents air from the first high pressure chamber 302 from venting into the low pressure second chamber 306 .

當閥組件316處於打開位置時,底座322與壁320間隔開,從而允許空氣在第一腔室302和第二腔室306之間傳導且還允許基板314被接近並傳送到另一腔室。 When the valve assembly 316 is in the open position, the base 322 is spaced apart from the wall 320, allowing air to conduct between the first chamber 302 and the second chamber 306 and also allowing the substrate 314 to be accessed and transferred to the other chamber.

因為基座304被支撐在底座322上,基座304因此也可相對於內壁320移動。可移動基座304以使基板314更容易被傳送機器人接近。例如,傳送機器人106或108(參見第1圖)的臂可延伸通過外壁324中的孔326。當閥組件316處於打開位置時,機器人臂可通過在內壁320和底座322之間的間隙以接近基板314。 Because the base 304 is supported on the base 322, the base 304 is also movable relative to the inner wall 320. The base 304 can be moved to make the substrate 314 more accessible to the transfer robot. For example, the arms of transfer robot 106 or 108 (see FIG. 1 ) may extend through holes 326 in outer wall 324 . When the valve assembly 316 is in the open position, the robotic arm can pass through the gap between the inner wall 320 and the base 322 to access the substrate 314 .

在一些實施方案中,高壓處理系統300包括一個或多個加熱元件318,一個或多個加熱元件318被配置為向基板314施加熱量。當基板314被支撐在基座304上且處理氣體(若使用的話)已被引入到第一腔室302中時,來自加熱元件318的熱量可足以使基板314退火。加熱元件318可為電阻加熱元件。一個或多個加熱元件318可定位在(如,嵌入)界定第一腔室302的內壁320中(如,在由內壁320提供的第一腔室302的頂板中)。這加熱了內壁320,從而引起輻射熱到達基板314。基板 314可被基座304保持成緊密接近(如,2-10mm)於頂板,以改善從內壁320到基板314的熱量傳輸。 In some embodiments, high pressure processing system 300 includes one or more heating elements 318 configured to apply heat to substrate 314 . When the substrate 314 is supported on the pedestal 304 and processing gas (if used) has been introduced into the first chamber 302, the heat from the heating element 318 may be sufficient to anneal the substrate 314. Heating element 318 may be a resistive heating element. One or more heating elements 318 may be positioned (eg, embedded) in the interior wall 320 defining the first chamber 302 (eg, in the ceiling of the first chamber 302 provided by the interior wall 320 ). This heats the inner wall 320 causing radiant heat to reach the substrate 314 . substrate 314 may be held in close proximity (eg, 2-10 mm) by the base 304 to the top plate to improve heat transfer from the inner wall 320 to the base plate 314.

然而,一個或多個加熱元件318可佈置在高壓處理系統300內的其他位置中(如,在側壁內而不是在頂板內)。加熱元件318的示例包括分立的加熱線圈。代替或除了嵌入內壁的加熱器,輻射加熱器(如,紅外燈)可位於第一腔室302的外部並引導紅外輻射通過內壁320中的窗口。電線將電氣源(未顯示)(諸如電壓源)連接到加熱元件,並可將一個或多個加熱元件318連接到控制器。 However, one or more heating elements 318 may be disposed in other locations within the high pressure processing system 300 (eg, within the side walls rather than within the ceiling). Examples of heating elements 318 include discrete heating coils. Instead of or in addition to a heater embedded in the interior wall, a radiant heater (eg, an infrared lamp) may be located outside the first chamber 302 and direct infrared radiation through a window in the interior wall 320 . Wires connect an electrical source (not shown), such as a voltage source, to the heating elements and may connect one or more heating elements 318 to the controller.

控制器可操作地連接到真空處理系統、氣體輸送系統307和閥組件316,用於控制處理(如,退火或沉積)基板314上的材料層的操作。在一些實施方案中,控制器也可可操作地連接到其他系統。例如,控制器也可可操作地連接到傳送機器人106、108、一個或多個加熱元件318及/或致動器323的一個或多個。在一些情況下,第1圖中所示的控制器122可包括高壓處理系統300的控制器。 The controller is operably connected to the vacuum processing system, gas delivery system 307 and valve assembly 316 for controlling operations of processing (eg, annealing or depositing) a layer of material on substrate 314. In some embodiments, the controller may also be operably connected to other systems. For example, the controller may also be operably connected to one or more of the transfer robots 106, 108, one or more heating elements 318, and/or actuators 323. In some cases, controller 122 shown in FIG. 1 may include the controller of high pressure processing system 300 .

在處理基板314上的材料層時,控制器可操作真空處理系統以將第二腔室306減壓至低壓狀態(如,減壓至第二腔室306具有小於1大氣壓的壓力的狀態),以準備通過第二腔室306傳送基板314。低壓狀態可為近真空狀態(如,小於1毫托的壓力)。基板314藉由傳送機器人(如,傳送機器人106、108的一個)移動通過第二 腔室306,同時第二腔室306處於低壓,使得可抑制基板314的污染和氧化。雙壁可幫助確保更安全的處理(如,退火)。 When processing the material layer on the substrate 314, the controller may operate the vacuum processing system to depressurize the second chamber 306 to a low pressure state (e.g., depressurize to a state where the second chamber 306 has a pressure less than 1 atmosphere), in preparation for transferring substrate 314 through second chamber 306. The low pressure state may be a near-vacuum state (eg, a pressure of less than 1 millitorr). The substrate 314 is moved by a transfer robot (eg, one of the transfer robots 106, 108) through the second chamber 306 while the second chamber 306 is at a low pressure such that contamination and oxidation of the substrate 314 can be inhibited. Double walls help ensure safer handling (e.g., annealing).

基板314被傳送到第一腔室302中進行處理。為了將基板314傳送到第一腔室302中,控制器可操作閥組件316(如,打開閥組件316以提供開口,基板314可通過開口被傳送到第一腔室302中)。控制器可操作傳送機器人以將基板314運載到第一腔室302中並將基板314放置在基座304上。 Substrate 314 is transferred to first chamber 302 for processing. To transfer the substrate 314 into the first chamber 302, the controller may operate the valve assembly 316 (eg, open the valve assembly 316 to provide an opening through which the substrate 314 may be transferred into the first chamber 302). The controller may operate the transfer robot to carry the substrate 314 into the first chamber 302 and place the substrate 314 on the base 304 .

在基板314被傳送到第一腔室302中之後,控制器可操作閥組件316以關閉開口(如,關閉閥組件316),從而將第一腔室302和第二腔室306彼此隔離。在閥組件316關閉的情況下,第一腔室302和第二腔室306中的壓力可被設定為不同的值。控制器可操作氣體輸送系統307以將處理氣體引入第一腔室302中,以加壓第一腔室302並將材料層形成到基板314上。處理氣體的引入可增加第一腔室302內的壓力到(例如)10個大氣壓或更高。 After the substrate 314 is transferred into the first chamber 302, the controller may operate the valve assembly 316 to close the opening (eg, close the valve assembly 316), thereby isolating the first chamber 302 and the second chamber 306 from each other. With valve assembly 316 closed, the pressure in first chamber 302 and second chamber 306 may be set to different values. The controller may operate the gas delivery system 307 to introduce processing gas into the first chamber 302 to pressurize the first chamber 302 and form a layer of material onto the substrate 314 . The introduction of process gas may increase the pressure within first chamber 302 to, for example, 10 atmospheres or higher.

在一些實施方案中,處理氣體與基板上的材料相互作用(如,藉由改性該層或與材料反應以形成新的層)來退火材料。替代地,處理氣體可包括待沉積到基板314上的材料,且第一腔室302中適當的溫度和壓力條件可引起材料的沉積發生。在處理基板期間,控制器可操作一個 或多個加熱元件318以向基板314增加熱量,以促進材料層在基板314上的沉積。 In some embodiments, the process gas interacts with the material on the substrate to anneal the material (eg, by modifying the layer or reacting with the material to form a new layer). Alternatively, the process gas may include material to be deposited onto substrate 314, and appropriate temperature and pressure conditions in first chamber 302 may cause deposition of the material to occur. During substrate processing, the controller operates a or multiple heating elements 318 to add heat to the substrate 314 to promote deposition of a layer of material on the substrate 314.

當基板314上的材料層的改性或形成完成時,可使用傳送機器人從第一腔室302移除基板314,且若必要的話,可將基板314傳送到隨後的處理腔室。替代地,基板314被傳送到裝載閘腔室(如,裝載閘腔室128的一個)。為了準備將基板314傳送出第一腔室302,控制器可操作氣體輸送系統307的排氣系統,以在閥組件316打開之前使第一腔室302減壓。特別地,在基板314被傳送出第一腔室202之前,處理氣體從第一腔室302排出,以降低第一腔室202內的壓力。壓力可降低至接近真空的壓力,使得在第一腔室302和第二腔室306之間的壓差可最小化。 When the modification or formation of the material layer on the substrate 314 is complete, the substrate 314 can be removed from the first chamber 302 using a transfer robot and, if necessary, transferred to a subsequent processing chamber. Alternatively, substrate 314 is transferred to a load lock chamber (eg, one of load lock chambers 128). In preparation for transferring the substrate 314 out of the first chamber 302, the controller may operate the exhaust system of the gas delivery system 307 to depressurize the first chamber 302 before the valve assembly 316 opens. In particular, before the substrate 314 is transferred out of the first chamber 202, the processing gas is exhausted from the first chamber 302 to reduce the pressure within the first chamber 202. The pressure can be reduced to near vacuum pressure so that the pressure difference between the first chamber 302 and the second chamber 306 can be minimized.

為了能夠將基板314傳送出第一腔室302,控制器可打開閥組件316。打開的閥組件316提供一個開口,基板314通過該開口移動而被傳送到第二腔室306中。特別地,打開的閥組件316使基板314能夠被直接傳送到第二腔室306中(如,進入第二腔室306的低壓環境中)。控制器可接著操作傳送機器人以將基板314傳送到處理平台(處理平台100)的另一部分。例如,基板314首先被直接傳送到第二腔室306中,並接著被傳送到適當的處理腔室以進行進一步處理,或傳送到裝載閘腔室以從處理平台移除基板。 To enable transfer of substrate 314 out of first chamber 302, the controller may open valve assembly 316. The open valve assembly 316 provides an opening through which the substrate 314 moves to be transferred into the second chamber 306 . In particular, open valve assembly 316 enables substrate 314 to be transferred directly into second chamber 306 (eg, into the low pressure environment of second chamber 306). The controller may then operate the transfer robot to transfer substrate 314 to another portion of the processing platform (processing platform 100). For example, the substrate 314 is first transferred directly into the second chamber 306 and then transferred to an appropriate processing chamber for further processing or to a load gate chamber for removal of the substrate from the processing platform.

參考第4圖,在另一個實施例中,高壓處理系統400包括第一腔室402、基座404、第二腔室406和控制器(未顯示)。高壓處理系統400與關於第3圖描述的高壓處理系統300類似;除非另外指明,否則各種選項和實施方案也適用於這個實施例。 Referring to Figure 4, in another embodiment, a high pressure processing system 400 includes a first chamber 402, a base 404, a second chamber 406, and a controller (not shown). High pressure processing system 400 is similar to high pressure processing system 300 described with respect to Figure 3; unless otherwise specified, various options and embodiments are applicable to this embodiment as well.

例如,高壓處理系統400的氣體輸送系統和真空處理系統以類似的方式操作,以維持使用高壓處理系統400而處理的基板414的低壓和高壓環境。第二腔室406可由在內壁420與外壁424之間的容積界定。此外,基板414也可支撐在基座404上以在第一腔室402內處理。此外,基板可直接座落於基座404上,或座落在延伸通過基座的升降銷430上。 For example, the gas delivery system and vacuum processing system of high pressure processing system 400 operate in a similar manner to maintain low and high pressure environments for substrates 414 processed using high pressure processing system 400 . The second chamber 406 may be defined by the volume between the inner wall 420 and the outer wall 424 . Additionally, substrate 414 may also be supported on base 404 for processing within first chamber 402. Additionally, the substrate may sit directly on the base 404 or on lift pins 430 that extend through the base.

高壓處理系統400與第3圖的高壓處理系統300在一些考量上不同。首先,界定第一腔室402的內壁420相對於界定第一腔室402的底座422不可移動。基座404因此相對於內壁420和底座422固定。在一些示例中,基座404是固定到界定第一腔室402的底座422。 High pressure processing system 400 differs from high pressure processing system 300 of FIG. 3 in some considerations. First, the inner wall 420 defining the first chamber 402 is immovable relative to the base 422 defining the first chamber 402 . The base 404 is thus fixed relative to the inner wall 420 and base 422 . In some examples, base 404 is secured to base 422 defining first chamber 402 .

第4圖中描繪的實施例的一個或多個加熱元件418不是如第3圖的實施例的一個或多個加熱元件318的情況那樣佈置在第一腔室402的壁420中,而是佈置在基座404內。基板414因此藉由與基座404接觸而被加熱。 The one or more heating elements 418 of the embodiment depicted in Figure 4 are not arranged in the wall 420 of the first chamber 402 as is the case with the one or more heating elements 318 of the embodiment of Figure 3 . Within base 404. The substrate 414 is therefore heated by contact with the base 404 .

高壓處理系統400進一步包括在第一腔室402和第二腔室406之間的閥組件416,閥組件416(類 似於第3圖的閥組件316)隔離第一腔室402和第二腔室406。然而,與閥組件316不同,閥組件416不是由界定第一腔室402的壁420和底座422形成,而是由可相對於第一腔室402的內壁420和底座422移動的臂425形成。臂425可相對於第一腔室402的外壁424和底座422移動。 The high pressure processing system 400 further includes a valve assembly 416 between the first chamber 402 and the second chamber 406. The valve assembly 416 (like A valve assembly 316 similar to Figure 3) isolates the first chamber 402 and the second chamber 406. However, unlike valve assembly 316 , valve assembly 416 is not formed by wall 420 and base 422 defining first chamber 402 , but rather by an arm 425 movable relative to inner wall 420 and base 422 of first chamber 402 . The arm 425 is moveable relative to the outer wall 424 of the first chamber 402 and the base 422 .

特別地,閥組件416包括在第一腔室402和第二腔室406之間的狹縫閥423。狹縫閥423包括狹縫423a和臂425。狹縫423a延伸通過第一腔室402的第一內壁420。臂425的近端425a位於第一腔室402的外部,而臂425的遠端425b位於第一腔室402內。臂425的近端425a可位於第二腔室406內,且由位於第二腔室406內的致動器驅動。替代地,臂425的近端425a位於第二腔室406的外部,且因此由也位於第二腔室406的外部的致動器428驅動。 In particular, valve assembly 416 includes slit valve 423 between first chamber 402 and second chamber 406 . Slit valve 423 includes slit 423a and arm 425. Slit 423a extends through first interior wall 420 of first chamber 402. The proximal end 425a of the arm 425 is located outside the first chamber 402, while the distal end 425b of the arm 425 is located within the first chamber 402. The proximal end 425a of the arm 425 may be located within the second chamber 406 and driven by an actuator located within the second chamber 406. Alternatively, the proximal end 425a of the arm 425 is located outside the second chamber 406 and is therefore driven by an actuator 428 that is also outside the second chamber 406 .

臂425延伸通過狹縫423a,並可相對於壁420移動,使得臂425可移動到與壁420形成密封的位置。致動器428耦接到臂425的近端425a並相對於壁420驅動臂425的遠端425b。臂425也可垂直移動以覆蓋或露出狹縫423a。特別地,臂425的近端425a可為或可包括基本上平行於內壁420的相鄰內表面而延伸的凸緣。臂425也可移動並被橫向驅動,使得臂425的遠端425b可嚙合或脫離壁420。 Arm 425 extends through slit 423a and is movable relative to wall 420 such that arm 425 is movable to a position forming a seal with wall 420. Actuator 428 is coupled to proximal end 425a of arm 425 and drives distal end 425b of arm 425 relative to wall 420 . Arm 425 can also move vertically to cover or expose slit 423a. In particular, the proximal end 425a of the arm 425 may be or may include a flange extending substantially parallel to an adjacent inner surface of the inner wall 420. The arm 425 is also moveable and driven laterally such that the distal end 425b of the arm 425 can engage or disengage the wall 420.

臂425也可延伸通過外壁424中的孔426。 Arms 425 may also extend through holes 426 in outer wall 424.

像閥組件316一樣,閥組件416可在打開位置和關閉位置之間移動。當閥組件416處於關閉位置時,臂425的遠端425b覆蓋狹縫423a並接觸壁420的一個,由此形成密封以將第一腔室402與第二腔室406隔離。特別地,臂425的遠端425b(如,凸緣)接觸界定第一腔室402的壁420的內表面。 Like valve assembly 316, valve assembly 416 is moveable between an open position and a closed position. When the valve assembly 416 is in the closed position, the distal end 425b of the arm 425 covers the slit 423a and contacts one of the walls 420, thereby forming a seal to isolate the first chamber 402 from the second chamber 406. In particular, the distal end 425b (eg, flange) of the arm 425 contacts the interior surface of the wall 420 defining the first chamber 402.

當閥組件416處於打開位置時,臂425的遠端425b橫向地與壁420(如,壁420的內表面)間隔開。另外,臂425的遠端425b是垂直定位,使得狹縫423a未被覆蓋。因此,狹縫423a提供了開口,開口能夠實現在第一腔室402和第二腔室406之間的流體連通,且還能夠使基板414(如,藉由如上所討論的機器人)移入和移出第一腔室402。 When the valve assembly 416 is in the open position, the distal end 425b of the arm 425 is laterally spaced apart from the wall 420 (eg, the interior surface of the wall 420). Additionally, the distal end 425b of the arm 425 is positioned vertically such that the slit 423a is not covered. Thus, slit 423a provides an opening that enables fluid communication between first chamber 402 and second chamber 406 and also enables movement of substrate 414 in and out (eg, by a robot as discussed above) First chamber 402.

控制器可以與關於高壓處理系統300的控制器所描述的處理類似的方式來操作高壓處理系統400,以將基板414傳送進出第一腔室402並在基板414上形成材料層。在這個處理中,為了打開和關閉閥組件416,控制器可操作致動器428以驅動臂425。 The controller may operate the high pressure processing system 400 to transfer the substrate 414 into and out of the first chamber 402 and form a layer of material on the substrate 414 in a manner similar to the processes described with respect to the controller of the high pressure processing system 300 . In this process, to open and close valve assembly 416, the controller may operate actuator 428 to drive arm 425.

第4圖中所示的配置的優點在於第一腔室402內的壓力有助於迫使臂425的遠端425b抵靠內壁420的內表面。因此,與第3圖所示的配置相比,致動器可不那麼強大。 An advantage of the configuration shown in Figure 4 is that the pressure within the first chamber 402 helps to force the distal end 425b of the arm 425 against the inner surface of the inner wall 420. Therefore, the actuator may be less powerful compared to the configuration shown in Figure 3.

參考第5圖,在進一步的實施例中,高壓處理系統500包括第一腔室502、基座504、第二腔室506和 控制器(未顯示)。高壓處理系統500與關於第4圖描述的高壓處理系統400類似;除非另外指明,否則各種選項和實施方案也適用於這個實施例。 Referring to Figure 5, in a further embodiment, high pressure processing system 500 includes a first chamber 502, a base 504, a second chamber 506 and Controller (not shown). High pressure processing system 500 is similar to high pressure processing system 400 described with respect to Figure 4; various options and embodiments are applicable to this embodiment as well unless otherwise specified.

例如,高壓處理系統500的氣體輸送系統和真空處理系統以類似的方式操作,以保持使用高壓處理系統500而處理的基板(未顯示)的低壓和高壓環境。此外,基板也可支撐在基座504或升降銷上以在第一腔室502內處理。 For example, the gas delivery system and vacuum processing system of high pressure processing system 500 operate in a similar manner to maintain low and high pressure environments for substrates (not shown) processed using high pressure processing system 500 . Additionally, substrates may also be supported on the base 504 or lift pins for processing within the first chamber 502.

高壓處理系統500與第4圖的高壓處理系統400的不同之處在於基座504安裝到界定第一腔室502的頂板521而不是界定第一腔室502的底座522。與基座504相似,基座504相對於壁520、頂板521和底座522而固定。此外,高壓處理系統500的一個或多個加熱元件518佈置在基座504內。為了將基板定位在基座504上使得基板支撐在基座504上,基板插入在基座504的板之間。一個或多個加熱元件518相對於板佈置,使得當基板插入由基座504的板界定的槽中時,一個或多個加熱元件518可均勻地向基板施加熱量。 The high pressure processing system 500 differs from the high pressure processing system 400 of FIG. 4 in that the base 504 is mounted to the top plate 521 defining the first chamber 502 rather than the base 522 defining the first chamber 502 . Similar to base 504, base 504 is fixed relative to wall 520, ceiling 521, and base 522. Additionally, one or more heating elements 518 of high pressure processing system 500 are disposed within base 504 . To position the substrate on the base 504 such that the substrate is supported on the base 504 , the substrate is inserted between the plates of the base 504 . The one or more heating elements 518 are arranged relative to the plate such that the one or more heating elements 518 can apply heat uniformly to the substrate when the substrate is inserted into the slot defined by the plate of the base 504 .

第5圖的配置的優點在於,內腔室502更易於接近而維修或修理。特別地,為了接近基座504,可移除外壁524的頂蓋528。接著,可將頂板521和基座504作為一個單位來移除。 An advantage of the configuration of Figure 5 is that the inner chamber 502 is easier to access for service or repair. In particular, to gain access to the base 504, the top cover 528 of the outer wall 524 can be removed. Next, the top plate 521 and the base 504 can be removed as a unit.

參考第6圖,在進一步的實施例中,高壓處理系統600包括第一腔室602、基座604、第二腔室606和 控制器(未顯示)。高壓處理系統600類似於關於第4圖描述的高壓處理系統400;除非另外指明,否則各種選項和實施方案也適用於這個實施例。 Referring to Figure 6, in a further embodiment, high pressure processing system 600 includes a first chamber 602, a base 604, a second chamber 606 and Controller (not shown). High pressure processing system 600 is similar to high pressure processing system 400 described with respect to Figure 4; unless otherwise specified, various options and embodiments are applicable to this embodiment as well.

例如,高壓處理系統600的氣體輸送系統和真空處理系統以類似的方式操作,以維持使用高壓處理系統600而處理的基板614的低壓和高壓環境。此外,基板614也可支撐在基座604上以在第一腔室602內處理。 For example, the gas delivery system and vacuum processing system of high pressure processing system 600 operate in a similar manner to maintain low and high pressure environments for substrate 614 processed using high pressure processing system 600 . Additionally, substrate 614 may also be supported on base 604 for processing within first chamber 602.

高壓處理系統600與第4圖的高壓處理系統400的不同之處在於,高壓處理系統600的閥組件616的臂625接觸界定第一腔室602的內壁620的外表面,而不是內壁620的內表面,以覆蓋內壁620中的孔623a。與閥組件416類似,閥組件616操作以將第一腔室602與第二腔室606隔離。閥組件616可定位在第一腔室602與第二腔室606之間。 High pressure processing system 600 differs from high pressure processing system 400 of FIG. 4 in that arm 625 of valve assembly 616 of high pressure processing system 600 contacts the outer surface of inner wall 620 defining first chamber 602 rather than inner wall 620 The inner surface of the inner wall 620 covers the hole 623a in the inner wall 620. Similar to valve assembly 416, valve assembly 616 operates to isolate first chamber 602 from second chamber 606. Valve assembly 616 may be positioned between first chamber 602 and second chamber 606.

閥組件616包括在第一腔室602和第二腔室606之間的狹縫閥623。狹縫閥623包括孔623a(如,狹縫)和臂625。狹縫623a延伸通過內壁620的一個,內壁620提供第一腔室602。臂625的近端625a位於第一腔室602的外部。 Valve assembly 616 includes slit valve 623 between first chamber 602 and second chamber 606 . Slit valve 623 includes an aperture 623a (eg, a slit) and an arm 625. Slit 623a extends through one of inner walls 620 that provide first chamber 602. The proximal end 625a of the arm 625 is located outside the first chamber 602.

臂625的遠端625b不是如臂425的情況那樣位於第一腔室602內,而是位於第一腔室602的外部。因此,臂625不延伸通過狹縫626。 The distal end 625b of the arm 625 is not located within the first chamber 602 as is the case with arm 425, but is located outside the first chamber 602. Therefore, arm 625 does not extend through slit 626.

臂625可相對於壁620移動,使得臂625可移動到與壁620形成密封的位置。例如,高壓處理系統600 包括致動器628,致動器628可操作以驅動臂625。致動器628耦接到臂625的近端625a且被驅動以相對於壁620移動臂625的遠端625b。 The arm 625 is moveable relative to the wall 620 such that the arm 625 is moveable to a position forming a seal with the wall 620 . For example, high pressure processing system 600 An actuator 628 is included, and the actuator 628 is operable to drive the arm 625 . An actuator 628 is coupled to the proximal end 625a of the arm 625 and is driven to move the distal end 625b of the arm 625 relative to the wall 620 .

像閥組件316一樣,閥組件616可在打開位置和關閉位置之間移動。例如,當閥組件616處於關閉位置時,臂625的遠端625b接觸壁620的一個,由此形成密封以將第一腔室602中的高壓與第二腔室606中的低壓隔離。特別地,臂625的遠端625b接觸界定第一腔室602的壁620的外表面並定位成覆蓋狹縫626。 Like valve assembly 316, valve assembly 616 is moveable between an open position and a closed position. For example, when valve assembly 616 is in the closed position, distal end 625b of arm 625 contacts one of walls 620, thereby forming a seal to isolate high pressure in first chamber 602 from low pressure in second chamber 606. In particular, the distal end 625b of the arm 625 contacts the outer surface of the wall 620 defining the first chamber 602 and is positioned to cover the slit 626.

當閥組件616處於打開位置時,臂625的遠端625b不接觸壁620(如,壁620的內表面)。孔626因此提供開口,開口能夠實現在第一腔室602和第二腔室606之間的流體連通且還使基板614能夠移入和移出第一腔室602。 When valve assembly 616 is in the open position, distal end 625b of arm 625 does not contact wall 620 (eg, the interior surface of wall 620). The aperture 626 thus provides an opening that enables fluid communication between the first chamber 602 and the second chamber 606 and also enables movement of the substrate 614 into and out of the first chamber 602 .

控制器可以與關於高壓處理系統300的控制器所描述的處理類似的方式來操作高壓處理系統600,以傳送基板614並在基板614上形成材料層。在這個處理中,為了打開和關閉閥組件616,控制器可操作致動器628以驅動臂625。 The controller may operate the high pressure processing system 600 to convey the substrate 614 and form a layer of material on the substrate 614 in a manner similar to the processes described with respect to the controller of the high pressure processing system 300 . In this process, to open and close valve assembly 616, the controller may operate actuator 628 to drive arm 625.

第6圖中所示的配置的優點在於(如,與第3圖所示的配置中的底座322相比),孔626相對較小。如此,當在第一腔室602中建立高壓時,需要較小的力來將閥保持在關閉位置。因此,與第3圖所示的配置相比,致動器可不那麼強大。 An advantage of the configuration shown in Figure 6 is that the hole 626 is relatively smaller (eg, compared to the base 322 in the configuration shown in Figure 3). As such, when high pressure builds up in first chamber 602, less force is required to hold the valve in the closed position. Therefore, the actuator may be less powerful compared to the configuration shown in Figure 3.

第7圖顯示了根據某些實施例的具有加熱元件的基座700。基座700可(例如)對應於基座404、504、604的一個。基座700包括具有升降銷704的升降銷組件702,升降銷704至少部分地設置在界定在板708、710中的開口706中。升降銷704用以從基座700提升基板,使得傳送機器人(如,傳送機器人106、108的一個)可接近並移動基板離開腔室(如,第一腔室202、302、402、502,或602)。升降銷704由致動器705從第一位置驅動到第二位置,在第一位置中,升降銷704凹進在基座700內,在第二位置中,升降銷704從基座700突出。在第二位置中,升降銷704將在基座700上的基板支撐在基座上方,從而在基座700上方提供足夠的高度以供傳送機器人抓住基板。 Figure 7 shows a base 700 with a heating element in accordance with certain embodiments. Base 700 may, for example, correspond to one of bases 404, 504, 604. The base 700 includes a lift pin assembly 702 having a lift pin 704 disposed at least partially within an opening 706 defined in the plates 708 , 710 . Lift pins 704 are used to lift the substrate from the base 700 so that a transfer robot (eg, one of the transfer robots 106, 108) can access and move the substrate out of the chamber (eg, the first chamber 202, 302, 402, 502, or 602). Lift pin 704 is driven by actuator 705 from a first position in which lift pin 704 is recessed within base 700 to a second position in which lift pin 704 protrudes from base 700 . In the second position, the lift pins 704 support the substrate on the base 700 above the base, thereby providing sufficient height above the base 700 for the transfer robot to grasp the substrate.

控制器和計算裝置可實現於此描述的這些操作和其他處理和操作。控制器(如,控制器122、210或高壓處理系統300、400、500或600的控制器的一個)可包括一個或多個處理裝置,處理裝置連接到於此描述的高壓系統的各種部件、系統和子系統。 Controllers and computing devices may implement these operations and other processes and operations described herein. A controller (eg, one of the controllers 122, 210 or the controller of the high pressure processing system 300, 400, 500, or 600) may include one or more processing devices coupled to various components of the high pressure systems described herein, systems and subsystems.

於此描述的系統的控制器和其他計算裝置部分可以數位電子電路,或電腦軟體、韌體或硬體來實現。例如,控制器可包括處理器以執行儲存在電腦程式產品中(如,儲存在非暫態性機器可讀儲存介質中)的電腦程式。這種電腦程式(也稱為程式、軟體、軟體應用,或代碼)可用任何形式的程式化語言編寫,包括編譯或解譯的 語言,且可以任何形式進行部署,包括作為獨立程式或作為模組、部件、子程式,或適用於計算環境中使用的其他單元。 The controller and other computing device portions of the systems described herein may be implemented in digital electronic circuits, or in computer software, firmware, or hardware. For example, the controller may include a processor to execute a computer program stored in a computer program product (eg, stored in a non-transitory machine-readable storage medium). Such computer programs (also called programs, software, software applications, or code) may be written in any form of programming language, including compiled or interpreted language and may be deployed in any form, including as a stand-alone program or as a module, component, subroutine, or other unit suitable for use in a computing environment.

雖然這份文件含有許多具體實施方案的細節,但這些細節不應被解釋為對任何發明或可能要求保護的範圍的限制,而應被解釋為對專用於特定發明的特定實施例的特徵的描述。在單獨實施例的上下文中的這份文件中描述的某些特徵也可在單個實施例中組合實現。相反地,在單個實施例的上下文中描述的各種特徵也可在多個實施例中單獨地或以任何合適的子組合來實現。此外,儘管上文可將特徵描述為以某些組合起作用且甚至最初如此要求,但是來自所要求保護的組合的一個或多個特徵可在一些情況下從組合中刪除,且所要求保護的組合可涉及子組合或子組合的變體。 Although this document contains many details of specific embodiments, these details should not be construed as limitations on the scope of any invention or that may be claimed, but rather as descriptions of features of particular embodiments that are exclusive to a particular invention. . Certain features that are described in this document in the context of separate embodiments can also be implemented in combination in a single embodiment. Conversely, various features that are described in the context of a single embodiment can also be implemented in multiple embodiments separately or in any suitable subcombination. Furthermore, although features may be described above as functioning in certain combinations and even initially claimed as such, one or more features from the claimed combination may in some cases be omitted from the combination and the claimed Combinations may involve subcombinations or variations of subcombinations.

已經描述了許多實施方案。然而,將理解可進行各種修改。例如: A number of embodiments have been described. However, it will be understood that various modifications may be made. For example:

‧處理系統可用於金屬退火(如,銅或鈷的退火)。對於這種退火處理,處理氣體可為氫氣(H2)或氘氣(D2)。 ‧The processing system can be used for metal annealing (e.g. annealing of copper or cobalt). For this annealing process, the process gas may be hydrogen (H 2 ) or deuterium (D 2 ).

‧處理系統可用於二氧化矽(SiO2)的退火。對於這種退火處理,處理氣體可為水蒸汽或蒸汽。 ‧The processing system can be used for the annealing of silicon dioxide (SiO 2 ). For this annealing process, the process gas can be water vapor or steam.

‧處理系統可用於矽鍺材料的退火。對於這種退火處理,處理氣體可為氘氣(D2)。 ‧The processing system can be used for annealing silicon germanium materials. For this annealing process, the process gas may be deuterium (D 2 ).

‧雖然上文描述了由鈷或鎳層膜形成金屬矽化物層,但是在一些實施方案中,可使用其他材料。例如,其它材料可包括鈦、鉭、鎢、鉬、鉑、鐵、鈮、鈀及其組合,及包括鎳鈷合金、鈷鎢合金、鈷鎳鎢合金、摻雜鈷和鎳合金,或鎳鐵合金的其他合金,以形成金屬矽化物材料。 ‧Although the formation of the metal silicide layer from a cobalt or nickel layer film is described above, in some embodiments, other materials may be used. For example, other materials may include titanium, tantalum, tungsten, molybdenum, platinum, iron, niobium, palladium, and combinations thereof, and include nickel-cobalt alloys, cobalt-tungsten alloys, cobalt-nickel-tungsten alloys, doped cobalt and nickel alloys, or nickel-iron alloys of other alloys to form metal silicide materials.

‧儘管上文在用於形成層的系統的上下文中描述,但根據提供的氣體,高壓腔室可用於蝕刻系統。替代地,高壓腔室可填充惰性氣體,且高壓腔室可純粹用於高壓下的熱處理。 ‧Although the above is described in the context of a system for forming layers, a high-pressure chamber may be used in an etching system depending on the gases supplied. Alternatively, the high-pressure chamber may be filled with an inert gas and the high-pressure chamber may be used purely for thermal processing at high pressure.

‧於此描述的處理平台可包括其他類型的處理腔室。例如,處理平台可包括蝕刻腔室,以將圖案蝕刻到基板的表面上。 ‧The processing platforms described herein may include other types of processing chambers. For example, the processing platform may include an etching chamber to etch patterns onto the surface of a substrate.

‧處理平台的不同腔室的每一個可具有不同的壓力環境,範圍從近真空到超過10個大氣壓。在腔室之間的隔離閥(如,真空閥)可將壓力彼此隔離,使得這些變化的壓力環境可保持在每個腔室內。 ‧The different chambers of the processing platform can each have different pressure environments, ranging from near vacuum to over 10 atmospheres. Isolation valves (eg, vacuum valves) between chambers can isolate pressures from each other so that these changing pressure environments can be maintained within each chamber.

‧在某些情況下(如,在形成不需要與大氣隔離的膜的情況下),第2-6圖所示的高壓處理系統可為獨立系統,而不是整合到多腔室系統中。在這種情況下,低壓腔室將仍然可用於將高壓腔室與外部環境隔離(如,在洩漏的情況下)。 ‧In some cases (e.g., where a membrane is formed that does not require isolation from the atmosphere), the high-pressure processing system shown in Figures 2-6 can be a stand-alone system rather than integrated into a multi-chamber system. In this case, the low-pressure chamber will still be available to isolate the high-pressure chamber from the external environment (eg, in the event of a leak).

因此,其他實施方案在申請專利範圍的範圍內。 Accordingly, other embodiments are within the scope of the patent claims.

100:處理平台/平台 100: Processing Platform/Platform

102:傳送腔室/第一傳送腔室 102:Transfer chamber/first transfer chamber

104:傳送腔室/第二傳送腔室 104:Transmission chamber/second transmission chamber

106:傳送機器人 106:Teleport robot

107:機器人臂 107: Robot arm

108:傳送機器人 108:Teleport robot

109:機器人臂 109: Robot arm

110:處理腔室/物理氣相(PVD)沉積腔室 110: Processing chamber/Physical vapor phase (PVD) deposition chamber

112:處理腔室 112: Processing chamber

114:處理腔室/RTA腔室 114: Processing chamber/RTA chamber

116:處理腔室 116: Processing chamber

118:處理腔室/預清潔腔室 118: Processing chamber/pre-cleaning chamber

120:通過腔室 120: Passing through the chamber

122:控制器 122:Controller

124:除氣腔室 124: Degassing chamber

128:裝載閘腔室 128:Loading lock chamber

130:處理腔室 130: Processing chamber

132:工廠介面模組 132:Factory interface module

200:高壓系統 200:High pressure system

202:第一高壓腔室/第一腔室 202: First high pressure chamber/first chamber

204:第二真空腔室/第二腔室 204: Second vacuum chamber/second chamber

206:氣體輸送系統 206:Gas delivery system

208:真空處理系統 208: Vacuum processing system

210:控制器 210:Controller

211:排氣系統 211:Exhaust system

212:閥組件 212:Valve assembly

214:前級管線 214: Preamp pipeline

216:隔離閥 216:Isolation valve

300:高壓處理系統 300: High pressure treatment system

302:腔室 302: Chamber

304:基座 304:Pedestal

306:腔室 306: Chamber

307:氣體輸送系統 307:Gas delivery system

307a:輸入管線 307a:Input pipeline

307b:排氣管線 307b:Exhaust line

314:基板 314:Substrate

316:閥組件 316: Valve assembly

318:加熱元件 318:Heating element

320:壁 320: wall

322:底座 322:Base

323:致動器 323: Actuator

324:外壁 324:Outer wall

326:孔 326:hole

328:波紋管 328: Bellows

330:銷 330:Pin

400:高壓處理系統 400: High pressure treatment system

402:第一腔室 402:First chamber

404:基座 404:Pedestal

406:第二腔室 406: Second chamber

414:基板 414:Substrate

416:閥組件 416: Valve assembly

418:加熱元件 418:Heating element

420:壁 420: wall

422:底座 422:Base

423:狹縫閥 423: Slit valve

423a:狹縫 423a: slit

424:外壁 424:Outer wall

425:臂 425:arm

425a:近端 425a: near end

425b:遠端 425b:Remote

426:孔 426:hole

428:致動器 428: Actuator

430:升降銷 430: Lift pin

500:高壓處理系統 500: High pressure treatment system

502:第一腔室/內腔室 502: First chamber/inner chamber

504:基座 504:Pedestal

506:第二腔室 506: Second chamber

518:加熱元件 518:Heating element

520:壁 520: wall

521:頂板 521:top plate

522:底座 522:Base

524:外壁 524:Outer wall

528:頂蓋 528:Top cover

600:高壓處理系統 600: High pressure treatment system

602:第一腔室 602:First chamber

604:基座 604:Pedestal

606:第二腔室 606: Second chamber

614:基板 614:Substrate

616:閥組件 616: Valve assembly

620:壁 620: wall

623:狹縫閥 623: Slit valve

623a:孔/狹縫 623a: Hole/Slit

625:臂 625:arm

625a:近端 625a: near end

625b:遠端 625b:Remote

626:狹縫/孔 626: Slit/hole

628:致動器 628: Actuator

700:基座 700:Pedestal

702:升降銷組件 702: Lift pin assembly

704:升降銷 704: Lift pin

705:致動器 705: Actuator

706:開口 706:Open your mouth

708:板 708: Board

710:板 710: Board

第1圖是處理平台的圖。Figure 1 is a diagram of the processing platform.

第2圖是高壓系統的圖。Figure 2 is a diagram of the high pressure system.

第3圖是高壓處理系統的示例的示意性側視圖。Figure 3 is a schematic side view of an example of a high pressure processing system.

第4圖是高壓處理系統的另一示例的示意性側視圖。Figure 4 is a schematic side view of another example of a high pressure processing system.

第5圖是高壓處理系統的另一示例的示意性側視圖。Figure 5 is a schematic side view of another example of a high pressure processing system.

第6圖是高壓處理系統的另一示例的示意性側視圖。Figure 6 is a schematic side view of another example of a high pressure processing system.

第7圖是基座的示意性側視圖。Figure 7 is a schematic side view of the base.

200‧‧‧高壓系統 200‧‧‧High pressure system

202‧‧‧第一高壓腔室/第一腔室 202‧‧‧First high pressure chamber/first chamber

204‧‧‧第二真空腔室/第二腔室 204‧‧‧Second vacuum chamber/second chamber

206‧‧‧氣體輸送系統 206‧‧‧Gas delivery system

208‧‧‧真空處理系統 208‧‧‧Vacuum processing system

210‧‧‧控制器 210‧‧‧Controller

211‧‧‧排氣系統 211‧‧‧Exhaust system

212‧‧‧閥組件 212‧‧‧Valve assembly

214‧‧‧前級管線 214‧‧‧Pre-stage pipeline

216‧‧‧隔離閥 216‧‧‧Isolation valve

Claims (19)

一種用於處理一基板上的一層的高壓處理系統,包含:一第一腔室;一基座,用以支撐該基板,該基座定位在該第一腔室內;一第二腔室,至少部分地圍繞該第一腔室;一真空處理系統,配置成降低該第二腔室內的一壓力到接近真空;一閥組件,在該第一腔室和該第二腔室之間,以將該第一腔室內的該壓力與該第二腔室內的該壓力隔離,其中該閥組件包含在該第一腔室和該第二腔室之間的一狹縫閥,及其中該狹縫閥包含:一狹縫,通過在該第一腔室和該第二腔室之間的一壁;及一臂,可在一第一位置和一第二位置之間移動,在該第一位置中,該臂覆蓋該狹縫,以在該第一腔室和該第二腔室之間形成一密封,在該第二位置中,該狹縫未被覆蓋,且該基板通過該狹縫閥從該第一腔室可傳送到該第二腔室,及其中該臂配置成在該第一位置與界定該第一腔室的該壁的一內表面嚙合,以在該第一腔室與該第二腔室之間形成該密封;一氣體輸送系統,配置成將一處理氣體引入該第一 腔室並當該處理氣體在該第一腔室中時且當該第一腔室與該第二腔室隔離時,增加該第一腔室內的該壓力到至少為10個大氣壓;及一控制器,被配置為操作該氣體輸送系統,以將該處理氣體引入到該第一腔室中,以處理該基板上的該層;及打開該閥組件,以使該基板能夠從該第一腔室傳送到該第二腔室。 A high-pressure processing system for processing a layer on a substrate, including: a first chamber; a base to support the substrate, the base being positioned in the first chamber; a second chamber, at least partially surrounding the first chamber; a vacuum processing system configured to reduce a pressure in the second chamber to near vacuum; a valve assembly between the first chamber and the second chamber to The pressure in the first chamber is isolated from the pressure in the second chamber, wherein the valve assembly includes a slit valve between the first chamber and the second chamber, and wherein the slit valve Comprising: a slit through a wall between the first chamber and the second chamber; and an arm movable between a first position and a second position, in the first position , the arm covers the slit to form a seal between the first chamber and the second chamber. In the second position, the slit is uncovered and the substrate passes through the slit valve. The first chamber may be conveyed to the second chamber, and wherein the arm is configured to engage an interior surface of the wall defining the first chamber in the first position to separate the first chamber from the second chamber. The seal is formed between the second chamber; a gas delivery system configured to introduce a processing gas into the first chamber; chamber and when the process gas is in the first chamber and when the first chamber is isolated from the second chamber, increasing the pressure in the first chamber to at least 10 atmospheres; and a control a device configured to operate the gas delivery system to introduce the processing gas into the first chamber to process the layer on the substrate; and open the valve assembly to enable the substrate to be removed from the first chamber chamber is transferred to this second chamber. 如請求項1所述之系統,其中該基座固定到界定該第一腔室的多個壁。 The system of claim 1, wherein the base is secured to walls defining the first chamber. 如請求項1所述之系統,進一步包含一致動器,以相對於該狹縫而移動該臂,該致動器耦接到該第二腔室的外部的該臂的一近端。 The system of claim 1, further comprising an actuator to move the arm relative to the slit, the actuator coupled to a proximal end of the arm outside the second chamber. 如請求項1所述之系統,進一步包含一致動器,以相對於該狹縫而移動該臂,該致動器耦接該第二腔室內的該臂的一近端。 The system of claim 1, further comprising an actuator to move the arm relative to the slit, the actuator coupled to a proximal end of the arm in the second chamber. 如請求項1所述之系統,其中界定該第一腔室的該等壁可相對於界定該第一腔室的一底座移動,以提供該閥組件。 The system of claim 1, wherein the walls defining the first chamber are movable relative to a base defining the first chamber to provide the valve assembly. 如請求項1所述之系統,其中該氣體輸送系統包含一排氣系統,以排出該第一腔室內的氣體,從而減壓該第一腔室,其中該控制器配置為在該閥組件 打開之前操作該排氣系統,以使該第一腔室減壓。 The system of claim 1, wherein the gas delivery system includes an exhaust system to exhaust gas in the first chamber to depressurize the first chamber, wherein the controller is configured to The exhaust system is operated to depressurize the first chamber before opening. 如請求項1所述之系統,進一步包含一頂側與一底側,其中該基板被支撐在一第一側基座上,該第一側基座相較於該底側更靠近該頂側,及其中該氣體輸送系統通過該頂側。 The system of claim 1, further comprising a top side and a bottom side, wherein the substrate is supported on a first side base, and the first side base is closer to the top side than the bottom side. , and wherein the gas delivery system passes through the top side. 如請求項1所述之系統,進一步包含一加熱元件,該加熱元件配置成當該基板被支撐在該基座上時將熱量施加到該基板,以退火該基板。 The system of claim 1, further comprising a heating element configured to apply heat to the substrate when the substrate is supported on the base to anneal the substrate. 如請求項8所述之系統,其中該加熱元件定位在該基座內。 The system of claim 8, wherein the heating element is positioned within the base. 如請求項8所述之系統,其中該加熱元件定位在界定該第一腔室的多個壁內。 The system of claim 8, wherein the heating element is positioned within walls defining the first chamber. 如請求項1所述之系統,進一步包含一機器人臂,該機器人臂配置成將該基板通過該閥組件從該第一腔室傳送到該第二腔室。 The system of claim 1, further comprising a robotic arm configured to transfer the substrate from the first chamber to the second chamber through the valve assembly. 如請求項1所述之系統,進一步包含一升降銷組件,以從該基座升起該基板。 The system of claim 1, further comprising a lifting pin assembly to lift the substrate from the base. 如請求項1所述之系統,其中該基座從該第一腔室的一頂板懸掛下來。 The system of claim 1, wherein the base is suspended from a ceiling of the first chamber. 如請求項1所述之系統,其中該閥組件可操作以使得該基板能在該第一腔室與該第二腔室之間輸送。 The system of claim 1, wherein the valve assembly is operable to enable the substrate to be transported between the first chamber and the second chamber. 一種半導體製造設備,包含:一中央真空腔室,具有定位於中的一機器人;一工廠介面模組,耦接到該中央真空腔室;一低壓處理系統,藉由一第一真空閥耦接到該中央真空腔室;及如請求項1所述的該高壓處理系統,其中該第二腔室藉由一第二真空閥耦接到該中央真空腔室。 A semiconductor manufacturing equipment includes: a central vacuum chamber with a robot positioned therein; a factory interface module coupled to the central vacuum chamber; a low-pressure processing system coupled through a first vacuum valve to the central vacuum chamber; and the high-pressure processing system of claim 1, wherein the second chamber is coupled to the central vacuum chamber through a second vacuum valve. 一種半導體處理方法,包含以下步驟:將一處理氣體引入一第一腔室中,以在一基板上形成一層並在形成該層期間在該第一腔室內產生至少10個大氣壓的一壓力;及將該基板直接從該第一腔室傳送通過一第二腔室,該第二腔室具有小於1個大氣壓的一壓力,該第二腔室至少部分地圍繞該第一腔室,其中一狹縫閥在該第一腔室和該第二腔室之間,及其中該狹縫閥包含:一狹縫,通過在該第一腔室和該第二腔室之間的一壁;及一臂,可在一第一位置和一第二位置之間移動,在該第一位置中,該臂覆蓋該狹縫,以在該第一腔室和該第二腔室之間形成一密封,在該第二位置中,該狹縫未被覆蓋,且該基板通過該狹縫閥從該第一腔室可傳送到該第二腔室,及其中該臂配置成在該第一位置與界定該第一腔室的該壁的一內表面嚙合,以在該第 一腔室與該第二腔室之間形成該密封。 A semiconductor processing method comprising the steps of introducing a processing gas into a first chamber to form a layer on a substrate and generating a pressure of at least 10 atmospheres in the first chamber during formation of the layer; and The substrate is transferred directly from the first chamber through a second chamber having a pressure less than 1 atmosphere, the second chamber at least partially surrounding the first chamber, with a narrow A slit valve is between the first chamber and the second chamber, and wherein the slit valve includes: a slit passing through a wall between the first chamber and the second chamber; and a an arm movable between a first position and a second position in which the arm covers the slit to form a seal between the first chamber and the second chamber, In the second position, the slit is uncovered and the substrate is transferable from the first chamber to the second chamber through the slit valve, and wherein the arm is configured to define in the first position An inner surface of the wall of the first chamber engages to The seal is formed between one chamber and the second chamber. 如請求項16所述之方法,進一步包含以下步驟:在引入該處理氣體之後且在傳送該基板之前,將該處理氣體從該第一腔室排出,以降低該第一腔室內的該壓力。 The method of claim 16, further comprising the step of: after introducing the processing gas and before transferring the substrate, exhausting the processing gas from the first chamber to reduce the pressure in the first chamber. 如請求項16所述之方法,進一步包含以下步驟:在傳送該基板之前,打開在該第一腔室和該第二腔室之間的該狹縫閥,其中該基板通過該狹縫閥傳送到該第二腔室。 The method of claim 16, further comprising the step of: before transferring the substrate, opening the slit valve between the first chamber and the second chamber, wherein the substrate is transferred through the slit valve to the second chamber. 如請求項16所述之方法,進一步包含以下步驟:在該處理氣體被引入之後,將熱量施加到該基板,以退火該基板。 The method of claim 16, further comprising the step of: applying heat to the substrate after the processing gas is introduced to anneal the substrate.
TW107108016A 2017-03-10 2018-03-09 High pressure wafer processing systems and related methods TWI826370B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762470057P 2017-03-10 2017-03-10
US62/470,057 2017-03-10

Publications (2)

Publication Number Publication Date
TW201841290A TW201841290A (en) 2018-11-16
TWI826370B true TWI826370B (en) 2023-12-21

Family

ID=63445057

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112125001A TW202345268A (en) 2017-03-10 2018-03-09 High pressure wafer processing systems and related methods
TW107108016A TWI826370B (en) 2017-03-10 2018-03-09 High pressure wafer processing systems and related methods

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112125001A TW202345268A (en) 2017-03-10 2018-03-09 High pressure wafer processing systems and related methods

Country Status (7)

Country Link
US (3) US10224224B2 (en)
EP (1) EP3593378B1 (en)
JP (3) JP6983900B2 (en)
KR (3) KR102449794B1 (en)
CN (2) CN110603631B (en)
TW (2) TW202345268A (en)
WO (1) WO2018165533A1 (en)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6832154B2 (en) * 2016-12-27 2021-02-24 東京エレクトロン株式会社 How to purge
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (en) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 Dry Stripping of Boron Carbide Hardmasks
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102659317B1 (en) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and methods for manufacturing semiconductor structures using a protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
JP7299898B2 (en) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド Seam repair using high pressure annealing
US11077535B2 (en) * 2018-02-14 2021-08-03 Samsung Electronics Co., Ltd. Process system having locking pin and locking pin
JP7239598B2 (en) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド High Pressure Annealing Process for Metal-Containing Materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP2020017645A (en) * 2018-07-26 2020-01-30 株式会社Kokusai Electric Substrate processing apparatus
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11380564B2 (en) * 2018-09-19 2022-07-05 Applied Materials, Inc. Processing system having a front opening unified pod (FOUP) load lock
US11885018B2 (en) 2018-09-24 2024-01-30 Lehigh University High pressure spatial chemical vapor deposition system and related process
CN112640065A (en) 2018-10-30 2021-04-09 应用材料公司 Method for etching structures for semiconductor applications
CN112996950B (en) * 2018-11-16 2024-04-05 应用材料公司 Film deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR102640172B1 (en) 2019-07-03 2024-02-23 삼성전자주식회사 Processing apparatus for a substrate and method of driving the same
US11101174B2 (en) * 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11948828B2 (en) 2020-01-16 2024-04-02 Applied Materials, Inc. Pin-less substrate transfer apparatus and method for a processing chamber
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
USD941787S1 (en) 2020-03-03 2022-01-25 Applied Materials, Inc. Substrate transfer blade
US20240012393A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Sustainability monitoring platform with sensor support
KR102606703B1 (en) * 2022-11-04 2023-11-29 주식회사 에이치피에스피 High pressure heat treatment apparatus

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5857368A (en) * 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
US6797336B2 (en) * 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
US9121515B2 (en) * 2011-03-18 2015-09-01 Tokyo Electron Limited Gate valve unit, substrate processing device and substrate processing method thereof

Family Cites Families (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US4167915A (en) * 1977-03-09 1979-09-18 Atomel Corporation High-pressure, high-temperature gaseous chemical apparatus
JPS61291032A (en) * 1985-06-17 1986-12-20 Fujitsu Ltd Vacuum apparatus
JPH0748489B2 (en) 1987-07-27 1995-05-24 富士通株式会社 Plasma processing device
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) * 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (en) 1989-04-10 1998-03-25 忠弘 大見 Tungsten film forming equipment
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
JP2595132B2 (en) * 1990-11-26 1997-03-26 株式会社日立製作所 Vacuum processing equipment
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
US5624590A (en) * 1993-04-02 1997-04-29 Lucent Technologies, Inc. Semiconductor processing technique, including pyrometric measurement of radiantly heated bodies and an apparatus for practicing this technique
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (en) 1995-05-08 2000-05-01 오카노 사다오 Optical waveguide manufacturing method
JPH09296267A (en) * 1995-11-21 1997-11-18 Applied Materials Inc Apparatus for production of metallic path for semiconductor substrate by high-pressure extrusion and method thereof
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (en) * 1996-03-01 1998-04-30 히가시 데츠로 Substrate drying apparatus and substrate drying method
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (en) 1997-11-18 2001-08-13 日本電気株式会社 Method of forming interlayer insulating film and insulating film forming apparatus
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
DE69940114D1 (en) 1999-08-17 2009-01-29 Applied Materials Inc Surface treatment of carbon-doped SiO 2 films to increase the stability during O 2 ashing
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (en) * 1999-11-12 2006-09-29 삼성전자주식회사 Bake unit for semiconductor photolithography process
TW484170B (en) * 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
JP3388435B2 (en) * 1999-12-28 2003-03-24 株式会社ブイテックス Gate valve
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (en) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc Equipment and method for treating substrate
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030026677A1 (en) * 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (en) * 2001-11-30 2003-06-13 Sekisui Chem Co Ltd Discharge plasma treatment apparatus
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
KR20050019129A (en) * 2002-06-13 2005-02-28 비오씨 에드워즈 인코포레이티드 Substrate processing apparatus and related systems and methods
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (en) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk Apparatus and method for performing high pressure anneal steam treatment
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (en) 2003-03-07 2007-08-08 東京エレクトロン株式会社 Method for forming tungsten film
CN100408902C (en) * 2003-05-13 2008-08-06 应用材料股份有限公司 Methods and apparatus for sealing an opening of a processing chamber
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (en) 2003-08-13 2008-10-29 株式会社神戸製鋼所 High pressure processing method
KR20050062751A (en) * 2003-12-22 2005-06-27 어댑티브프라즈마테크놀로지 주식회사 Chamber apparatus having double doors gate valve
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (en) 2004-05-20 2010-01-06 株式会社神戸製鋼所 Drying method of fine structure
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
JP2006120713A (en) * 2004-10-19 2006-05-11 Tokyo Electron Ltd Method of depositing
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP5531284B2 (en) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド Etching chamber with subchamber assembly
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
EP1959299B1 (en) 2005-06-10 2012-12-26 Obducat AB Pattern replication with intermediate stamp
JP4747693B2 (en) 2005-06-28 2011-08-17 住友電気工業株式会社 Method for forming resin body, method for forming structure for optical waveguide, and method for forming optical component
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (en) 2005-09-13 2007-03-20 한국전자통신연구원 Optical waveguide master and manufacture method of the same
CN101268012B (en) 2005-10-07 2012-12-26 株式会社尼康 Fine structure body and method for manufacturing same
KR101101757B1 (en) * 2005-11-07 2012-01-05 주성엔지니어링(주) Vacuum chamber which economizes manufacturing cost
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
KR20070068596A (en) * 2005-12-27 2007-07-02 삼성전자주식회사 A baking apparatus
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (en) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc Substrate treatment apparatus
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
DE102007030006B4 (en) * 2006-07-19 2009-12-17 Vat Holding Ag vacuum valve
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (en) 2007-01-31 2008-08-21 Tokyo Electron Ltd Substrate processing apparatus
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (en) 2007-03-31 2013-02-06 東京エレクトロン株式会社 Trap device, exhaust system and treatment system using the same
KR101442238B1 (en) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 Method of manufacturing Semiconductor Device by using High-Pressure Oxygen Annealing
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
JP4815464B2 (en) 2008-03-31 2011-11-16 株式会社日立製作所 Fine structure transfer stamper and fine structure transfer apparatus
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (en) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd Semiconductor device and manufacturing method thereof
US8297591B2 (en) * 2008-08-29 2012-10-30 Applied Materials, Inc. Slit valve control
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
KR101027325B1 (en) * 2008-11-24 2011-04-06 주식회사 아토 Substrate processing apparatus
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
FR2940322B1 (en) * 2008-12-19 2011-02-11 Alcatel Lucent PRESSURE DESCENT METHOD IN LOADING AND UNLOADING SAS AND EQUIPMENT THEREFOR
JP5208800B2 (en) 2009-02-17 2013-06-12 東京エレクトロン株式会社 Substrate processing system and substrate transfer method
JP2010205854A (en) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd Method of manufacturing semiconductor device
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (en) 2009-06-22 2010-02-17 富士フイルム株式会社 THIN FILM TRANSISTOR AND METHOD FOR PRODUCING THIN FILM TRANSISTOR
KR20110000960A (en) 2009-06-29 2011-01-06 삼성전자주식회사 Semiconductor chip, stack module, memory card, and method of fabricating the same
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (en) 2009-09-16 2011-03-31 Bridgestone Corp Photocurable transfer sheet and method for forming recessed and projected pattern using same
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20120106766A (en) 2009-11-20 2012-09-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Method for manufacturing semiconductor device
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (en) 2010-01-07 2012-10-03 应用材料公司 In-situ ozone cure for radical-component CVD
KR101775608B1 (en) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 Generating steam from carbonaceous material
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
KR101853802B1 (en) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 Conformal layers by radical-component cvd
JP5506461B2 (en) * 2010-03-05 2014-05-28 東京エレクトロン株式会社 Supercritical processing apparatus and supercritical processing method
CN101871043B (en) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 Steam generator of annealing furnace and control method thereof
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (en) 2010-08-30 2012-03-08 Toshiba Corp Supercritical drying method and supercritical drying system
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
KR20140027917A (en) 2011-03-25 2014-03-07 이서영 Lightwave circuit and method for manufacturing same
JP5450494B2 (en) 2011-03-25 2014-03-26 株式会社東芝 Supercritical drying method for semiconductor substrates
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
WO2012133583A1 (en) 2011-03-30 2012-10-04 大日本印刷株式会社 Supercritical drying device and supercritical drying method
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JPWO2013065771A1 (en) 2011-11-01 2015-04-02 株式会社日立国際電気 Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, and recording medium
JP2013122493A (en) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The Optical branching element and optical branching circuit
JP2013154315A (en) 2012-01-31 2013-08-15 Ricoh Co Ltd Thin film forming apparatus, thin film forming method, electro-mechanical transducer element, liquid ejecting head, and inkjet recording apparatus
JP6254098B2 (en) 2012-02-13 2017-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method and apparatus for selective oxidation of substrates
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (en) 2012-06-27 2013-01-22 (주)이노시티 Apparatus for process chamber
KR20140003776A (en) 2012-06-28 2014-01-10 주식회사 메카로닉스 Preparation of a high resistivity zno thin film
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (en) 2012-07-19 2014-02-03 Tokyo Electron Ltd Method of depositing tungsten film
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
WO2014030371A1 (en) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 Semiconductor structure provided with aluminum-nitride-oxide film on top of germanium layer, and manufacturing method therefor
KR102002782B1 (en) 2012-09-10 2019-07-23 삼성전자주식회사 Method of manufacturing for Semiconductor device using expandable material
JP2014060256A (en) 2012-09-18 2014-04-03 Tokyo Electron Ltd Processing system
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
JP2014141739A (en) 2012-12-27 2014-08-07 Tokyo Electron Ltd Film deposition method of manganese metal film, processing system, production method of electronic device and electronic device
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
CN104995333B (en) 2013-02-19 2017-09-22 应用材料公司 Patterned using the HDD of flowable formula cvd film
KR101443792B1 (en) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 Gas Phase Etcher Apparatus
KR20140106977A (en) 2013-02-27 2014-09-04 삼성전자주식회사 Metal oxide semiconductor Thin Film Transistors having high performance and methods of manufacturing the same
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
JP6068633B2 (en) 2013-05-31 2017-01-25 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and furnace mouth cover
JP6196481B2 (en) 2013-06-24 2017-09-13 株式会社荏原製作所 Exhaust gas treatment equipment
KR101542803B1 (en) 2013-07-09 2015-08-07 주식회사 네오세미텍 Vacuum chamber with purge apparatus of high temperature and high pressure injection type and cleaning method using it
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
CN105453230B (en) 2013-08-16 2019-06-14 应用材料公司 Tungsten deposition is carried out with tungsten hexafluoride (WF6) eatch-back
US9548200B2 (en) 2013-08-21 2017-01-17 Applied Materials, Inc. Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications
JP6226648B2 (en) 2013-09-04 2017-11-08 昭和電工株式会社 Method for manufacturing SiC epitaxial wafer
JP6129712B2 (en) 2013-10-24 2017-05-17 信越化学工業株式会社 Superheated steam treatment equipment
KR20150062545A (en) * 2013-11-29 2015-06-08 삼성전기주식회사 Bake Apparatus
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (en) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 Display device, array base palte and preparation method thereof
US10184179B2 (en) * 2014-01-21 2019-01-22 Applied Materials, Inc. Atomic layer deposition processing chamber permitting low-pressure tool replacement
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (en) 2014-04-23 2015-11-25 주식회사 풍산 Method of forming spin on glass type insulation layer using high pressure annealing
CN104047676A (en) 2014-06-14 2014-09-17 马根昌 Improved opposite impact silencer
CN104089491B (en) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 The waste heat recycling system of annealing furnace
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (en) 2014-09-08 2017-04-27 三菱電機株式会社 Semiconductor annealing equipment
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
WO2016065221A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
KR101681190B1 (en) 2015-05-15 2016-12-02 세메스 주식회사 method and Apparatus for Processing Substrate
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
JP6582676B2 (en) * 2015-07-24 2019-10-02 東京エレクトロン株式会社 Load lock device and substrate processing system
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6856651B2 (en) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Nanowire Manufacturing Methods for Horizontal Gate All-Around Devices for Semiconductor Applications
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (en) 2016-03-02 2017-11-29 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI729457B (en) 2016-06-14 2021-06-01 美商應用材料股份有限公司 Oxidative volumetric expansion of metals and metal containing compounds
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10083834B2 (en) 2016-09-30 2018-09-25 Applied Materials, Inc. Methods of forming self-aligned vias
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5857368A (en) * 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
US6797336B2 (en) * 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
US9121515B2 (en) * 2011-03-18 2015-09-01 Tokyo Electron Limited Gate valve unit, substrate processing device and substrate processing method thereof

Also Published As

Publication number Publication date
US10529603B2 (en) 2020-01-07
US20180258533A1 (en) 2018-09-13
JP7253015B2 (en) 2023-04-05
CN116936405A (en) 2023-10-24
KR102449794B1 (en) 2022-10-04
TW201841290A (en) 2018-11-16
JP2023089011A (en) 2023-06-27
KR102310823B1 (en) 2021-10-12
EP3593378B1 (en) 2023-04-26
KR20220137169A (en) 2022-10-11
EP3593378A4 (en) 2020-12-23
CN110603631B (en) 2023-07-11
KR20210123423A (en) 2021-10-13
KR20190118685A (en) 2019-10-18
EP3593378A1 (en) 2020-01-15
US20180261480A1 (en) 2018-09-13
JP2020510315A (en) 2020-04-02
US10224224B2 (en) 2019-03-05
TW202345268A (en) 2023-11-16
JP6983900B2 (en) 2021-12-17
WO2018165533A1 (en) 2018-09-13
US20190198367A1 (en) 2019-06-27
CN110603631A (en) 2019-12-20
JP2022020619A (en) 2022-02-01
KR102509015B1 (en) 2023-03-14

Similar Documents

Publication Publication Date Title
TWI826370B (en) High pressure wafer processing systems and related methods
CN110692121B (en) Tungsten defluorination by high pressure treatment
KR102420059B1 (en) Gas delivery system for high pressure processing chamber
TW201931496A (en) Gas delivery system for high pressure processing chamber
TW202412157A (en) High pressure wafer processing systems and related methods
US20220230887A1 (en) Methods and apparatus for processing a substrate