TWI824371B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI824371B
TWI824371B TW111100175A TW111100175A TWI824371B TW I824371 B TWI824371 B TW I824371B TW 111100175 A TW111100175 A TW 111100175A TW 111100175 A TW111100175 A TW 111100175A TW I824371 B TWI824371 B TW I824371B
Authority
TW
Taiwan
Prior art keywords
source
drain
component
dielectric
fin
Prior art date
Application number
TW111100175A
Other languages
English (en)
Other versions
TW202234582A (zh
Inventor
蔡忠浩
黃諺鈞
方婷
姚佳賢
李振銘
楊復凱
王美勻
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202234582A publication Critical patent/TW202234582A/zh
Application granted granted Critical
Publication of TWI824371B publication Critical patent/TWI824371B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41758Source or drain electrodes for field effect devices for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

提供半導體結構及方法。根據本發明實施例的半導體結構包括:第一鰭狀物結構與第二鰭狀物結構,在基底的上方;第一源極/汲極部件,置於第一鰭狀物結構的上方;第二源極/汲極部件,置於第二鰭狀物結構的上方;介電部件,置於第一源極/汲極部件的上方;以及接觸結構,形成在第一源極/汲極部件的上方及第二源極/汲極部件的上方。接觸結構電性耦接於第二源極/汲極部件,並藉由介電部件與第一源極/汲極部件分離。

Description

半導體裝置及其形成方法
本發明實施例是關於半導體裝置及其形成方法,特別是關於提供跨越超過一個主動區的源極/汲極接觸件的半導體裝置及其形成方法。
半導體積體電路(integrated circuit;IC)產業已歷經了指數式的成長。在積體電路的材料與設計的技術進步下,已產出數個世代的積體電路,每個世代均比其前一個世代具有較小且更複雜的電路。在積體電路革命的過程中,通常是隨著功能密度(舉例而言:每單位晶片面積的互連的裝置數量)的增加而縮減幾何尺寸(舉例而言:使用一製程所能形成的最小構件(或是線))。這樣的尺寸縮減的過程通常會藉由增加製造效率與降低關連的成本而獲得效益。這樣的尺寸縮減亦會增加所加工及製造的積體電路結構的複雜度。
舉例而言,隨著積體電路(IC)技術朝向更小的技術節點發展,已導入多閘極金屬─氧化物─半導體場效電晶體(multi-gate metal-oxide-semiconductor field effect transistor;multi-gate MOSFET;或是多閘極裝置),藉由增加閘極-通道耦合、降低關閉狀態(off-state)的電流以及降低短通道效應(short-channel effect;SCE)來改善閘極控制。通常將多閘極裝置視作具有閘極結構或其部分設置於通道區之多側上的裝置。鰭式場效電晶體(fin-like field effect transistor;FinFET)和多橋通道(multi-bridge-channel;MBC)電晶體為多閘極裝置的範例,它們已成為在高效能與低漏電的應用中常見且有潛力的候選。鰭式場效電晶體具有由閘極包覆多側的抬升通道(例如閘極包覆從基底延伸之半導體材料的「鰭片」的頂部和側壁)。多橋通道電晶體的閘極能部分或完全地圍繞通道區延伸,以從兩側或更多側提供對於通道區的存取。由於多橋通道電晶體的閘極結構環繞通道區,也可將其稱為環繞式閘極電晶體(surrounding gate transistor;SGT)或全繞式閘極(gate-all-around;GAA)電晶體。
在尺寸縮減的過程中,在縮減金屬線的數量但維持相同的導電度的方面投入努力。一些範例結構包括延長的源極/汲極接觸件,其跨越超過一個主動區。作為權衡措施,上述延長的源極/汲極接觸件可能會與鄰近的閘極結構重疊,結果增加了上述源極/汲極接觸件與上述閘極結構之間的寄生電容。因此,儘管現有的多閘極裝置的源極/汲極接觸件一般足以適用於其設定的目的,但無法在所有方面都令人滿意。
一實施例是關於一種半導體裝置。上述半導體裝置包括:一第一鰭狀物結構與一第二鰭狀物結構,在一基底的上方;一第一源極/汲極部件,置於上述第一鰭狀物結構的上方;一第二源極/汲極部件,置於上述第二鰭狀物結構的上方;一介電部件,置於上述第一源極/汲極部件的上方;以及一接觸結構,形成在上述第一源極/汲極部件的上方及上述第二源極/汲極部件的上方。上述接觸結構電性耦接於上述第二源極/汲極部件,並藉由上述介電部件與上述第一源極/汲極部件分離。
另一實施例是關於一種半導體裝置。上述半導體裝置包括:一第一源極/汲極部件與一第二源極/汲極部件;一介電鰭狀物,置於上述第一源極/汲極部件與上述第二源極/汲極部件之間;一介電部件,置於上述第一源極/汲極部件的上方並沿著上述介電鰭狀物的一側壁延伸;以及一接觸部件,包括一第一部分與一第二部分,上述第一部分置於上述介電部件的上方與上述介電鰭狀物的上方,上述第二部分電性耦接於上述第二源極/汲極部件。上述第一部分懸於上述第一源極/汲極部件的上方。
又另一實施例是關於一種半導體裝置的形成方法。上述半導體裝置的形成方法包括:接收一工件,上述工件包括:一第一鰭狀物結構與一第二鰭狀物結構,在一基底的上方;一閘極結構,包裹在上述第一鰭狀物結構的上方及上述第二鰭狀物結構的上方;一第一源極/汲極部件,在上述第一鰭狀物結構的上方;及一第二源極/汲極部件,在上述第二鰭狀物結構的上方。上述半導體裝置的形成方法還包括:選擇性在上述第一源極/汲極部件的上方形成一介電部件;以及在上述選擇性形成之後,在上述第一源極/汲極部件的上方及上述第二源極/汲極部件的上方形成一接觸結構,而使上述接觸結構電性連接於上述第二源極/汲極部件並藉由上述介電部件而與上述第一源極/汲極部件分離。
以下揭露內容提供了許多不同的實施形態或範例,用於實現所提供之申請專利之發明的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例的說明。當然,這些僅僅是範例,並非用以限定本發明的實施例。舉例而言,以下敘述中提及第一部件形成於第二部件上或上方,可能包含第一與第二部件直接接觸的實施形態,也可能包含額外的部件形成於第一與第二部件之間,使得第一與第二部件不直接接觸的實施形態。此外,本發明實施例在各種範例中可能重複作為元件符號的元件符號的數字及/或字母,此重複是為了簡化和清楚,並非在討論的各種實施例及/或組態之間指定其關係。
在此可使用空間相對用詞,例如「在……下方」、「在……下」、「低於」、「下方的」、「在……上」、「高於」、「上方的」及類似的用詞以助於描述圖中所示之其中一個元件或部件相對於另一(些)元件或部件之間的關係。這些空間相對用詞係用以涵蓋圖式所描繪的方向以外,使用中或操作中之裝置的不同方向。裝置可能被轉向(旋轉90度或其他方向),且可與其相應地解釋在此使用之空間相對描述。
再者,如所屬技術領域中具有通常知識者所理解的,考量到在製造期間固有出現的變化,當用「約」、「大約」及相似的用詞來描述一個數字或一個數字範圍時,所述用詞涵蓋在合理範圍內的數字。舉例而言,當製造具有關於數字之特徵的部件時,基於已知的關於前述製程的製造容許度,數字或數字範圍涵蓋之合理範圍包含所述的數字,例如在所述數字+/- 10%的範圍內。舉例而言,本技術領域中具有通常知識者已知關於沉積一個厚度為「約5 nm」的材料層的製程容許度為+/- 15%,則可涵蓋4.25 nm至5.75 nm的尺寸範圍。更進一步來說,本發明實施例在各種範例中可能重複作為元件符號的參考數字及/或字母。此重複是為了簡化和清楚的目的,並非在討論的各種實施例及/或配置之間指定其關係。
在積體電路設計中,可以將複數個裝置組合在一起成為一單元(cell)或一標準單元(standard cell),以施行特定的電路功能。這樣的一單元或一標準單元可以施行邏輯操作,例如「反及」(NAND)、「及」(AND)、「或」 (OR)、「反或」(NOR)或變流器(inverter)或是作為一記憶體單元,例如一靜態隨機存取記憶體(static random access memory;SRAM)單元。與一單元互連所需要的金屬線的數量,是決定例如一單元高度(cell height)等的此單元的尺寸的一因子。用以縮減上述單元高度的一種方式,是施行局部互連結構以重新安置接觸導孔(contact vias),藉此整併接觸導孔對金屬線的連接。在一項現存技術中,可以形成一伸長的源極/汲極接觸件,而使一接觸導孔可以耦接於相距較遠的金屬線。接觸導孔的重新安置得以削減一或多個金屬線並縮減單元高度。這項技術並非不存在挑戰。例如,上述伸長的源極/汲極接觸件可能會延伸至閘極結構的旁邊,導致寄生電容(舉例而言:閘極對汲極(gate-to-drain)的電容)的增加及不需要的環形振盪器(ring oscillator;RO)的表現。
本發明實施例提供跨越超過一個主動區的一源極/汲極接觸件,例如一鰭式場效電晶體的一鰭狀物元件,而不會增加寄生電容。本發明實施例的源極/汲極接觸件包括一第一部分與一第二部分,其中上述第一部分耦接於一第一源極/汲極部件,上述第二部分懸於或「飛越」鄰近上述第一源極/汲極部件的一第二源極/汲極部件的上方。上述第二部分是藉由一介電部件而與上述第二源極/汲極部件隔開。上述第二部分的輪廓及上述介電部件的存在減少與鄰近的閘極結構重疊的面積,藉此減少寄生電容。
目前,將會參考圖式而針對本發明實施例的各種態樣作更詳細的說明。在這方面,第1圖一流程圖,繪示根據本發明實施例的實施形態從一工件形成一半導體結構的一方法100。方法100僅為一範例而無將本發明實施例限縮為方法100中具體繪示的內容之意圖。可以在方法100之前、期間與之後提供附加的步驟,而可以將一些所敘述的步驟替換、削減或移動順序而用於此方法的額外的實施例。為了簡潔的理由,本文不會針對所有步驟作詳細說明。以下說明的方法100與第2圖有關,第2圖繪示一工件200的局部的俯視圖,同時第3至22圖為根據第1圖中的方法100的實施例的在製造的不同階段的工件200的局部剖面圖。由於即將把工件200製造成為一半導體裝置,隨著敘述上的需要,後文可能會將工件200稱作是一半導體裝置200。為了釋疑,在第2至22圖中的X、Y與Z方向是彼此正交。在本文整篇說明中,除非另有除外的說明,類似的元件符號代表類似的部件。
請參考第1、2、3與4圖,方法100包括一區塊102,其中接收一工件200。第2圖繪示工件200的一局部的俯視圖。第3圖繪示沿著線A-A’的工件200的一局部剖面圖,而第4圖繪示沿著線B-B’的工件200的一局部剖面圖。如第2與4圖所示,工件200在一基底202的上方包括一第一主動區204與一第二主動區204’。基底202可以是一半導體基底,例如為矽(Si)基底。基底202可以包含各種層,包含形成於一半導體基底上的導電層或絕緣層。取決於本發明所屬技術領域已知的設計需求,基底202可包含各種摻雜配置。基底202也可以包含其他半導體,例如鍺(Ge)、碳化矽(SiC)、矽鍺(SiGe)或鑽石。替代性地,基底202可以包含一化合物半導體及/或一合金半導體。再者,在一些實施例中,基底202可以包含一磊晶層(epitaxial layer;epi-layer),基底202可以為了增強效能而受到應變,基底202可以包含一絕緣層上覆矽(silicon-on-insulator;SOI)結構及/或基底202可具有其他合適的增強部件。
第一主動區204與第二主動區204’,在多橋通道電晶體的情況可以包括複數個通道構件的一垂直堆疊物,或是在鰭式場效電晶體的情況可以包括一鰭狀物結構(舉例而言:一鰭狀物或一鰭狀物元件)。在所描述的實施例中,每個第一主動區204與第二主動區204’各為一鰭狀物結構且半導體裝置200可以包括鰭式場效電晶體。第一主動區204與第二主動區204’可以包括矽(Si)或其他元素半導體,例如鍺(Ge);一化合物半導體,包括碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)及/或銻化銦(InSb);一合金半導體,例如矽鍺(silicon germanium;SiGe)、磷化砷化鎵(gallium arsenic phosphorus;GaAsP)、砷化鋁銦(aluminum indium arsenic;AlInAs)、砷化鋁鎵(aluminum gallium arsenic;AlGaAs)、砷化銦鎵(indium gallium arsenic ;InGaAs)、磷化鎵銦(gallium indium phosphorus;GaInP)及/或磷化砷化鎵銦(gallium indium arsenic phosphorus;GaInAsP);或上述之組合。如第2與3圖所示,第一主動區204與第二主動區204’ 沿著X方向縱向延伸。第一主動區204與第二主動區204’可以透過合適的製程來製造,合適的製程包含光學微影製程和蝕刻製程。上述光學微影製程可以包括:在基底202上方形成一光阻層;將上述光阻層曝光於從一光罩反射或穿過一光罩而行進的輻射;進行曝光後烘烤製程;以及將上述光阻層顯影,以形成包含上述光阻層的一遮罩元件。在一些實施例中,將上述光阻層圖形化而形成上述遮罩元件,可以使用一電子束(electron beam;e-beam)微影製程來進行。然後,可以使用上述遮罩元件來保護基底202的一些區域,而此時一蝕刻製程在基底202中形成複數個凹部,藉此形成第一主動區204與第二主動區204’。 可使用一乾式蝕刻(舉例而言:化學氧化物移除)、一濕式蝕刻及/或其他合適的製程來蝕刻出上述凹部。亦可使用許多其他方法實施例,以在基底202上形成主動區(例如,第一主動區204與第二主動區204’)。藉由一隔離部件203,將主動區彼此分離。亦可以將隔離部件203稱為淺溝槽隔離(shallow trench isolation;STI)部件,隔離部件203可以包括氧化矽、氮化矽、氮氧化矽、摻氟的矽酸鹽玻璃(fluorine-doped silicate glass;FSG)、一低介電常數介電質、上述之組合及/或其他適當的材料。
請參考第3圖,每個第一主動區204與第二主動區204’各包括一通道區204C,一閘極結構208包裹在通道區204C的上方。第一主動區204包括一源極/汲極區204SD,一第一源極/汲極部件220-1形成在源極/汲極區204SD的上方。第二主動區204’包括一源極/汲極區204SD,一第四源極/汲極部件220-4形成在源極/汲極區204SD的上方。一閘極間隔物210襯墊著閘極結構208的側壁。閘極間隔物210將閘極結構208分離自第一源極/汲極部件220-1及第四源極/汲極部件220-4。閘極結構208包裹於第一主動區204的通道區204C的上方以及第二主動區204’的通道區204C的上方。如第2圖所繪示,閘極結構208沿著Y方向縱向延伸,Y方向正交於X方向。儘管未明確顯示於第2圖,閘極結構208包括一界面層、一閘極介電層、一或多個功函數層與一金屬填充層。在一些實施例中,上述界面層可以包括一介電材料,例如氧化矽或氧化矽鉿(silicon hafnium oxide)。上述閘極介電層是以一高介電常數(舉例而言:介電常數大於約3.9)介電材料形成,上述高介電常數介電材料可以包括氧化鉿(HfO)、氧化鈦(TiO 2)、氧化鉿鋯(hafnium zirconium oxide;HfZrO)、氧化鉭(tantalum oxide;Ta 2O 5)、矽酸鉿(HfSiO 4)、氧化鋯(ZrO 2)、氧化矽鋯(zirconium silicon oxide;ZrSiO 2)、氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鋯(ZrO)、氧化釔(Y 2O 3)、SrTiO 3(STO)、BaTiO 3(BTO)、BaZrO、氧化鉿鑭(hafnium lanthanum oxide;HfLaO)、氧化鑭矽(lanthanum silicon oxide;LaSiO)、氧化鋁矽(aluminum silicon oxide ;AlSiO)、氧化鉿鉭(hafnium tantalum oxide;HfTaO)、氧化鉿鈦(hafnium titanium oxide;HfTiO)、(Ba,Sr)TiO 3(BST)、氮化矽(SiN)、氮氧化矽(SiON)上述之組合或其他合適的材料。上述一或多個功函數層可以包括n型功函數層和p型功函數層。例示的n型功函數層可以以鋁、鋁化鈦(titanium aluminide)、碳化鈦鋁(titanium aluminum carbide)、碳化鉭矽(tantalum silicon carbide) 、鉭矽鋁(tantalum silicon aluminum)、碳化鉭矽(tantalum silicon carbide)、矽化鉭(tantalum silicide)或碳化鉿(hafnium carbide)形成。例示的p型功函數層可以以氮化鈦(titanium nitride)、氮化鈦矽(titanium silicon nitride)、氮化鉭(tantalum nitride)、氮碳化鎢(tungsten carbonitride)或鉬形成。上述金屬填充層可以以金屬形成,例如鎢(W)、釕(Ru)、鈷(Co)、鎳(Ni)或銅(Cu)。由於上述閘極介電層是以高介電常數介電材料形成且閘極結構208使用金屬,因此亦可以將閘極結構208稱為高k金屬閘極結構或金屬閘極結構。
如第2圖所示,工件200可以包括複數個閘極結構208,其沿著Y方向縱向延伸。每個閘極結構208包括沿著X方向的一第一寬度W1,並藉由一第一間隔S1與一鄰近的閘極結構隔開。閘極結構208以一第一截距(pitch)P1設置。在一些實施例中,第一寬度W1是在約5 nm與約80 nm之間,第一間隔S1是在約10 nm與約200 nm之間,而第一截距P1是在約15 nm與約280 nm之間。選擇第一寬度W1、第一間隔S1與第一截距P1的範圍,以在考慮光學微影製程的限制及製造成本之下,將裝置尺寸最小化。在第2圖中表示的一些實施例中,第一主動區204與第二主動區204’可以具有類似或不同的沿著Y方向的寬度。在所描繪的實施例中,第一主動區204具有一第三寬度W3而第二主動區204’具有一第四寬度W4,第四寬度W4大於第三寬度W3。第二主動區204’的較寬的寬度得以使在第二主動區204’的上方的一電晶體具有一較大的通路狀態(On-state)電流,而第一主動區204的較小寬度得以使在第一主動區204的上方的一電晶體具有一較小的漏電流。在一實施例中,工件200是用於製造一靜態隨機存取記憶體(static random access memory;SRAM)裝置,第一主動區204是用於形成一p型電晶體,而第二主動區204’是用來形成一n型電晶體。在一些範例中,第三寬度W3是在約5 nm與約100 nm之間,而第四寬度W4是在約5 nm與約100 nm之間。在所描述的實施例中,第一主動區204與第二主動區204’可以藉由一第二間隔S2而分離,且可以以一第二截距P2設置。在一些實施例中,第二間隔S2可以在約20 nm與約200 nm之間,而第二截距P2可以在約25 nm與約300 nm之間。選擇第三寬度W3、第四寬度W4、第二間隔S2與第二截距P2的範圍,以在考慮光學微影製程的限制及製造成本之下,將裝置尺寸最小化。第一寬度W1、第一間隔S1、第一截距P1、第三寬度W3、第四寬度W4、第二間隔S2與第二截距P2的範圍可以列示得較寬,這是因為在工件200上製造的半導體裝置可以是小且密集堆積的邏輯裝置、密集堆積的記憶體裝置、相對較大的靜電放電(electrostatic discharge;ESD)裝置或相對較大的輸入/輸出(input/output;I/O)裝置。
示於第2與3圖的閘極間隔物210可以為一單一層或多層。用於閘極間隔物210的例示材料包括氧化矽、氮化矽、碳化矽、氮氧化矽、氮碳化矽(silicon carbonitride)、碳氧化矽(silicon oxycarbide)、氮碳氧化矽(silicon oxycarbonitride)及/或上述之組合。在一例子中,閘極間隔物210是以氮化矽形成。如第3圖所示,當沿著Y方向觀看時,每個閘極結構208的側壁是被閘極間隔物210所襯墊著,而使每個閘極結構208夾置於二個閘極間隔物210之間。每個閘極結構208及夾置其的閘極間隔物210是被一自對準蓋(self-aligned capping;SAC)層214所覆蓋。可以以以下形成自對準蓋層214:矽化鉿、碳氧化矽、氧化鋁、矽化鋯、氮氧化鋁、氧化鋯、氧化鉿、氧化鈦、氧化鋯鋁(zirconium aluminum oxide)、氧化鋅、氧化鉭、氧化鑭、氧化釔、氮碳化鉭(tantalum carbonitride)、氮化矽、氮碳氧化矽、碳化矽、氮化鋯或氮碳化矽。在一實施例中,自對準蓋層214是以氮化矽所形成。
示於第2至4圖的源極/汲極部件,包括第一源極/汲極部件220-1、一第二源極/汲極部件220-2、一第三源極/汲極部件220-3與第四源極/汲極部件220-4,可以磊晶成長於例如第一主動區204與第二主動區204’等的主動區的源極/汲極區204SD的上方。取決於裝置類型與設計需求,本發明實施例的上述源極/汲極部件可以是n型或p型。例如,n型源極/汲極部件包括以一n型摻雜物摻雜的矽(Si),上述n型摻雜物例如為磷(P)或砷(As);而p型源極/汲極部件包括以一p型摻雜物摻雜的矽鍺(SiGe),上述p型摻雜物例如為硼(B)、二氟化硼(boron difluoride;BF 2)或鎵(Ga)。如第3圖所示,第一源極/汲極部件220-1、第二源極/汲極部件220-2與第三源極/汲極部件220-3是置於第一主動區204的源極/汲極區204SD的上方。如第2與4圖所示,第四源極/汲極部件220-4是置於第二主動區204’的源極/汲極區204SD的上方。在第2與4圖表示的一些實施例中,第一主動區204與第二主動區204’可以具有沿著Y方向的不同寬度,且其結果造成第一源極/汲極部件220-1與第四源極/汲極部件220-4的寬度不同。在所描述的實施例中,可以在較窄的第一主動區204的上方形成一p型鰭式場效電晶體,且可以在較寬的第二主動區204’的上方形成一n型鰭式場效電晶體,以增加n型鰭式場效電晶體的驅動電流。在本實施例中,沿著Y方向,第四源極/汲極部件220-4比第一源極/汲極部件220-1還寬。
請參考第2與4圖,可以將一介電鰭狀物230置於第一主動區204與第二主動區204’之間。介電鰭狀物230亦是置於第一源極/汲極部件220-1與第四源極/汲極部件220-4之間。介電鰭狀物230的功能之一,是避免第一源極/汲極部件220-1與第四源極/汲極部件220-4在其磊晶成長的期間合併。在第4圖所表示的一些實施例中,介電鰭狀物230可以包括一第一層232與一第二層234,第二層234在第一層232的上方。第一層232與第二層234可以具有不同組成。在一些範例中,第一層232可以包括氧化矽、氮碳氧化矽或氮碳化矽,而第二層234可以包括氮化矽、氧化鋁、氧化鋯、氧化鉿、金屬氧化物或一適當的介電材料。第二層234的介電常數可以大於第一層232的介電常數。如第4圖所示,沿著Z方向,介電鰭狀物230的頂表面高於第一源極/汲極部件220-1的頂表面及第四源極/汲極部件220-4的頂表面。在一些實施例中,介電鰭狀物230可以具有一第五寬度W5,其在約5 nm與約100 nm之間。介電鰭狀物230的第五寬度W5大幅度地依存於介電鰭狀物230所展開的區域。當在一密集堆積的邏輯裝置區域或記憶體裝置區域實現時,介電鰭狀物230可以具有相對較小的寬度。當在一靜電放電裝置區域或一輸入/輸出裝置區域實現時,介電鰭狀物230可以具有相對較大的寬度。
工件200還包括一接觸蝕刻停止層(contact etch stop layer;CESL)216,在上述源極/汲極部件(包括第一源極/汲極部件220-1、第二源極/汲極部件220-2、第三源極/汲極部件220-3與第四源極/汲極部件220-4)的上方;一第一層間介電(interlayer dielectric;ILD)層218,在接觸蝕刻停止層216的上方;以及一第二層間介電層222,在第一層間介電層218的上方。如第3圖所示,接觸蝕刻停止層216是與上述源極/汲極部件(包括第一源極/汲極部件220-1、第二源極/汲極部件220-2、第三源極/汲極部件220-3與第四源極/汲極部件220-4)的頂表面、閘極間隔物210的側壁以及自對準蓋層214的側壁接觸。藉由接觸蝕刻停止層216,將第一層間介電層218分離於上述源極/汲極部件(包括第一源極/汲極部件220-1、第二源極/汲極部件220-2、第三源極/汲極部件220-3與第四源極/汲極部件220-4)、閘極間隔物210以及自對準蓋層214。接觸蝕刻停止層216可以包括一含氮的介電材料。在一些實例中,接觸蝕刻停止層216可以包括氮化矽或氮碳化矽。第一層間介電層218與第二層間介電層222可以包括氧化矽或一低介電常數材料,上述低介電常數材料所具有的k值(介電常數)小於氧化矽的介電常數——約3.9。在一些例子中,上述低介電常數材料包括一多孔質有機矽酸鹽薄膜,(例如SiOCH)、四乙基正矽酸鹽(tetraethylorthosilicate;TEOS)氧化物、未摻雜的矽酸鹽玻璃(un-doped silicate glass)、摻雜的氧化矽(例如硼磷矽酸鹽玻璃(borophosphosilicate glass;BPSG)、熔融氧化矽玻璃(fused silica glass;FSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、氟摻雜二氧化矽(fluorine-doped silicon dioxide)、碳摻雜二氧化矽(carbon-doped silicon dioxide)、多孔質二氧化矽、多孔質碳摻雜二氧化矽)、氮化矽碳(SiCN)、氮碳氧化矽(SiOCN)、旋塗矽基聚合物介電質或上述之組合。
為了提供即將形成的源極/汲極接觸件的分隔,工件200可以亦包括複數個接觸件切割部件224。如第3與4圖所示,每個接觸件切割部件224抬升至高於自對準蓋層214的頂表面。接觸件切割部件224可以包括一組成,其不同於第一層間介電層218的組成及第二層間介電層222的組成,而得以進行第一層間介電層218與第二層間介電層222的選擇性蝕刻。在一些實施例中,接觸件切割部件224可以包括氮化矽、氮氧化矽、碳氧化矽、氮碳氧化矽、碳化矽、氧化鋁、氧化鉿或上述之組合。在第3圖中所表示的一些實施例中,接觸件切割部件224的一部分可以延伸至自對準蓋層214的頂表面的上方。可以使用原子層沉積(atomic layer deposition;ALD)或流動式化學氣相沉積(flowable chemical vapor deposition;FCVD)來沉積接觸件切割部件224。在使用原子層沉積來形成接觸件切割部件224的一些實作中,一接觸件切割部件224可能包括一縫隙226,其原因在於,介電材料在縫隙226的上方過早合併。儘管如第3圖所示為顯示在一平坦化製程後,縫隙226呈現被密封的狀態,但是縫隙226可能在一平坦化之後開口,後接第二層間介電層222的沉積。在一些實例中,接觸件切割部件224可以不具縫隙。接觸件切割部件224亦顯示於第2圖並可以具有沿著X方向的一第二寬度W2。要注意的是,儘管在第2圖的接觸件切割部件224顯示其與鄰近且沿著二個鄰近的閘極結構208的設置的二個閘極間隔物210相接,但是每個接觸件切割部件224的頂部可能如第3圖所示而跨越閘極間隔物210與自對準蓋層214。在一些實例中,第二寬度W2可以在約10 nm與約190 nm之間。如第2與3圖所示,每個接觸件切割部件224沿著Y方向縱向延伸,與閘極結構208平行。根據本發明實施例,接觸件切割部件224的頂表面是與第二層間介電層222共平面,並高於自對準蓋層214的頂表面,以確保接觸件切割部件224發揮將源極/汲極接觸件分離成各部的功能。若是沒有接觸件切割部件224,沉積在源極/汲極部件的上方的源極/汲極接觸件可能會沿著Y方向連續延伸,結果造成在設計觀點不需要的連接。
請參考第1、5與6圖,方法100包括一區塊104,其中移除第一層間介電層218與第二層間介電層222,以暴露出上述源極/汲極部件。在一些實施例中,使用一圖形化的光阻層作為一蝕刻遮罩,對工件200進行乾式蝕刻,以蝕刻第一層間介電層218與第二層間介電層222來形成一接觸開口228。在區塊104的一例示的乾式蝕刻製程可以施用氧、一含氧氣體、氫、一含氟氣體(舉例而言:CF 4、SF 6、NF 3、BF 3、CH 2F 2、CHF 3、CH 3F、C 4H 8、C 4F 6及/或C 2F 6)、一含碳氣體(舉例而言:CO、CH 4及/或C 3H 8)、一含氯氣體(舉例而言:Cl 2、CHCl 3、CCl 4及/或BCl 3)、一含溴氣體(舉例而言:HBr及/或CHBr 3)、一含碘氣體、其他適當的氣體及/或電漿及/或上述之組合。如第5與6圖所示,在區塊104的上述蝕刻製程可以對第一層間介電層218與第二層間介電層222有選擇性,而以一較低的速率蝕刻上述源極/汲極部件(包括第一源極/汲極部件220-1、第二源極/汲極部件220-2、第三源極/汲極部件220-3與第四源極/汲極部件220-4)、接觸件切割部件224及介電鰭狀物230。在區塊104的操作的終結,第一源極/汲極部件220-1的一部分、第四源極/汲極部件220-4的一部分與介電鰭狀物230曝露於接觸開口228中。在第6圖所繪示的一些實作中,亦移除接觸蝕刻停止層216在第一源極/汲極部件220-1的上方及第四源極/汲極部件220-4的上方的部分。
請參考第1、7、8、9與10圖,方法100包括一區塊106,其中形成一圖形化的光阻層2400。在一例示的製程中,可以在工件200的上方沉積一光阻層238。光阻層238可以是一單一層或多層。在第7與8圖所表示的實施例中,光阻層238是三層結構,並可以包括一底層240、一中間層242以及一頂層244,其中中間層242在底層240的上方,頂層244在中間層242的上方。在一實施例中,底層240可以是一富碳的聚合物層,其包括碳(C)、氫(H)及氧;中間層242可以是一富矽的聚合物層,其包括矽(Si)、碳(C)、氫(H)及氧(O);而頂層244可以是光敏性的聚合物層,其包括碳(C)、氫(H)及氧(O)以及一光敏性成分。為了將光阻層238圖形化,先將頂層244曝光於從一光罩反射或穿過一光罩而行進的輻射;再對頂層244進行曝光後烘烤製程;在一顯影製程將頂層244顯影;以及清洗頂層244。藉此,將光罩的圖形轉移至頂層244,以形成一圖形化的頂層244,圖形化的頂層244包括一開口246在第一源極/汲極部件220-1的上方,如第7與8圖所示。根據本發明實施例,開口246是在第一源極/汲極部件220-1的正上方,且開口246所具有的投影面積大於第一源極/汲極部件220-1。亦即,開口246垂直重疊於接觸件切割部件224、介電鰭狀物230及自對準蓋層214的部分,覆於鄰近的閘極結構208的上方。儘管描繪於第7圖的開口246僅在一個第一源極/汲極部件220-1的上方,開口246可以沿著X方向延伸於多個源極/汲極部件的上方並可以具有一伸長的形狀。在一些實施例中,開口246包括沿著X方向的一第六寬度W6(示於第7圖)以及沿著Y方向的一第七寬度W7(示於第8圖)。第六寬度W6大於第一源極/汲極部件220-1的X方向的尺寸,而第七寬度W7大於第一源極/汲極部件220-1的Y方向的尺寸。在一些實例中,第六寬度W6可以在約20 nm與約10 μm (舉例而言: 10000 nm) 之間,而第七寬度W7可以在約15 nm與約300 nm之間。請參考第9與10圖,使用圖形化的頂層244作為一蝕刻遮罩,來蝕刻中間層242與底層240,以形成一圖形化的光阻層2400。圖形化的光阻層2400包括一通過開口(access opening)2460而暴露出第一源極/汲極部件220-1。在所描述的實施例中,通過開口2460可以具有一漸細的側輪廓,而使通過開口2460具有一頂開口與一底開口,上述頂開口(具有第七寬度W7)寬於鄰近第一源極/汲極部件220-1的上述底開口。在一些實例中,通過開口2460的特徵在於一錐角(tapering angle)θ,其在約0° 與約30°之間。如第10圖所示,第二源極/汲極部件220-2與第四源極/汲極部件220-4仍維持被圖形化的光阻層2400覆蓋。
請參考第1、11與12圖,方法100包括一區塊108,其中在通過開口2460形成一介電部件248。使用化學氣相沉積、流動式化學氣相沉積或原子層沉積,先在通過開口2460形成一介電材料。上述介電材料可以包括氧化矽、氮化矽、氮氧化矽、碳氧化矽、氮碳氧化矽或上述之組合。在一實施例中,用於介電部件248的上述介電材料包括氧化矽。然後,將已沉積的上述介電材料回蝕刻,以形成介電部件248。如第12圖所示,儘管介電部件248的一頂表面低於接觸件切割部件224的一頂表面,其可以高於介電鰭狀物230的一頂表面。在亦示於第12圖的一些替代性的實施例中,介電部件248可以具有一替代的頂表面248’,其低於介電鰭狀物230的頂表面。如第11圖所示,當沿著Y方向觀看時,介電部件248是置於二個閘極間隔物210之間且至少部分地置於第一源極/汲極部件220-1上。請參考第12圖,當沿著X方向觀看時,介電部件248看起來直接接觸一鄰近的接觸件切割部件224並沿著將第一源極/汲極部件220-1與第四源極/汲極部件220-4分離的介電鰭狀物230的側壁延伸。在所描述的實施例中,介電部件248落在隔離部件203及第一源極/汲極部件220-1二者之上。介電部件248具有一第一高度H1,從第一源極/汲極部件220-1的一頂表面起測量。上述介電部件的一頂表面低於介電部件248的一頂表面,得以使源極/汲極接觸部件在介電部件248的上方延伸。在一些實施例中,第一高度H1可以在約5 nm與約50 nm之間。接觸件切割部件224的頂表面高於介電部件248的頂表面,差值約5 nm與約65 nm之間。從第一源極/汲極部件220-1的一頂表面起測量,接觸件切割部件224的高度可以在約10 nm與約70 nm之間。
在形成介電部件248之後,藉由蝕刻、灰化(ashing)或一適當的方法,移除圖形化的光阻層2400,如第13與14圖所示。圖形化的光阻層2400的移除而留下一接觸開口249,接觸開口249暴露出第四源極/汲極部件220-4。當沿著X方向觀看時,接觸開口249是定義於二個接觸件切割部件224之間,此二個接觸件切割部件224中的一個鄰近第一源極/汲極部件220-1、另一個鄰近第四源極/汲極部件220-4。如第14圖所示,介電部件248與介電鰭狀物230暴露於接觸開口249中且形成接觸開口249的形狀。介電部件248的輪廓一般是遵循示於第10圖的通過開口2460的漸細的側輪廓。其結果,介電部件248可以包括一邊緣部2480,邊緣部2480輕微地懸於介電鰭狀物230的上方。依存於上述錐角與第七寬度W7,當介電部件248的頂表面高於介電鰭狀物230的頂表面時,邊緣部2480可以懸於介電鰭狀物230的上方,其值為約0 nm 至約100 nm。
請參考第1、15與16圖,方法100包括一區塊110,其中沿著一接觸開口249的側壁而形成一襯墊250。在一例示的製程中,在工件200的上方共形地(conformally)沉積一襯墊材料。上述襯墊材料可以包括氮化矽(SiN)或一適當的含氮的介電材料。其後,將上述已沉積的襯墊材料回蝕刻,移除在頂表面上的上述襯墊材料,以沿著接觸開口249的側壁形成襯墊250,包括沿著介電鰭狀物230的側壁、沿著介電部件248的側壁及沿著接觸件切割部件224的側壁。
請參考第1、17與18圖,方法100包括一區塊112,其中在暴露的第二源極/汲極部件220-2的上方形成矽化物部件253。在一例示的製程中,在接觸開口249的上方,包括在第四源極/汲極部件220-4的上方以及在襯墊250的上方,沉積一金屬前驅物(metal precursor)層252。在一些實例中,是使用物理氣相沉積(physical vapor deposition;PVD)、化學氣相沉積或原子層沉積來沉積金屬前驅物層252。金屬前驅物層252可以包括鎳(Ni)、鈷(Co)、鉭(Ta)或鈦(Ti)。然後,將工件200退火,以在第四源極/汲極部件220-4中的矽與金屬前驅物層252之間引發矽化反應。上述矽化反應的結果得到在第四源極/汲極部件220-4上的矽化物部件253。在一些例子中,矽化物部件253可以包括矽化鎳、矽化鈷、矽化鉭或矽化鈦。矽化物部件253可以減少第四源極/汲極部件220-4與即將沉積在矽化物部件253的上方的一金屬填充層254(示於第19圖)之間的接觸電阻。在一實施例中,是以矽化鈦形成矽化物部件253。
請參考第1、19與20圖,方法100包括一區塊114,其中在矽化物部件253的上方以及在介電部件248的上方沉積一金屬填充層254。在一些實施例中,在區塊114,金屬填充層254是與矽化物部件253直接接觸,並經由矽化物部件253而與第四源極/汲極部件220-4電氣通信(electrical communication)。在一些實例中,金屬填充層254可以包括鎢(W)、釕(Ru)、鈷(Co)、銅(Cu)、鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、鉬(Mo)或鎳(Ni),且可以藉由物理氣相沉積或一適當的沉積方法而沉積。如第19圖所示,金屬填充層254可以沉積在自對準蓋層214的上方以及接觸件切割部件224的上方。請參考第20圖,金屬填充層254是藉由介電部件248而與第一源極/汲極部件220-1隔開。
請參考第1、21與22圖,方法100包括一區塊116,其中將工件200平坦化。在區塊116,將金屬填充層254平坦化,直到自對準蓋層214與接觸件切割部件224暴露在工件200的一頂部平坦表面上。如第21與22圖所示,上述平坦化移除金屬填充層254的連接部分,並得以使接觸件切割部件224與自對準蓋層214將金屬填充層254分割成數個分離的接觸部件。例如,在區塊116的平坦化之後,在第一源極/汲極部件220-1的上方及第四源極/汲極部件220-4的上方形成一第一源極/汲極接觸件2542,而在第二源極/汲極部件220-2的上方形成一第二源極/汲極接觸件2544。請參考第22圖,沿著Y方向且在二個接觸件切割部件224之間,第一源極/汲極接觸件2542包括一第一部分2542A與一第二部分2542B。第一部分2542A懸於第一源極/汲極部件220-1的上方,而第二部分2542B經由矽化物部件253而電性耦合於第四源極/汲極部件220-4。換句話說,第一源極/汲極接觸件2542跨越第一源極/汲極部件220-1與第四源極/汲極部件220-4,而第一部分2542A「飛越」第一源極/汲極部件220-1的上方。第一部分2542A是藉由介電部件248而與第一源極/汲極部件220-1隔開。第一部分2542A與第二部分2542B之間的界線大致上落在介電鰭狀物230的一邊緣的上方,如圖中的虛線所指示。第一部分2542A亦在介電鰭狀物230的上方延伸。簡單地參考第21圖,在區塊116的操作亦可以形成第二源極/汲極接觸件2544,其經由置於第二源極/汲極部件220-2上的矽化物部件253而電性耦合於第二源極/汲極部件220-2。如前文所述,如第21圖所示,上述平坦化可以暴露出接觸件切割部件224中的縫隙226。
仍請參考第22圖,第一源極/汲極接觸件2542遵循在第一源極/汲極部件220-1的上方以及第四源極/汲極部件220-4的上方的形狀。第一部分2542A包括一第一厚度T1與一第二厚度T2,其中第一厚度T1從介電部件248的一頂表面起測量,而第二厚度T2從介電鰭狀物230的一頂表面起測量。第二部分2542B包括一第三厚度T3,從矽化物部件253的一頂表面起測量。第三厚度T3大於第一厚度T1或第二厚度T2。在一些實施例中,第一厚度T1可以在約5 nm 與約65 nm之間,第二厚度T2可以在約5 nm 與約65 nm之間,而第三厚度T3可以在約10 nm 與約70 nm之間。根據本發明實施例,第一部分2542A的第一厚度T1小於第二部分2542B的第三厚度T3,而可以減少在第一源極/汲極接觸件2542與鄰近的閘極結構208之間的寄生電容。為了值得實現方法100,第一厚度T1對比於第三厚度T3的比值應為約0.1與約0.7之間。如果第一厚度T1對比於第三厚度T3的比值大於0.7,則結果的寄生電容減少可能不足以值得施行方法100中的各個操作相關的額外時間及成本。如果第一厚度T1對比於第三厚度T3的比值小於0.1,薄的第一部分2542A的電阻可能會變得過高而衝擊到效能。當第一部分2542A沿著Y方向伸長時,此情況會較為顯著。
請參考第1圖,方法100包括一區塊1108,其中施行其他製程。這樣的其他製程可以包括在源極/汲極接觸件(例如,第一源極/汲極接觸件2542與第二源極/汲極接觸件2544)的上方形成接觸導孔、形成閘極接觸件以及在工件200的上方形成一互連結構。上述互連結構包括複數個金屬層,其嵌於複數個金屬間介電(intermetal dielectric;IMD)層中。每個複數個金屬層包括複數個金屬線與複數個接觸導孔。上述互連結構功能性地連接上述閘極接觸件與上述源極/汲極接觸件(例如,第一源極/汲極接觸件2542與第二源極/汲極接觸件2544),並得以使半導體裝置200呈現其所欲的功能。
本發明實施例的實施形態提供許多效益。例如,本發明實施例的上述源極/汲極接觸件得以減少金屬線的數量。第23圖繪示一第一半導體結構300。第一半導體結構300包括一第一主動區204與一第二主動區204’。一標準的源極/汲極接觸件400與一第三源極/汲極接觸件2546耦接於第二主動區204’的上方的不同的源極/汲極部件。第二源極/汲極接觸件2544耦接於第一主動區204的上方的一源極/汲極部件。由於標準的源極/汲極接觸件400未短路於上述第三源極/汲極部件,其未電性耦接於相同的金屬線。如第23圖所示,標準的源極/汲極接觸件400是經由一第一接觸導孔262而電性耦接於一第二金屬線274,第三源極/汲極接觸件2546是經由一第三接觸導孔266而電性耦接於一第三金屬線276,而第二源極/汲極接觸件2544是經由一第二接觸導孔264而電性耦接於一第一金屬線272。需要在第一主動區204與第二主動區204’之間的一第一間隔S1,以能夠容納三條金屬線(舉例而言:第一金屬線272、第二金屬線274與第三金屬線276)。第24圖顯示一第二半導體結構302。與第23圖中的第一半導體結構300不同,第二半導體結構302包括本發明實施例的第一源極/汲極接觸件2542,而不是標準的源極/汲極接觸件400。第一部分2542A提供將第一源極/汲極接觸件2542向第一主動區204延伸,並將第一接觸導孔262重新安置。上述重新安置得以使第一接觸導孔262耦合於第一金屬線272。此一重新安置亦得以削減第二金屬線274(以虛線表示)。第二金屬線274的削減減少了第一主動區204與第二主動區204’之間的一第二間隔S2。亦即,在第24圖中的第二間隔S2小於在第23圖中的第一間隔S1。關於具有一單元高度(沿著上述閘極結構的縱長方向)與一單位寬度(沿著上述主動區的縱長方向)的一單元或一標準單元,可以將在主動區之間的間隔的減少轉變為對應的單元或標準單元的一單元高度的減少。觀察到本發明實施例的源極/汲極接觸件的實行,可以將上述單元高度對比於上述單元寬度的比值,降低至約1.1與約1.4之間的範圍,包括1.2與1.3之間。
舉另一個例子,本發明實施例的上述源極/汲極接觸件得以重新安置接觸導孔而不會附帶增加寄生電容。請參考第21圖,由於介電部件248的一頂表面高於鄰近的閘極結構208的頂表面,第一部分2542A並未沿著X方向而重疊於鄰近的閘極結構208。換句話說,第一部分2542A的一底表面高於鄰近的閘極結構208的頂表面。第25圖顯示第一部分2542A與鄰近的閘極結構208之間的空間上的關係。由於介電部件248的存在,第一部分2542A第一部分2542A與第一主動區204(或是在第一主動區204的上方的一源極/汲極接觸件)相隔的距離,大於鄰近的閘極結構208的高度。在第一部分2542A的下方的介電部件248(示於第21圖),減少了與鄰近的閘極結構208的重疊面積,藉此減少寄生電容。相較於與鄰近的閘極結構重疊的其他源極/汲極接觸件,本發明實施例的上述源極/汲極接觸件可以改善半導體裝置的環形振盪器的速度達約0.5%至約1%。
根據一實施例,因此,本發明實施例的實施形態之一是提供一種半導體結構。上述半導體結構包括:一第一鰭狀物結構與一第二鰭狀物結構,在一基底的上方;一第一源極/汲極部件,置於上述第一鰭狀物結構的上方;一第二源極/汲極部件,置於上述第二鰭狀物結構的上方;一介電部件,置於上述第一源極/汲極部件的上方;以及一接觸結構,形成在上述第一源極/汲極部件的上方及上述第二源極/汲極部件的上方。上述接觸結構電性耦接於上述第二源極/汲極部件,並藉由上述介電部件與上述第一源極/汲極部件分離。
在一些實施例中,上述半導體結構可以更包括:一介電鰭狀物,置於上述第一源極/汲極部件與上述第二源極/汲極部件之間且在上述基底的上方,其中上述介電部件沿著上述介電鰭狀物延伸。在一些實現例中,上述介電部件的一頂表面高於上述介電鰭狀物的一頂表面。在一些實例中,上述半導體結構可以更包括:一間隔物,置於上述介電鰭狀物的一側壁與上述接觸結構之間。在一些實施例中,上述間隔物包括氮化矽或氮氧化矽。在一些實現例中,上述半導體結構可以更包括:矽化物層,置於上述第二源極/汲極部件與上述接觸結構之間。在一些實施例中,上述接觸結構沿著從上述第一源極/汲極部件的上方至上述第二源極/汲極部件的上方的一方向縱向延伸,沿著上述方向,上述接觸結構是置於二個介電切斷(dielectric cut)部件之間。在一些實現例中,上述二個介電切斷部件的每個包括一縫隙。在一些實例中,上述半導體結構可以更包括:一閘極結構,包裹在上述第一鰭狀物結構的上方及上述第二鰭狀物結構的上方,上述介電部件的一頂表面高於上述閘極結構的一頂表面。在一些實例中,藉由一閘極間隔物將上述閘極結構與上述介電部件隔開。
在另一實施形態,提供一接觸結構。上述接觸結構包括:一第一源極/汲極部件與一第二源極/汲極部件;一介電鰭狀物,置於上述第一源極/汲極部件與上述第二源極/汲極部件之間;一介電部件,置於上述第一源極/汲極部件的上方並沿著上述介電鰭狀物的一側壁延伸;以及一接觸部件,包括一第一部分與一第二部分,上述第一部分置於上述介電部件的上方與上述介電鰭狀物的上方,上述第二部分電性耦接於上述第二源極/汲極部件。上述第一部分懸於上述第一源極/汲極部件的上方。
在一些實施例中,上述接觸結構可以更包括:一接觸導孔,置於上述第一部分上。在一些實現例中,上述介電鰭狀物包括一第一層與一第二層,上述第二層置於上述第一層的上方,上述第一層包括氧化矽,而上述第二層包括矽與氮。在一些實施例中,上述介電部件包括氧化矽。在一些實施例中,上述接觸結構可以更包括:一閘極結構,鄰近上述第一源極/汲極部件與上述第二源極/汲極部件,而上述第一部分的一底表面高於上述閘極結構的一頂表面。在一些實現例中,藉由一襯墊將上述第二部分與上述介電鰭狀物隔開。
根據又另一實施形態,提供一種方法。上述方法包括:接收一工件,上述工件包括:一第一鰭狀物結構與一第二鰭狀物結構,在一基底的上方;一閘極結構,包裹在上述第一鰭狀物結構的上方及上述第二鰭狀物結構的上方;一第一源極/汲極部件,在上述第一鰭狀物結構的上方;及一第二源極/汲極部件,在上述第二鰭狀物結構的上方。上述方法還包括:選擇性在上述第一源極/汲極部件的上方形成一介電部件;以及在上述選擇性形成之後,在上述第一源極/汲極部件的上方及上述第二源極/汲極部件的上方形成一接觸結構,而使上述接觸結構電性連接於上述第二源極/汲極部件並藉由上述介電部件而與上述第一源極/汲極部件分離。
在一些實施例中,上述選擇性形成包括:在上述第一源極/汲極部件的上方與上述第二源極/汲極部件的上方,形成一光阻層;將上述光阻層圖形化,以形成一圖形化的光阻層,上述圖形化的光阻層包括一開口以暴露上述第一源極/汲極部件;在上述開口沉積一介電材料;以及回蝕刻上述介電材料,以形成上述介電部件。在一些實例中,上述回蝕刻移除上述圖形化的光阻層。在一些實現例中,上述方法可以更包括:在形成上述接觸結構之前,沿著上述介電部件的側壁形成一襯墊。
前述內文概述了許多實施例的特徵,使所屬技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。所屬技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。所屬技術領域中具有通常知識者也應了解這些均等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100:方法 102,104,106,108,110:區塊 112,114,116,118:區塊 200:工件(半導體裝置) 202:基底 203:隔離部件 204:第一主動區 204’:第二主動區 204C:通道區 204SD:源極/汲極區 208:閘極結構 210:閘極間隔物 214:自對準蓋層 216:接觸蝕刻停止層 218:第一層間介電層 220-1:第一源極/汲極部件 220-2:第二源極/汲極部件 220-3:第三源極/汲極部件 220-4:第四源極/汲極部件 222:第二層間介電層 224:接觸件切割部件 226:縫隙 228:接觸開口 230:介電鰭狀物 232:第一層 234:第二層 238:光阻層 240:底層 242:中間層 244:頂層 246:開口 248:介電部件 248’:替代的頂表面 249:接觸開口 250:襯墊 252:金屬前驅物層 253:矽化物部件 254:金屬填充層 262:第一接觸導孔 264:第二接觸導孔 266:第三接觸導孔 272:第一金屬線 274:第二金屬線 276:第三金屬線 300:第一半導體結構 302:第二半導體結構 400:標準的源極/汲極接觸件 2400:圖形化的光阻層 2460:通過開口 2480:邊緣部 2542:第一源極/汲極接觸件 2542A:第一部分 2542B:第二部分 2544:第二源極/汲極接觸件 2546:第三源極/汲極接觸件 A-A’,B-B’:線 H1:第一高度 P1:第一截距 P2:第二截距 S1:第一間隔 S2:第二間隔 T1:第一厚度 T2:第二厚度 T3:第三厚度 W1:第一寬度 W2:第二寬度 W3:第三寬度 W4:第四寬度 W5:第五寬度 W6:第六寬度 W7:第七寬度 X,Y,Z:方向 θ:錐角
藉由以下的詳述配合閱讀所附圖式可更加理解本文揭露的內容。要強調的是,根據產業上的標準作業,各個部件(feature)並未按照比例繪製,且僅用於說明目的。事實上,為了能清楚地討論,可能任意地放大或縮小各個部件的尺寸。 第1圖是根據本發明實施例的一或多個態樣的一半導體結構的形成方法的流程圖。 第2圖是歷經根據本發明實施例的一或多個態樣且根據第1圖的方法製造的一工件的局部俯視圖。 第3圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第4圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第5圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第6圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第7圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第8圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第9圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第10圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第11圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第12圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第13圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第14圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第15圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第16圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第17圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第18圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第19圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第20圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第21圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第22圖是根據本發明實施例的一或多個態樣且根據第1圖的方法在各種階段製造的一工件的局部剖面圖。 第23圖是根據本發明實施例的一或多個態樣的包括一第一數量的金屬線的一例示的接觸結構的示意性的透視圖。 第24圖是根據本發明實施例的一或多個態樣的包括一第二數量的金屬線的一接觸結構的示意性的透視圖。 第25圖是根據本發明實施例的一或多個態樣的一接觸結構的示意性的透視圖。
200:工件(半導體裝置)
202:基底
204:第一主動區
204C:通道區
204SD:源極/汲極區
208:閘極結構
210:閘極間隔物
214:自對準蓋層
220-1:第一源極/汲極部件
220-2:第二源極/汲極部件
220-3:第三源極/汲極部件
224:接觸件切割部件
226:縫隙
248:介電部件
250:襯墊
253:矽化物部件
2542A:第一部分
2544:第二源極/汲極接觸件
X,Y,Z:方向

Claims (15)

  1. 一種半導體裝置,包括:一第一鰭狀物結構與一第二鰭狀物結構,在一基底的上方;一第一源極/汲極部件,置於該第一鰭狀物結構的上方;一第二源極/汲極部件,置於該第二鰭狀物結構的上方;一介電部件,置於該第一源極/汲極部件的上方;以及一接觸結構,形成在該第一源極/汲極部件的上方及該第二源極/汲極部件的上方;其中該接觸結構電性耦接於該第二源極/汲極部件,並藉由該介電部件與該第一源極/汲極部件分離。
  2. 如請求項1之半導體裝置,更包括:一介電鰭狀物,置於該第一源極/汲極部件與該第二源極/汲極部件之間且在該基底的上方,其中該介電部件沿著該介電鰭狀物延伸。
  3. 如請求項2之半導體裝置,其中該介電部件的一頂表面高於該介電鰭狀物的一頂表面。
  4. 如請求項2之半導體裝置,更包括:一間隔物,置於該介電鰭狀物的一側壁與該接觸結構之間。
  5. 如請求項1至4任一項之半導體裝置,其中該接觸結構沿著從該第一源極/汲極部件的上方至該第二源極/汲極部件的上方的一方向縱向延伸;以及其中沿著該方向,該接觸結構是置於二個介電切斷(dielectric cut)部件之間。
  6. 如請求項1至4任一項之半導體裝置,更包括: 一閘極結構,包裹在該第一鰭狀物結構的上方及該第二鰭狀物結構的上方,其中該介電部件的一頂表面高於該閘極結構的一頂表面。
  7. 如請求項6之半導體裝置,其中藉由一閘極間隔物將該閘極結構與該介電部件隔開。
  8. 一種半導體裝置,包括:一第一源極/汲極部件與一第二源極/汲極部件;一介電鰭狀物,置於該第一源極/汲極部件與該第二源極/汲極部件之間;一介電部件,置於該第一源極/汲極部件的上方並沿著該介電鰭狀物的一側壁延伸;以及一接觸部件,包括一第一部分與一第二部分,該第一部分置於該介電部件的上方與該介電鰭狀物的上方,該第二部分電性耦接於該第二源極/汲極部件,其中該第一部分懸於該第一源極/汲極部件的上方。
  9. 如請求項8之半導體裝置,更包括:一接觸導孔,置於該第一部分上。
  10. 如請求項8或9之半導體裝置,其中該介電鰭狀物包括一第一層與一第二層,該第二層置於該第一層的上方;其中該第一層包括氧化矽;以及其中該第二層包括矽與氮。
  11. 如請求項8或9之半導體裝置,更包括:一閘極結構,鄰近該第一源極/汲極部件與該第二源極/汲極部件,其中該第一部分的一底表面高於該閘極結構的一頂表面。
  12. 如請求項8或9之半導體裝置,其中藉由一襯墊將該第二部分與該介電鰭狀物隔開。
  13. 一種半導體裝置的形成方法,包括:接收一工件,該工件包括:一第一鰭狀物結構與一第二鰭狀物結構,在一基底的上方;一閘極結構,包裹在該第一鰭狀物結構的上方及該第二鰭狀物結構的上方;一第一源極/汲極部件,在該第一鰭狀物結構的上方;及一第二源極/汲極部件,在該第二鰭狀物結構的上方;選擇性在該第一源極/汲極部件的上方形成一介電部件;以及在該選擇性形成之後,在該第一源極/汲極部件的上方及該第二源極/汲極部件的上方形成一接觸結構,而使該接觸結構電性連接於該第二源極/汲極部件並藉由該介電部件而與該第一源極/汲極部件分離。
  14. 如請求項13之半導體裝置的形成方法,其中該選擇性形成包括:在該第一源極/汲極部件的上方與該第二源極/汲極部件的上方,形成一光阻層;將該光阻層圖形化,以形成一圖形化的光阻層,該圖形化的光阻層包括一開口以暴露該第一源極/汲極部件;在該開口沉積一介電材料;以及回蝕刻該介電材料,以形成該介電部件。
  15. 如請求項13或14之半導體裝置的形成方法,更包括:在形成該接觸結構之前,沿著該介電部件的側壁形成一襯墊。
TW111100175A 2021-01-13 2022-01-04 半導體裝置及其形成方法 TWI824371B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163137023P 2021-01-13 2021-01-13
US63/137,023 2021-01-13
US17/502,804 2021-10-15
US17/502,804 US20220223743A1 (en) 2021-01-13 2021-10-15 Overhanging source/drain contact

Publications (2)

Publication Number Publication Date
TW202234582A TW202234582A (zh) 2022-09-01
TWI824371B true TWI824371B (zh) 2023-12-01

Family

ID=81596751

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111100175A TWI824371B (zh) 2021-01-13 2022-01-04 半導體裝置及其形成方法

Country Status (5)

Country Link
US (1) US20220223743A1 (zh)
KR (1) KR20220102575A (zh)
CN (1) CN114520191A (zh)
DE (1) DE102022100012A1 (zh)
TW (1) TWI824371B (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201709526A (zh) * 2015-06-26 2017-03-01 英特爾股份有限公司 異質磊晶n型電晶體與p型電晶體之以井為基礎之集成
TW201820634A (zh) * 2016-11-18 2018-06-01 台灣積體電路製造股份有限公司 多閘極元件與其形成之方法
TW202011458A (zh) * 2018-08-31 2020-03-16 台灣積體電路製造股份有限公司 半導體裝置的形成方法
TW202030888A (zh) * 2018-10-26 2020-08-16 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TW202038332A (zh) * 2018-11-30 2020-10-16 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201709526A (zh) * 2015-06-26 2017-03-01 英特爾股份有限公司 異質磊晶n型電晶體與p型電晶體之以井為基礎之集成
TW201820634A (zh) * 2016-11-18 2018-06-01 台灣積體電路製造股份有限公司 多閘極元件與其形成之方法
TW202011458A (zh) * 2018-08-31 2020-03-16 台灣積體電路製造股份有限公司 半導體裝置的形成方法
TW202030888A (zh) * 2018-10-26 2020-08-16 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TW202038332A (zh) * 2018-11-30 2020-10-16 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Also Published As

Publication number Publication date
DE102022100012A1 (de) 2022-07-14
TW202234582A (zh) 2022-09-01
KR20220102575A (ko) 2022-07-20
US20220223743A1 (en) 2022-07-14
CN114520191A (zh) 2022-05-20

Similar Documents

Publication Publication Date Title
US11502187B2 (en) Semiconductor device structure and method for forming the same
TWI793675B (zh) 半導體裝置及其形成方法
US20220336449A1 (en) Semiconductor device structure and methods of forming the same
TW202139264A (zh) 半導體裝置的製造方法
US11735482B2 (en) Semiconductor device structure and methods of forming the same
KR102530218B1 (ko) 하이브리드 반도체 디바이스
US20230395686A1 (en) Semiconductor device with gate isolation features and fabrication method of the same
US20230290780A1 (en) Semiconductor device structure and methods of forming the same
US20220336592A1 (en) Source/Drain Contact Structure
TWI824371B (zh) 半導體裝置及其形成方法
US11942478B2 (en) Semiconductor device structure and methods of forming the same
US20240153824A1 (en) Epitaxial features in semiconductor devices and method of manufacturing
US20220344214A1 (en) Semiconductor Structures With Densly Spaced Contact Features
US20230369127A1 (en) Semiconductor structure and method for forming the same
US11862700B2 (en) Semiconductor device structure including forksheet transistors and methods of forming the same
US11676864B2 (en) Semiconductor device structure and methods of forming the same
US11855078B2 (en) Semiconductor device structure including forksheet transistors and methods of forming the same
US20230187518A1 (en) Semiconductor Device With Tunable Channel Layer Usage And Methods Of Fabrication Thereof
US20240096985A1 (en) Semiconductor device contact structures and methods of fabricating thereof
US20230197850A1 (en) Semiconductor device structure and methods of forming the same
US20230034360A1 (en) Semiconductor structure and method for forming the same
TW202247354A (zh) 半導體裝置及其製造方法