TWI815276B - 畫素感測器及製造其的方法 - Google Patents

畫素感測器及製造其的方法 Download PDF

Info

Publication number
TWI815276B
TWI815276B TW111101454A TW111101454A TWI815276B TW I815276 B TWI815276 B TW I815276B TW 111101454 A TW111101454 A TW 111101454A TW 111101454 A TW111101454 A TW 111101454A TW I815276 B TWI815276 B TW I815276B
Authority
TW
Taiwan
Prior art keywords
etch stop
layer
contact etch
silicon
stop layer
Prior art date
Application number
TW111101454A
Other languages
English (en)
Other versions
TW202312462A (zh
Inventor
陳政賢
陳永祥
李家豪
葉玉隆
陳彥秀
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202312462A publication Critical patent/TW202312462A/zh
Application granted granted Critical
Publication of TWI815276B publication Critical patent/TWI815276B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明提供一種畫素感測器及製造其的方法,所述方法 在基底上沉積接觸蝕刻停止層。接觸蝕刻停止層包括小於約12%的氫。沉積接觸蝕刻停止層包括在高於約600℃的溫度、高於約150Torr的壓力和/或在至少高於約70:1的比例的NH3和SiH4下沉積接觸蝕刻停止層材料。在接觸蝕刻停止層上方沉積矽基層。進行蝕刻操作進入矽基層中直到到達接觸蝕刻停止層,以形成溝槽隔離結構。

Description

畫素感測器及製造其的方法
本發明是有關於一種畫素感測器及用於製造其的方法。
互補金屬氧化物半導體(CMOS)影像傳感器利用光敏CMOS電路(稱為畫素感測器)將光能轉換為電能。畫素感測器通常包括以矽基底形成的光電二極體。當光電二極體暴露於光線時,經由誘發在光電二極體中產生電荷。光電二極體中的電荷的取樣(sample)可用於生成數位影像。
本公開實施例的一種畫素感測器,包括:基底;接觸蝕刻停止層,設置在所述基底上,其中所述接觸蝕刻停止層包括小於約12%的氫;矽基層,設置在所述接觸蝕刻停止層上方,其中所述矽基層包括光電二極體;以及一個或多個溝槽隔離結構,穿過所述矽基層設置至所述接觸蝕刻停止層。
本公開實施例的一種製造畫素感測器的方法,包括:在基底上沉積接觸蝕刻停止層,其中沉積所述接觸蝕刻停止層包括 在高於約600℃的溫度且高於約150Torr的壓力下沉積接觸蝕刻停止層材料;在所述接觸蝕刻停止層上方沉積矽基層;以及進行蝕刻操作進入所述矽基層中直到到達所述接觸蝕刻停止層,以形成溝槽隔離結構。
本公開實施例的一種製造畫素感測器的方法,包括:在基底上沉積接觸蝕刻停止層,其中沉積所述接觸蝕刻停止層包括在高於約600℃的溫度下沉積接觸蝕刻停止層材料,且其中沉積所述接觸蝕刻停止層材料包含沉積高於約70:1的比例的NH3和SiH4;在所述接觸蝕刻停止層的上方沉積矽基層;以及進行蝕刻操作以將用於溝槽隔離結構的溝槽蝕刻至所述矽基層中,直到到達所述接觸蝕刻停止層。
100:環境
102:沉積工具
104:蝕刻工具
106:平坦化工具
108:離子植入工具
110:晶圓/晶粒運輸工具
200:半導體結構
202:基底
204:CESL
206:介電層
208:矽基層
210:光電二極體
212:溝槽隔離結構
214:角
300:實施方式
302:摻雜
304:溝槽
400:裝置
410:匯流排
420:處理器
430:記憶體
440:儲存元件
450:輸入元件
460:輸出元件
470:通訊元件
500、600:製程
510、520、530、610、620、630:方塊
A-A:部分
結合附圖閱讀以下詳細描述會最佳地理解本揭露的各態樣。應注意,根據業界中的標準慣例,各種特徵未按比值例繪製。事實上,為論述清楚起見,可任意增大或減小各種特徵的尺寸。
圖1是可以在其中實施本文描述的系統和/或方法的示例環境的圖。
圖2A和圖2B是本文描述的示例半導體結構的圖。
圖3A-圖3F是本文描述的示例實施方式的圖。
圖4是圖1的一個或多個裝置的示例元件的圖。
圖5和圖6是與具有低氫濃度的蝕刻停止層相關的示例製程 的流程圖。
以下公開提供用於實施所提供的主題的不同特徵的許多不同實施例或實例。下文描述組件和設置的具體實例來簡化本公開。當然,這些組件和設置僅為實例且並不意圖為限制性的。例如,在以下描述中,在第二特徵上方或在第二特徵上形成第一特徵可包含第一特徵與第二特徵直接接觸地形成的實施例,且還可包含在第一特徵與第二特徵之間可形成額外特徵,使得第一特徵與第二特徵可以不直接接觸的實施例。另外,本公開可在各種實例中重複附圖標號和/或字母。這種重複是出於簡化和清楚的目的且本身並不指示所論述的各種實施例和/或配置之間的關係。
另外,為易於描述,在本文中可使用例如「在......之下(beneath)」、「在......下方(below)」、「在......下(lower)」、「在......上方(above)」、「在......上(upper)」等空間相對術語來描述如諸圖中所示出的一個元件或特徵與另一(些)元件或特徵的關係。除圖式中所描繪的定向外,空間相關術語意圖涵蓋裝置在使用或操作中的不同定向。設備可以其它方式定向(旋轉90度或處於其它定向),且本文中所使用的空間相對描述詞可同樣相應地進行解釋。
互補金屬氧化物半導體(CMOS)影像感測器的畫素感測器可以包括光電二極體周圍的溝槽隔離結構(例如,深溝槽隔離 (DTI)結構)。為了幫助蝕刻操作形成溝槽隔離結構,可以在光電二極體下方形成具有接觸蝕刻停止層(contact etch stop layer,CESL)的畫素感測器。蝕刻操作可以包括蝕刻穿過矽基層(例如,畫素感測器基底)直到偵測到CESL。以此方式,蝕刻工具可以控制蝕刻製程的深度和/或可以控制在蝕刻操作期間移除多少層的材料。
然而,蝕刻停止層(例如,氮化矽材料)可具有相對高濃度的氫(>15%的原子)。當光線進入畫素感測器時(例如,在影像擷取操作期間),一些電子可能會被陷獲(trap)在相對高濃度的氫的CESL中。CESL可以釋放被陷獲的電子,這可以在畫素感測器內誘發電荷並引起鬼影效應(ghost image effect)(例如,由從CESL釋放被陷獲的電子所引起的殘留影像會誘發畫素感測器的電荷)。這可能會導致CMOS影像感測器成像失真。
本文描述的一些實施方式提供用於設置在像素傳感器的矽基層(例如,包括光電二極體)下方的低氫濃度CESL的技術和裝置。低氫濃度CESL可以包括小於約12%的氫(例如,基於接觸蝕刻停止層內氫原子的原子百分比)。在一些實施例中,低氫濃度CESL可以包括至少約70%的矽和氮。
當光線進入畫素感測器的光電二極體時,低氫濃度CESL可以減少陷獲的電子的量,可以減少(或消除)使用畫素感測器擷取影像時的鬼影效應。這改進了基於畫素感測器的光電二極體的電荷的取樣而生成的數位影像。
為了進一步減少鬼影效應,畫素感測器的光電二極體的部分可以具有在約80度到約100度的範圍內的角度的上表面。在一些實施例中,角度可以是約90度和/或上表面可以大致地是矩形形狀。通過這種方式,基於與二極體的表面形成入射角的可能性降低(在二極體內引起全內反射),光子可以離開光電二極體的可能性增加。此外,或替代地,在釋放被捕獲的電子時可減少在畫素感測器內誘發出電荷的量。如此,當使用畫素感測器擷取影像時,畫素感測器可以減少(或消除)鬼影效果。
圖1是示例環境100的圖,其中可以實現本文描述的系統和/或方法。如圖1所示,環境100可以包括多個半導體製程工具(符號102至108)和晶圓/晶粒運輸工具110。所述多個半導體製程工具(符號102至108)可以包括沉積工具102、蝕刻工具104、平坦化工具106、離子植入工具108和/或其他工具。示例環境100中包括的半導體工具可以包括在半導體無塵室、半導體代工廠、半導體加工和/或製造設施或其他位置中。
沉積工具102是半導體製程工具,能夠將各種類型的材料沉積至基底上。在一些實施例中,沉積工具102包括能夠在諸如晶圓的基底上沉積光阻層的旋塗工具。在一些實施例中,沉積工具102包括化學氣相沉積(chemical vapor deposition,CVD)工具,例如電漿增強CVD(plasma-enhanced CVD,PECVD)工具、高密度電漿CVD(high-density plasma CVD,HDP-CVD)工具、次常壓CVD(sub-atmospheric CVD,SACVD)工具、原子層沉積 (atomic layer deposition,ALD)工具、電漿增強原子層沉積(plasma-enhanced atomic layer deposition,PEALD)工具或其他類型的CVD工具。在一些實施例中,沉積工具102包括物理氣相沉積(physical vapor deposition,PVD)工具,例如濺鍍工具或其他類型的PVD工具。在一些實施例中,示例環境100包括多種類型的沉積工具102。
沉積工具102可以包括具有溫度和/或壓力的沉積腔室,其可以針對沉積操作進行修改。在一些實施例中,沉積工具102可以加熱和/或加壓沉積腔室,以準備在晶圓上沉積材料的層。如本文所述,例如,沉積工具102可將沉積腔室加熱到至少約600℃和/或將沉積腔室加壓到至少約150Torr,以準備在基底上沉積CESL。
蝕刻工具104是半導體製程工具,能夠蝕刻各種類型的基底、晶圓或半導體裝置的材料。例如,蝕刻工具104可以包括濕蝕刻工具、乾蝕刻工具和/或另一種類型的蝕刻工具。濕式蝕刻工具可以包括化學蝕刻工具或另一種類型的濕式蝕刻工具,其包括填充有蝕刻劑的腔室。基底可以放置在腔室中一段特定時間以移除基底的一個或多個部分的特定量。乾蝕刻工具可包括電漿蝕刻工具、雷射蝕刻工具、反應性離子蝕刻工具或氣相蝕刻工具等。乾蝕刻工具可以使用濺鍍技術、電漿輔助蝕刻技術(例如,電漿濺鍍技術或另一種類型的技術,包括使用電離氣體以同位素或定向蝕刻一個或多個部分),或其他類型的乾蝕刻技術。
蝕刻工具104(例如,乾蝕刻工具)可以進行蝕刻操作直到偵測到晶圓的CESL或半導體裝置。CESL的偵測結果可以表明蝕刻操作已完成。蝕刻工具104可以進行後續的蝕刻操作和/或晶圓/晶粒運輸工具110可以在蝕刻操作完成後將晶圓或半導體裝置從蝕刻工具104傳輸到另一個半導體製程工具。
平坦化工具106是一種半導體製程工具,能夠研磨或平坦化晶圓或半導體裝置的各種層。例如,研磨裝置可以包括化學機械研磨(CMP)裝置和/或另一種類型的研磨裝置。在一些實施例中,研磨裝置可以研磨或平坦化沉積或電鍍的材料的層。
離子植入工具108是用於將離子注入諸如晶圓或半導體裝置基底中的半導體製程工具。例如,離子植入工具108可以將離子植入CMOS影像感測器的矽基層中以形成二極體。離子植入工具108在電弧室中由諸如氣體或固體的源材料生成離子。將源材料提供到電弧室中,在陰極和電極之間釋放電弧電壓以產生包含源材料的離子的電漿。一個或多個引出電極(extraction electrode)用於在電弧室內從電漿中引出離子並加速離子形成離子束。離子束可以朝向基底,使得離子被注入到基底的表面之下以摻雜基底。
晶圓/晶粒運輸工具110包括移動機器人、機械手臂、有軌電車或軌道車(tram or rail car)、高架起重機轉移(overhead hoist transfer,OHT)車輛、自動化材料搬運系統(automated material handling system,AMHS)和/或另一種用於運輸晶圓和/或晶粒的 工具在半導體製程工具102至108之間和/或往返於其他位置,所述其他位置例如是晶圓架、儲存室或其他位置。在一些實施例中,晶圓/晶粒運輸工具110可以是一個經編程工具(programmed tool),用於行進在特定路徑上和/或可以半自主或自主地運行。
圖1提供一個或多個示例示出了工具的數量和排列。實際上,與圖1中所示的工具相比,可以存在更多工具、更少工具、不同工具或不同排列的工具。此外,圖1中所示的兩個或更多個工具可以在單個工具內實現,或者圖1中所示的單個工具可以實現為多個分佈式工具。附加地或替代地,環境100的工具組(例如,一個或多個工具)可以進行一個或多個被描述為由環境100的另一組的工具組執行的功能。
圖2A和2B是本文描述的示例半導體結構200的圖。圖2A示出了半導體結構200側面的橫截面。圖2B顯示了半導體結構200的部分A-A的俯視圖。半導體結構200可包括畫素感測器和/或影像感測器、或可包括在畫素感測器和/或影像感測器中。影像感測器可以是CMOS影像感測器、背面照明(backside illumination,BSI)CMOS影像感測器或其他類型的影像感測器。
如圖2A所示,半導體結構200可以包括基底202和設置在基底202上的CESL 204。半導體結構200還可以包括設置在CESL 204的介電層206上和/或設置在CESL上方的矽基層208(例如,在其他實施例中,矽基層208在介電層206或在CESL 204上)。矽基層208可包括一個或多個光電二極體210,並由穿過矽 基層208設置至CESL 204的一個或多個溝槽隔離結構212以分開一個或多個光電二極體210。
基底202可以包括介電材料。例如,基底202可以包括金屬間介電層。金屬間介電層可以包括將半導體結構200連接到封裝體、外部電性連接和/或其他外部裝置的接合墊和/或其他金屬互連結構。基底202可包括低介電常數(low-k)材料,例如二氧化矽、氟化矽酸鹽玻璃、含氫矽氧烷(hydrogen silsesquioxane)或甲基矽氧烷(methyl silsesquioxane)、有機矽酸鹽玻璃和/或有機芳族聚合物等。
類似於基底202,介電層206可以包括低k材料,例如二氧化矽、氟化矽酸鹽玻璃、含氫矽氧烷、甲基矽氧烷、有機矽酸鹽玻璃和/或有機芳族聚合物等。介電層206可以在基底202和矽基層208之間提供電性隔離和/或光隔離。介電層206可以包括層間電介質。
在一些實施例中,CESL 204可以設置在基底202和介電層206之間。在一些實施例中,CESL 204設置在介電層206和矽基層208之間。CESL 204可以具有在約10奈米到約100奈米的範圍內的厚度。通過這種方式,CESL 204可以足夠厚而作為CESL使用(例如,不會因為太薄而無法在蝕刻過程中檢測到CESL)並且足夠薄以減少在CESL 204的沉積期間滲入CESL 204的氫原子的量。
CESL 204可以包括基於氮、矽、氫和/或氧的材料。CESL 204可以包括小於約12%(例如,0%至12%)的氫。例如,基於CESL 204內的氫原子的原子百分比,CESL 204可以包括小於約12%的氫。CESL 204可以包括至少約65%的氮和矽。在一些實施例中,CESL 204可以包括至少65%的氮-14同位素和矽-28同位素。氮和矽可以形成氮化矽類的材料。在一些實施例中,部分的氮可以與氫原子形成鍵結,這可能導致氫保留在CESL 204中。
基於上CESL 204包括小於約12%的氫,當光線進入光電二極體210時,CESL 204可以減少捕獲的電子的量。當使用半導體結構200擷取影像時,這可能會減少(或消除)鬼影效應。鬼影效應的減少改善了基於半導體結構200的光電二極體210的電荷的取樣所生成的數位影像。
矽基層208可以包括矽基底、由包括矽的材料形成的基底、諸如砷化鎵(GaAs)基底的III-V化合物半導體基底、絕緣層上矽(SOI)基底,或另一種能夠自入射光線的光子產生電荷的基底。
光電二極體210可以形成在矽基層208內。例如,光電二極體210可以是矽基層208中的部分,其已經由摻雜形成用於一個或多個畫素感測器的光電二極體210。矽類材料可以是摻雜有多種離子以形成用於每個光電二極體210的P-N接面。例如,矽基層208可以是摻雜有n型摻質劑以形成光電二極體210的第一部分(例如,n型部分)和摻雜有p型摻質劑以形成光電二極體210的第二部分(例如,p型部分)。在一些實施例中,另一個技 術例如是擴散(diffusion)用於形成光電二極體210。
溝槽隔離結構212(例如,DTI結構)可以形成在矽基層208和/或介電層206中。在其他實施例中,溝槽隔離結構212可以形成在相鄰的光電二極體210之間和/或(例如,完全或部分地)圍繞每個光電二極體210。在一些實施例中,溝槽隔離結構212可以是在矽基層208的背面中形成的背面溝槽隔離結構(例如,背面DTI結構)。溝槽隔離結構212可以填充有氧化物類的材料。例如,溝槽隔離結構212可以填充有氧化矽(SiOx)或另一種氧化物類的材料以提供光電二極體210之間的電性隔離和/或光隔離。溝槽隔離結構212可以包括沿著光電二極體210向下延伸到矽基層208中的溝槽(例如,深溝槽)。溝槽隔離結構212可以在半導體結構200的光電二極體210之間提供光學隔離,以減少相鄰的光電二極體210和/或畫素感測器之間的光學串擾(optical crosstalk的量)。特別是,溝槽隔離結構212可以吸收、折射和/或反射入射光線,故可以減少穿過光電二極體210進入相鄰的光電二極體且被相鄰光電二極體210吸收的入射光線的量。
如圖2B所示,一個或多個光電二極體210的光電二極體210的上表面可以包括角214。角214可以具有在約80度到約100度的範圍內的角度。在一些實施例中,角214可以具有約90度的角度。在一些實施例中,光電二極體210的上表面可以大致上(基本上)為矩形。基於具有角度在約80度到約100度(例如,約90度)的範圍內的角214的上表面和/或具有大致上矩形的上表面, 使與二極體的表面形成入射角的可能性降低(導致二極體內的全內反射(total internal reflection)),光子可具有增加的離開光電二極體的可能性。以此方式,在釋放被捕獲的電子時,可在畫素感測器內誘發出減少的電荷的量。
如上所述,提供圖2A和2B作為示例。其他示例可以與關於圖2A和2B所描述的不同。
圖3A-3F是本文描述的示例實施方式300的圖。示例實施方式300可以是用於形成半導體結構200的示例製程。半導體結構200可以包括CMOS影像感測器、BSI CMOS影像感測器或其他類型的影像感測器。
如圖3A所示,半導體結構200可以包括基底202和設置在基底202上的CESL 204。在一些實施例中,沉積工具(例如沉積工具102)可以在基底202上沉積CESL。在一些實施例中,沉積工具可以使用化學氣相沉積或物理氣相沉積,其中包括在基底202上沉積CESL。在一些實施例中,沉積工具可以在高於約600℃的溫度和/或在高於約150Torr的壓力下沉積CESL材料。在一些實施例中,低於600度的溫度可以與高於150Torr的壓力結合使用。類似地,高於600度的溫度可以與低於150Torr的壓力結合使用。這些沉積技術中的一個或多個可用於實現低氫濃度CESL 204。
基於在沉積過程中使用至少約600℃的溫度和/或至少約150Torr的壓力,沉積工具內(例如,沉積工具腔內)的原子可具 有足夠的能量來移動,使得氫可從CESL的沉積層(例如,包括氮化矽類的材料)釋放或排除。此外,替代性地,通過在沉積期間使用至少600℃的溫度和/或至少150Torr的壓力,氮原子可以增加與矽原子鍵結而不是與氫原子鍵結的可能性。這可以允許氫原子形成氫氣體並從CESL 204的表面(逃脫)逸出。
另外或替代地,沉積工具可以基於提供NH3和SiH4的比例高於約70:1的氣流以沉積CESL 204。基於使用NH3和SiH4在至少約70:1的比例,可以存在足夠高的氮矽(氮/矽)比例以在氮和矽原子之間形成鍵結,排除CESL內的氫原子。氫原子可以被防止穿過CESL和/或可以作為氫氣體釋放。氫氣體可以從沉積工具中排出。
在一些實施例中,基於上述沉積技術,CESL 204可以包括小於約12%的氫。例如,CESL 204可以包括小於15%的氫、約10%的氫或小於12%的氫。基於CESL 204內氫原子的原子百分比,CESL 204可以包括上小於約12%的氫。在一些實施例中,CESL 204可以包括基於矽、氮、氫和/或氧的材料。在一些實施例中,基於以上描述的沉積技術,CESL 204可以至少包括(例如,基於CESL 204內氮原子或矽原子的原子百分比)約70%的氮和矽。
在一些實施例中,平坦化工具(例如,平坦化工具106)可以將基底202和/或CESL 204的上表面平坦化。如此,在畫素區域內,基底202和/或CESL 204的上表面可以大致上平整和/或CESL 204的厚度可以大致上均勻。
如圖3B所示,半導體結構200可以包括介電層206設置在CESL 204上。在一些實施例中,沉積工具(例如,沉積工具102)可以將介電層206沉積在CESL 204上。在一些實施例中,沉積工具可以使用化學氣相沉積或物理氣相沉積等將介電層206沉積在CESL 204上。在一些實施例中,沉積工具可以沉積介電層206以完全覆蓋半導體結構200的畫素感測器區域內的CESL 204。
在一些實施例中,平坦化工具(例如,平坦化工具106)可以是介電層206的上表面平坦化。以此方式,介電層206的上表面在畫素區域內可大致上平整和/或介電層206的厚度可以大致上均勻。
如圖3C所示,半導體結構200可以包括設置在介電層206上和/或設置在CESL 204上方(例如,在上面的層中,但不一定直接在其上方(directly above)或直接在其上(directly on))的矽基層208。在一些實施例中,沉積工具(例如沉積工具102)可以在介電層206上和/或在CESL 204上方沉積矽基層208。在一些實施例中,沉積工具可以使用化學氣相沉積或物理氣相沉積等,在介電層206上和/或在CESL 204上方沉積矽基層208。在一些實施例中,沉積工具可以沉積矽基層208以完全覆蓋半導體結構200的畫素感測器區域內的介電層206和/或CESL 204。
在一些實施例中,平坦化工具(例如,平坦化工具106)可以將矽基層208的上表面平坦化。以此方式,矽基層208的上表面在畫素區域內可大致上平整和/或矽基層208的厚度可以大致 上均勻。這樣,在矽基層208內形成的一個或多個光電二極體可以具有近似相等的厚度,並且半導體結構200中的一個或多個光電二極體的取樣可以是一致的。
在一些實施例中,半導體結構200可以省略介電層206,使得矽基層208設置(例如,直接設置)在CESL 204上。在一些實施例中,沉積工具可以在介電層206和矽基層208之間沉積CESL 204。
如圖3D所示,半導體結構200可以包括矽基層208內的光電二極體210。在一些實施例中,離子植入工具(例如離子植入工具108)可以使用離子植入技術對矽基層208的部分進行摻雜302以形成多個畫素感測器的光電二極體210。矽基層208可以是摻雜多種離子以形成用於每個光電二極體210的P-N接面。例如,矽基層208可以是摻雜有n型摻質劑以形成光電二極體210的第一部分(例如,n型部分)和摻雜有p型摻質劑以形成光電二極體210的第二部分(例如,p型部分)。在一些實施例中,另一個技術實施方式例如是擴散用於形成光電二極體210。
如圖3E所示,半導體結構200可以包括形成在光電二極體210之間的一個或多個溝槽304。在一些實施例中,蝕刻工具(例如,蝕刻工具104)可以蝕刻矽基層208和/或介電層206的部分以形成一個或多個溝槽304。在一些實施例中,蝕刻工具可以使用CESL類的蝕刻製程,其中蝕刻工具進行蝕刻操作直到偵測到CESL 204。例如,蝕刻工具可以從矽基層208的蝕刻(例如,在 光電二極體210之間)多個部分開始。蝕刻工具可以繼續蝕刻,直到偵測到CESL 204。如此,蝕刻工具可以移除矽基層208和/或介電層206的材料,但可以在到達基底202之前停止移除材料。
在一些實施例中,圖3D和圖3E中顯示的操作可以顛倒。例如,蝕刻工具可以在離子植入工具摻雜矽基層208的部分之前,蝕刻矽基層208和/或介電層206的部分以形成一個或多個溝槽304。
如圖3F所示,半導體結構200可以包括在(例如,形成在光電二極體210之間)一個或多個溝槽304內的一個或多個溝槽隔離結構212(例如,DTI結構)。在一些實施例中,沉積工具(例如,沉積工具102)可以將溝槽隔離材料沉積到一個或多個溝槽304,以提供用於隔離相鄰的光電二極體210的深溝槽隔離(DTI)。在一些實施例中,沉積工具可以使用化學氣相沉積或物理氣相沉積,在其他示例中,將溝槽隔離材料沉積到基底202的一個或多個深溝槽中。在一些實施例中,平坦化工具(例如,平坦化工具106)可以將溝槽隔離結構212和/或光電二極體210的上表面平坦化。
如上所述,提供圖3A-3F作為示例。其他示例可以與關於圖3A-3F所描述的不同。圖3A-3F中所示的裝置、層和/或材料的數量和排列是作為示例提供的。在實務中,可以有額外的裝置、層和/或材料,更少的裝置、層和/或材料,不同的裝置、層和/或材料,或與圖3A-3F中所示的示例不同排列的裝置、層和/或材料。 例如,一個或多個額外的操作可以被進行以形成半導體結構200的一個或多個額外的部分。例如,一個或多個半導體工具可以進行一個或多個額外的操作以形成半導體結構200的金屬屏蔽區域、接合墊區域和/或測量區域等。
圖4是CESL的示例裝置400的圖,其中具有用於畫素感測器低氫濃度,如本文所述。CESL可以使用一個或多個在此描述的技術來形成以減少CESL的氫濃度。如圖4所示,CESL可以包括氮原子(例如,氮14)、矽原子(例如,矽28)、氫原子和/或氧原子,以及其他示例材料。如圖4中進一步所示,氮原子可以構成CESL的約40%直至約40奈米的深度,矽可以構成CESL的約30%直至約40奈米的深度,氫可以占到約30奈米深度的CESL的約11%,和/或氧氣可以占到約30奈米深度的CESL的不到約5%。
基於上,CESL具有低氫濃度(例如,小於CESL的原子的約12%),當光線進入畫素感測器的光電二極體時,CESL可以捕獲減少量的電子,這減少了捕獲影像時的鬼影效應使用畫素感測器。這改進了數位影像生成的基於上採樣的畫素感測器的光電二極體的電荷。
如上所述,提供圖4作為示例。其他示例可以與關於圖4的描述不同。在圖例如中,與圖4中所示的那些不同的材料和/或材料中不同於圖4中所示的那些濃度和/或材料中的其他濃度可以形成CESL。
圖4是裝置400的示例元件的圖,其可以對應於沉積工具102、蝕刻工具104、平坦化工具106、離子植入工具108和/或晶圓/晶粒運輸工具110。在一些實施例中,沉積工具102、蝕刻工具104、平坦化工具106、離子植入工具108和/或晶圓/晶粒運輸工具110中可以包括一個或多個裝置400和/或一個或多個裝置400的元件。如圖4所示,裝置400可以包括匯流排410、處理器420、記憶體430、儲存元件440、輸入元件450、輸出元件460和通訊元件470。
匯流排410包括能夠在裝置400的元件之間進行有線和/或無線通訊的元件。處理器420包括中央處理單元(central processing unit)、圖形處理單元、微處理器(microprocessor)、控制器、微控制器(microcontroller)、數位訊號處理器(digital signal processor)、現場可程式邏輯閘陣列(field-programmable gate array)、特殊應用積體電路(application specific integrated circuits)和/或另一種類型的處理元件。處理器420在硬體、韌體或硬體和軟體的組合中實現。在一些實施例中,處理器420包括能夠被編程以執行功能的一個或多個處理器。記憶體430包括隨機存取記憶體、唯讀記憶體和/或另一種類型的記憶體(例如,快閃記憶體、磁性記憶體和/或光學記憶體)。
儲存元件440存儲與裝置400的操作相關的訊息和/或軟體。例如、儲存元件440可以包括硬碟驅動機、磁碟驅動器、光碟驅動器、固態磁碟驅動器、光碟、數位多功光碟和/或另一種類 型的非暫時性電腦可讀取媒體。輸入元件450使裝置400能夠接收諸如用戶輸入和/或感應輸入的輸入(input)訊號。例如、輸入元件450可以包括觸摸螢幕、鍵盤、小鍵盤、滑鼠、按鈕、麥克風、開關、感測器、全球定位系統元件、加速度計、陀螺儀和/或致動器。輸出元件46能夠使裝置400提供輸出(output)訊號,例如通過顯示器、揚聲器和/或一個或多個發光二極體。通訊元件470使裝置400能夠與其他裝置進行通訊,例如通過有線連接和/或無線連接。例如,通訊元件470可以包括接收器、發送器、收發器、數據機、網路介面卡和/或天線。
裝置400可以進行本文所述的一個或多個製程。例如,非暫時性電腦可讀取媒體(例如,記憶體430和/或儲存元件440)可以存儲一組的用於處理器420執行指令(例如,一個或多個指令、代碼、軟體代碼和/或編碼代碼)。處理器420可執行一組指令以進行本文描述的一個或多個製程。在一些實施例中,一個或多個處理器420可執行一組指令,以使得一個或多個處理器420和/或裝置400進行本文描述的一個或多個製程。在一些實施例中,硬線電路可以代替指令或與指令結合而被使用,以進行本文描述的一個或多個製程。因此,本文描述的實施方式不限於硬體電路和軟體的任何特定組合。
以圖4所示的元件的數量和排列為例子。與圖4中所示的元件相比,裝置400可以包括額外的元件、更少的元件、不同的元件或不同排列的元件。附加地或替代地,裝置400的元件組 (例如,一個或多個元件)可以執行被描述為裝置400的元件的另一個組的一個或多個功能。
圖5是與具有低氫濃度的CESL相關聯的示例製程600的流程圖,其中低氫濃度的CESL用於畫素感測器。在一些實施例中,圖5中的一個或多個製程方塊可由一個或多個半導體製程工具(例如,沉積工具102、蝕刻工具104、平坦化工具106、離子植入工具108和/或晶圓/晶粒運輸工具110)進行。另外或替代地,圖5中的一個或多個製程方塊可由裝置400中的一個或多個元件進行,例如處理器420、記憶體430、儲存元件440、輸入元件450、輸出元件460和/或通訊元件470。
如圖5所示,製程600可以包括在基底上沉積CESL,其中沉積CESL包括在高於大約600℃的溫度和高於大約150Torr的壓力下沉積CESL材料(方塊510)。例如,一個或多個半導體製程工具可以在基底202上沉積CESL204,如上所述。在一些實施例中,沉積CESL 204包括在高於大約600℃的溫度和高於大約150Torr的壓力下沉積CESL材料。
如圖5中進一步所示,製程600可以包括在CESL上方沉積矽基層(方塊520)。例如,如上所述,一個或多個半導體製程工具可以在CESL 204上方沉積矽基層208。
如在圖5中進一步所示,製程600可以包括在矽基層中進行蝕刻操作直到到達CESL以形成溝槽隔離結構(方塊530)。例如,如上所述,一個或多個半導體製程工具可以在矽基層中進 行蝕刻操作直到到達CESL 204以形成溝槽隔離結構212。
製程600可包括額外的實施方式,例如下文描述的和/或結合本文別處描述的一個或多個其他製程的任何單一實施方式或實施方式的任何組合。
在第一實施方式中,製程600包括在矽基層內的一個或多個溝槽隔離結構之間形成光電二極體。
在第二實施方式中,單獨或與第一實施方式結合,進行蝕刻操作包括在矽基層的部分的周圍形成溝槽隔離結構,其中矽基層的部分的上表面包括多個角,其中角在約80度和約100的範圍內的角度。
在第三實施方式中,單獨或與第一實施方式和第二實施方式中的一個或多個組合,進行蝕刻操作包括在矽基層的部分的周圍形成溝槽隔離結構,其中矽基層的部分具有大致上為矩形形狀的上表面。
在第四實施方式中,單獨或與第一實施方式至第三實施方式中的一個或多個組合,沉積CESL包括提供NH3和SiH4的比例高於約70:1的氣流。
在第五實施方式中,單獨或與第一實施方式至第四實施方式中的一個或多個組合,基於CESL 204內氫原子的原子百分比,CESL包括小於約12%的氫。
在第六實施方式中,單獨或與第一實施方式至第五實施方式中的一個或多個組合,CESL包含氮化矽類的材料。
儘管圖5顯示了製程500中的示例方塊,但在一些實施例中,製程500可以包括額外的方塊、更少的方塊、不同的方塊或與圖5中描繪的不同的方塊。此外,或替代地,方塊和製程500中的兩個或更多個可以平行進行。
圖6是與CESL相關聯的示例製程600的流程圖,其中低氫濃度用於畫素感測器。在一些實施例中,圖6中的一個或多個製程方塊可由一個或多個半導體製程工具(例如,沉積工具102、蝕刻工具104、平坦化工具106、離子植入工具108和/或晶圓/晶粒運輸工具110)進行。另外或替代地,圖6中的一個或多個製程方塊可由裝置400中的一個或多個元件進行,例如處理器420、記憶體430、儲存元件440、輸入元件450、輸出元件460和/或通訊元件470。
如圖6所示,製程600可以包括沉積CESL在基底上,其中沉積CESL包括在高於大約600℃的溫度沉積CESL材料,並且其中沉積CESL材料包括在高於大約70:1的比例下沉積NH3和SiH4(方塊610)。例如,如上所述,一個或多個半導體製程工具可以沉積CESL 204在基底202上。在一些實施例中,沉積CESL 204包括在高於大約600℃的溫度下沉積CESL材料。在一些實施例中,CESL材料包括比例為高於大約70:1的NH3和SiH4。
如圖6中進一步所示,製程600可以包括在CESL上方沉積矽基層(方塊620)。例如,如上所述,一個或多個半導體製程工具可以在CESL 204上方沉積矽基層208。
如在圖6中進一步示出的,製程600可以包括進行蝕刻操作以將用於溝槽隔離結構溝槽蝕刻至矽基層中,直到達到CESL(方塊630)。例如,如上所述,一個或多個半導體製程工具可以進行蝕刻操作以將溝槽304蝕刻至矽基層208中,直到達到CESL 204。
製程600可包括額外的實施方式,例如下文描述的和/或結合本文別處描述的一個或多個其他製程的任何單一實施方式或實施方式的任何組合。
在第一實施方式中,CESL包括小於約12%的氫。
在第二實施方式中,單獨或與第一實施組合,進行蝕刻操作包括在矽基層的部分的周圍形成溝槽,並且其中矽基層的部分的上表面包括多個角,其中角在約80度和約100度。
在第三實施方式中,單獨或與第一實施方式和第二實施方式中的一個或多個組合,製程600包括將矽基層的部分進行離子化以形成摻雜矽類材料,其中摻雜矽類材料包括在至少部分被溝槽隔離結構環繞的光電二極體。
儘管圖6顯示了製程600中的示例方塊,但在一些實施例中,製程600可以包括額外的方塊、更少的方塊、不同的方塊或與圖6中描繪的不同的方塊。此外,或替代地,方塊和製程600中的兩個或更多個可以平行進行。
通過這種方式,CESL可以包括小於約12%的氫。基於CESL包括小於約12%的氫(例如,小於15%、約10%等),當光 線進入光電二極體時,CESL可以減少所捕獲的電子的量。當使用半導體結構擷取影像時,可以減少鬼影效果。減少的鬼影效應可以改進基於半導體結構的光電二極體的電荷的採樣所生成的數位影像。
如上文更詳細描述的,本文描述的一些實施方式提供了畫素感測器。畫素感測器包括基底。畫素感測器包括設置在所述基底上的接觸蝕刻停止層,其中所述接觸蝕刻停止層包括小於約12%的氫。畫素感測器包括設置在所述基底上方的矽基層,其中所述矽基層包括光電二極體。畫素感測器包括穿過所述矽基層設置至所述接觸蝕刻停止層的一個或多個溝槽隔離結構。
根據本公開的一些實施例,其中所述光電二極體的上表面包括多個角,其中多個所述角具有在約80度到約100度的範圍內的角度。根據本公開的一些實施例,其中多個所述角具有約90度的角度。根據本公開的一些實施例,其中所述光電二極體的上表面為矩形。根據本公開的一些實施例,其中基於所述接觸蝕刻停止層內氫原子的原子百分比,所述接觸蝕刻停止層包括小於約12%的氫。根據本公開的一些實施例,其中所述接觸蝕刻停止層包括氮化矽類的材料。根據本公開的一些實施例,其中所述接觸蝕刻停止層具有在約10奈米到約100奈米的範圍內的厚度。根據本公開的一些實施例,其中所述畫素感測器包括互補金屬氧化物半導體影像感測器。根據本公開的一些實施例,其中所述光電二極體包括摻雜矽類材料。
如上文更詳細描述的,本文描述的一些實施方式提供方法。方法包括在基底上沉積接觸蝕刻停止層,其中沉積所述接觸蝕刻停止層包括在高於約600℃的溫度且高於約150Torr的壓力下沉積接觸蝕刻停止層材料。方法包括在所述接觸蝕刻停止層上方沉積矽基層。方法包括進行蝕刻操作進入所述矽基層中直到到達所述接觸蝕刻停止層,以形成溝槽隔離結構。
根據本公開的一些實施例,還包括在所述矽基層內的一個或多個所述溝槽隔離結構之間形成光電二極體。根據本公開的一些實施例,其中進行所述蝕刻操作包括在所述矽基層的部分的周圍形成所述溝槽隔離結構,其中所述矽基層的所述部分的上表面包括具有在約80度和約100度的範圍內的角度的多個角。根據本公開的一些實施例,其中進行所述蝕刻操作包括在所述矽基層的部分的周圍形成所述溝槽隔離結構,其中所述矽基層的所述部分具有矩形形狀的上表面。根據本公開的一些實施例,其中沉積所述接觸蝕刻停止層包括:提供NH3和SiH4的比例高於約70:1的氣流。根據本公開的一些實施例,其中基於所述接觸蝕刻停止層內氫原子的原子百分比,所述接觸蝕刻停止層包括小於約12%的氫。根據本公開的一些實施例,其中所述接觸蝕刻停止層包括氮化矽類的材料。
如上文更詳細描述的,本文描述的一些實施方式提供了方法。方法包括在基底上沉積接觸蝕刻停止層,其中沉積所述接觸蝕刻停止層包括在高於約600℃的溫度下沉積接觸蝕刻停止層 材料,且其中沉積所述接觸蝕刻停止層材料包含沉積高於約70:1的比例的NH3和SiH4。方法包括在所述接觸蝕刻停止層的上方沉積矽基層。方法包括進行蝕刻操作以將用於溝槽隔離結構的溝槽蝕刻至所述矽基層中,直到到達所述接觸蝕刻停止層。
根據本公開的一些實施例,其中所述接觸蝕刻停止層包括小於約12%的氫。根據本公開的一些實施例,其中進行所述蝕刻操作包括在所述矽基層的部分的周圍形成所述溝槽,以及其中所述矽基層的所述部分的上表面包括具有在約80度和約100度的範圍內的角度的多個角。根據本公開的一些實施例,還包括:將所述矽基層的部分進行離子化以形成摻雜矽類材料,其中所述摻雜矽類材料包括至少部分被所述溝槽隔離結構環繞的光電二極體。
前文概述若干實施例的特徵,使得所屬領域中具通常知識者可更佳地理解本揭露的各態樣。所屬領域中具通常知識者應理解,其可易於使用本揭露作為設計或修改用於實現本文中所引入的實施例的相同目的及/或達成相同優點的其他方法及結構的基礎。所屬領域中具通常知識者亦應認識到,此類等效構造並不脫離本揭露的精神及範疇,且所屬領域中具通常知識者可在不脫離本揭露的精神及範疇的情況下在本文中作出各種改變、替代以及更改。
200:半導體結構
202:基底
204:沉積CESL
206:介電層
208:矽基層
210:光電二極體
212:溝槽隔離結構
A-A:部分

Claims (10)

  1. 一種畫素感測器,包括:基底;接觸蝕刻停止層,設置在所述基底上,其中所述接觸蝕刻停止層包括小於約12%的氫;矽基層,設置在所述接觸蝕刻停止層上方,其中所述矽基層包括光電二極體;介電層,設置在所述接觸蝕刻停止層及所述矽基層之間;以及一個或多個溝槽隔離結構,穿過所述矽基層及所述介電層設置至所述接觸蝕刻停止層。
  2. 如請求項1所述的畫素感測器,其中所述光電二極體的上表面包括多個角,其中多個所述角具有在約80度到約100度的範圍內的角度。
  3. 如請求項1所述的畫素感測器,其中所述光電二極體的上表面為矩形。
  4. 如請求項1所述的畫素感測器,其中基於所述接觸蝕刻停止層內氫原子的原子百分比,所述接觸蝕刻停止層包括小於約12%的氫。
  5. 一種製造畫素感測器的方法,包括:在基底上沉積接觸蝕刻停止層,其中沉積所述接觸蝕刻停止層包括在高於約600℃的溫度且高於約150Torr的壓力下沉積接觸蝕刻停止層材料,且其中所述接觸蝕刻停止層包括小於約12%的氫; 在所述接觸蝕刻停止層上方沉積介電層;在沉積所述介電層之後,在所述接觸蝕刻停止層及所述介電層上方沉積矽基層,以使所述介電層設置在所述接觸蝕刻停止層及所述矽基層之間;以及進行蝕刻操作進入所述矽基層及所述介電層中直到到達所述接觸蝕刻停止層,以形成溝槽隔離結構。
  6. 如請求項5所述的方法,其中進行所述蝕刻操作包括在所述矽基層的部分的周圍形成所述溝槽隔離結構,其中所述矽基層的所述部分的上表面包括具有在約80度和約100度的範圍內的角度的多個角。
  7. 如請求項5所述的方法,其中基於所述接觸蝕刻停止層內氫原子的原子百分比,所述接觸蝕刻停止層包括小於約12%的氫。
  8. 一種製造畫素感測器的方法,包括:在基底上沉積接觸蝕刻停止層,其中沉積所述接觸蝕刻停止層包括在高於約600℃的溫度下沉積接觸蝕刻停止層材料,其中沉積所述接觸蝕刻停止層材料包含沉積高於約70:1的比例的NH3和SiH4,且其中所述接觸蝕刻停止層包括小於約12%的氫;在所述接觸蝕刻停止層上方沉積介電層;在沉積所述介電層之後,在所述接觸蝕刻停止層及所述介電層的上方沉積矽基層,以使所述介電層設置在所述接觸蝕刻停止層及所述矽基層之間;以及 進行蝕刻操作以將用於溝槽隔離結構的溝槽蝕刻至所述矽基層及所述介電層中,直到到達所述接觸蝕刻停止層。
  9. 如請求項8所述的方法,其中基於所述接觸蝕刻停止層內氫原子的原子百分比,所述接觸蝕刻停止層包括小於約12%的氫。
  10. 如請求項8所述的方法,其中進行所述蝕刻操作包括在所述矽基層的部分的周圍形成所述溝槽,以及其中所述矽基層的所述部分的上表面包括具有在約80度和約100度的範圍內的角度的多個角。
TW111101454A 2021-08-31 2022-01-13 畫素感測器及製造其的方法 TWI815276B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/446,558 2021-08-31
US17/446,558 US20230063670A1 (en) 2021-08-31 2021-08-31 Contact etch stop layer for a pixel sensor

Publications (2)

Publication Number Publication Date
TW202312462A TW202312462A (zh) 2023-03-16
TWI815276B true TWI815276B (zh) 2023-09-11

Family

ID=84464228

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111101454A TWI815276B (zh) 2021-08-31 2022-01-13 畫素感測器及製造其的方法

Country Status (3)

Country Link
US (1) US20230063670A1 (zh)
CN (1) CN115497966A (zh)
TW (1) TWI815276B (zh)

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200601558A (en) * 2004-06-17 2006-01-01 Taiwan Semiconductor Mfg Co Ltd Image sensor with optical guard ring and fabrication method thereof
TW200709408A (en) * 2005-08-31 2007-03-01 Taiwan Semiconductor Mfg Co Ltd Image sensor device
TW201218313A (en) * 2010-10-28 2012-05-01 Macronix Int Co Ltd Semiconductor structure and manufacturing method of the same
TW201401493A (zh) * 2012-06-22 2014-01-01 Taiwan Semiconductor Mfg 半導體裝置及其形成方法
TW201411819A (zh) * 2012-05-02 2014-03-16 Omnivision Tech Inc 具有片段蝕刻停止層之影像感測器
US20170243899A1 (en) * 2015-03-19 2017-08-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
TW201916336A (zh) * 2017-09-29 2019-04-16 台灣積體電路製造股份有限公司 影像感測器和影像感測器製造方法
US20190280023A1 (en) * 2018-03-12 2019-09-12 Canon Kabushiki Kaisha Imaging device, method of manufacturing the same, and apparatus
US20200321360A1 (en) * 2014-05-30 2020-10-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method thereof, and electronic device
US20210217786A1 (en) * 2020-01-10 2021-07-15 Panasonic Intellectual Property Management Co., Ltd. Imaging apparatus and method for manufacturing imaging apparatus

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050116290A1 (en) * 2003-12-02 2005-06-02 De Souza Joel P. Planar substrate with selected semiconductor crystal orientations formed by localized amorphization and recrystallization of stacked template layers
EP2592661B8 (en) * 2011-11-11 2019-05-22 ams AG Lateral avalanche photodiode device and method of production
US9087851B2 (en) * 2013-05-22 2015-07-21 International Business Machines Corporation Silicon-based electronics with disabling feature
FR3056019B1 (fr) * 2016-09-13 2018-10-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Photodiode de type spad

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200601558A (en) * 2004-06-17 2006-01-01 Taiwan Semiconductor Mfg Co Ltd Image sensor with optical guard ring and fabrication method thereof
TW200709408A (en) * 2005-08-31 2007-03-01 Taiwan Semiconductor Mfg Co Ltd Image sensor device
TW201218313A (en) * 2010-10-28 2012-05-01 Macronix Int Co Ltd Semiconductor structure and manufacturing method of the same
TW201411819A (zh) * 2012-05-02 2014-03-16 Omnivision Tech Inc 具有片段蝕刻停止層之影像感測器
TW201401493A (zh) * 2012-06-22 2014-01-01 Taiwan Semiconductor Mfg 半導體裝置及其形成方法
US20200321360A1 (en) * 2014-05-30 2020-10-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method thereof, and electronic device
US20170243899A1 (en) * 2015-03-19 2017-08-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
TW201916336A (zh) * 2017-09-29 2019-04-16 台灣積體電路製造股份有限公司 影像感測器和影像感測器製造方法
US20190280023A1 (en) * 2018-03-12 2019-09-12 Canon Kabushiki Kaisha Imaging device, method of manufacturing the same, and apparatus
US20210217786A1 (en) * 2020-01-10 2021-07-15 Panasonic Intellectual Property Management Co., Ltd. Imaging apparatus and method for manufacturing imaging apparatus

Also Published As

Publication number Publication date
TW202312462A (zh) 2023-03-16
US20230063670A1 (en) 2023-03-02
CN115497966A (zh) 2022-12-20

Similar Documents

Publication Publication Date Title
US9190441B2 (en) Image sensor trench isolation with conformal doping
JP6157102B2 (ja) Bsiイメージセンサー用の半導体装置とその形成方法
JP2006148076A (ja) シリコンウェハに結合することによる薄膜ゲルマニウムの赤外線センサの製造
TW201715719A (zh) 半導體裝置及其形成方法
KR102010703B1 (ko) 고 유전 상수 유전체 층 형성 방법, 이미지 센서 디바이스 및 그 제조 방법
TWI815276B (zh) 畫素感測器及製造其的方法
CN108231809A (zh) 一种背照式图像传感器及其制备方法
US20230369367A1 (en) Passivation for a deep trench isolation structure in a pixel sensor
US8802457B2 (en) Backside surface treatment of semiconductor chips
CN109273472A (zh) Bsi图像传感器及其形成方法
CN108666333A (zh) 减少背照式图像传感器暗电流的方法
TW202238975A (zh) 像素感測件
US20110223706A1 (en) Method of forming a photodetector
CN107195646B (zh) 一种图像传感器及其制造方法
US8987034B2 (en) Backside illumination CMOS image sensor and method of manufacturing the same
US20220310686A1 (en) Fluorine passivation in a pixel sensor
US20230369369A1 (en) Stacked image sensors and methods of formation
US20240030258A1 (en) Semiconductor isolation structures and methods of forming the same
US20230378215A1 (en) Pre-cleaning for a deep trench isolation structure in a pixel sensor
JP2014003194A (ja) Soi基板、soi基板の製造方法および半導体装置の製造方法
US20230369526A1 (en) Photodetectors and methods of formation
TW202349458A (zh) 半導體裝置
TW202414008A (zh) 半導體裝置
TW202221912A (zh) 像素陣列
TW202416515A (zh) 半導體結構及其形成方法