TWI797271B - 用於處理半導體裝置之系統及方法 - Google Patents

用於處理半導體裝置之系統及方法 Download PDF

Info

Publication number
TWI797271B
TWI797271B TW108107779A TW108107779A TWI797271B TW I797271 B TWI797271 B TW I797271B TW 108107779 A TW108107779 A TW 108107779A TW 108107779 A TW108107779 A TW 108107779A TW I797271 B TWI797271 B TW I797271B
Authority
TW
Taiwan
Prior art keywords
die
dies
deviation
map
processors
Prior art date
Application number
TW108107779A
Other languages
English (en)
Other versions
TW201940892A (zh
Inventor
海倫 衡 劉
艾 翁
張國慶
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201940892A publication Critical patent/TW201940892A/zh
Application granted granted Critical
Publication of TWI797271B publication Critical patent/TWI797271B/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • G01N21/9505Wafer internal defects, e.g. microcracks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/22Connection or disconnection of sub-entities or redundant parts of a device in response to a measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8854Grading and classifying of flaws
    • G01N2021/8861Determining coordinates of flaws
    • G01N2021/8864Mapping zones of defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Pathology (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Geometry (AREA)
  • Theoretical Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Signal Processing (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Medicines Containing Material From Animals Or Micro-Organisms (AREA)
  • Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)
  • Glass Compositions (AREA)
  • Processing And Handling Of Plastics And Other Materials For Molding In General (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一種系統包含一控制器,該控制器具有一或多個處理器及經組態以儲存一或多組程式指令之記憶體。該一或多個處理器經組態以執行該一或多組程式指令。該一或多組程式指令經組態以引起該一或多個處理器:對一半導體晶圓圖應用濾波;將該經濾波半導體晶圓圖分離成複數個晶粒;針對該複數個晶粒產生一組晶粒比較統計資料;藉由將至少一檢測臨限值應用於該組晶粒比較統計資料而產生至少一偏離圖;及偵測該至少一偏離圖內之至少一偏離。

Description

用於處理半導體裝置之系統及方法
本發明大體上係關於半導體裝置生產,且更特定言之係關於程序誘導之偏離特性化。
諸如邏輯及記憶體裝置之半導體裝置之製造通常包含使用大量製程及特性化程序來處理一半導體裝置以形成該半導體裝置之各種特徵及多個層。選定製程利用光罩/倍縮光罩來將特徵印刷於諸如一晶圓之一半導體裝置上。隨著半導體裝置在橫向上變得愈來愈小且垂直延伸,開發具有增加之靈敏度及處理量之經增強特性化程序變得至關重要。
偏離(例如,一製程或製造工具與標稱規格之一隨機及/或顯著拓樸偏差)可引起半導體裝置出現缺陷。用於定位偏離及/或缺陷之選定特性化程序包含晶圓幾何形狀計量程序(例如,圖案化晶圓幾何形狀(PWG)計量、拓樸計量或類似者)及晶圓檢測程序(例如,晶粒間檢測)。
然而,晶圓幾何形狀計量程序可能丟失關於晶圓表面之拓樸之詳細資訊,此可限制全晶圓拓樸之問題發現能力。另外,晶圓檢測程序可能不對z高度及/或表面幾何形狀缺陷作出回應。因而,幾何形狀誘導之缺陷可不僅僅由晶圓幾何形狀計量程序或晶圓檢測程序涵蓋。
因此,提供一種解決上述缺點之系統及方法將為有利的。
揭示一種根據本發明之一或多項實施例之系統。在一項實施例中,該系統包含一控制器。在另一實施例中,該控制器包含一或多個處理器及經組態以儲存一或多組程式指令之記憶體。在另一實施例中,該一或多個處理器經組態以執行該一或多組程式指令。在另一實施例中,該一或多組程式指令經組態以引起該一或多個處理器對一半導體晶圓圖應用濾波。在另一實施例中,該一或多組程式指令經組態以引起該一或多個處理器將該經濾波半導體晶圓圖分離成複數個晶粒。在另一實施例中,該一或多組程式指令經組態以引起該一或多個處理器針對該複數個晶粒產生一組晶粒比較統計資料。在另一實施例中,該一或多組程式指令經組態以引起該一或多個處理器藉由將至少一檢測臨限值應用於該組晶粒比較統計資料而產生至少一偏離圖。在另一實施例中,該一或多組程式指令經組態以引起該一或多個處理器偵測該至少一偏離圖內之至少一偏離。
揭示一種根據本發明之一或多項實施例之系統。在一項實施例中,該系統包含一特性化工具。在另一實施例中,該系統包含一控制器。在另一實施例中,該控制器包含一或多個處理器及經組態以儲存一或多組程式指令之記憶體。在另一實施例中,該一或多個處理器經組態以執行該一或多組程式指令。在另一實施例中,該一或多組程式指令經組態以引起該一或多個處理器對一半導體晶圓圖應用濾波。在另一實施例中,該一或多組程式指令經組態以引起該一或多個處理器將該經濾波半導體晶圓圖分離成複數個晶粒。在另一實施例中,該一或多組程式指令經組態以引起該一或多個處理器針對該複數個晶粒產生一組晶粒比較統計資料。在另 一實施例中,該一或多組程式指令經組態以引起該一或多個處理器藉由將至少一檢測臨限值應用於該組晶粒比較統計資料而產生至少一偏離圖。在另一實施例中,該一或多組程式指令經組態以引起該一或多個處理器偵測該至少一偏離圖內之至少一偏離。
揭示一種根據本發明之一或多項實施例之方法。在一項實施例中,該方法可包含(但不限於)對一半導體晶圓圖應用濾波。在另一實施例中,該方法可包含(但不限於)將該經濾波半導體晶圓圖分離成複數個晶粒。在另一實施例中,該方法可包含(但不限於)針對該複數個晶粒產生一組晶粒比較統計資料。在另一實施例中,該方法可包含(但不限於)藉由將至少一檢測臨限值應用於該組晶粒比較統計資料而產生至少一偏離圖。在另一實施例中,該方法可包含(但不限於)偵測該至少一偏離圖內之至少一偏離。
100:方法
102:步驟
104:步驟
106:步驟
108:步驟
110:步驟
112:步驟
200:半導體晶圓圖
202:晶粒/圖案化晶圓幾何形狀(PWG)晶粒/緊鄰晶粒
204:像素
206:標稱三維晶粒堆疊/晶粒堆疊
300:中心晶粒
302:頂部晶粒
304:右晶粒
306:底部晶粒
308:左晶粒
310:頂部-中心晶粒
312:右-中心晶粒
314:底部-中心晶粒
316:左-中心晶粒
318:偏離
400:方法
402:步驟
404:步驟
406:步驟
408:步驟
500:系統
502:程序工具
504:程序工具
506:樣本
508:特性化工具
510:樣本載物台
512:控制器
600:處理器
602:記憶體/遠端記憶體
604:程式指令
606:使用者介面
608:顯示裝置
610:使用者輸入裝置
熟習此項技術者藉由參考附圖可更佳理解本發明之諸多優點,其中:圖1繪示根據本發明之一或多項實施例之用於程序誘導之偏離特性化之一方法的一流程圖;圖2A繪示根據本發明之一或多項實施例之一半導體晶圓圖之一簡化示意圖;圖2B繪示根據本發明之一或多項實施例之半導體晶圓晶粒之一簡化示意圖;圖2C繪示根據本發明之一或多項實施例之在表示一半導體晶圓晶粒之部分之一半導體晶圓圖像素下之一堆疊設計之一簡化示意圖; 圖3A繪示根據本發明之一或多項實施例之界定於一半導體晶圓圖內之半導體晶圓晶粒之一簡化示意圖;圖3B用圖形繪示根據本發明之一或多項實施例之界定於一半導體晶圓圖內之半導體晶圓晶粒之間的一比較之資料;圖3C用圖形繪示根據本發明之一或多項實施例之界定於一半導體晶圓圖內之半導體晶圓晶粒之間的一比較之資料;圖3D用圖形繪示根據本發明之一或多項實施例之界定於一半導體晶圓圖內之半導體晶圓晶粒之間的一比較之資料;圖3E用圖形繪示根據本發明之一或多項實施例之界定於一半導體晶圓圖內之半導體晶圓晶粒之間的一比較之資料;圖4繪示根據本發明之一或多項實施例之用於半導體裝置生產期間之程序誘導之偏離特性化之一方法的一流程圖;圖5繪示根據本發明之一或多項實施例之用於半導體裝置生產期間之程序誘導之偏離特性化之一系統的一簡化方塊圖;及圖6繪示根據本發明之一或多項實施例之用於半導體裝置生產期間之程序誘導之偏離特性化之一系統的一簡化方塊圖。
相關申請案之交叉參考
本申請案根據35 U.S.C.§ 119(e)規定主張以Helen Liu為發明者,於2018年3月10日申請之標題為PATTERN WAFER GEOMETRY DIE TO DIE INSPECTION之美國臨時專利申請案第62/641,297號之權利,該案之全文以引用的方式併入本文中。
現將詳細參考附圖中繪示之所揭示標的物。
大體上參考圖1至圖6,揭示一種根據本發明之一或多項實施例之用於程序誘導之偏離特性化之系統及方法。
本發明之實施例係關於程序誘導之偏離特性化。本發明之實施例亦係關於經由回應於藉由組合晶圓幾何形狀計量程序與晶圓檢測程序而定位之偏離來控制特性化程序而改良特性化工具之效能以在偵測由製程引起之偏離時促進靈敏度及準確度增加。本發明之實施例亦係關於經由藉由回應於憑藉組合晶圓幾何形狀計量程序與晶圓檢測程序而定位之偏離來調整製造工具而控制製程來改良製造工具之效能以在偵測由製程引起之偏離時促進靈敏度及準確度增加。
圖1至圖4大體上繪示根據本發明之一或多項實施例之用於程序誘導之位移特性化之一方法。
圖1繪示根據本發明之一或多項實施例之用於程序誘導之偏離特性化之一方法100。
在步驟102中,對一半導體晶圓圖應用濾波。在一項實施例中,該濾波可包含應用一或多個演算法以自該半導體晶圓圖導出一或多個選定晶粒度量。在另一實施例中,如藉由用於半導體晶圓之一配方所闡述般應用濾波。
圖2A至圖2C大體上繪示根據本發明之一或多項實施例之半導體晶圓圖200之一簡化示意圖。在一項實施例中,該半導體晶圓圖係一基於圖案化晶圓幾何形狀(PWG)之圖。例如,該基於PWG之圖可包含(但不限於)一拓樸圖。例如,該拓樸圖可包含一全晶圓拓樸圖。在此實例中,經應用之濾波可包含(但不限於)自拓樸圖導出奈米拓樸峰-谷度量之演算法。
在另一實施例中,自一特性化工具接收半導體晶圓圖。例如,該特性化工具可包含(但不限於)一圖案化晶圓幾何形狀(PWG)工具。然而,本文中應注意,可自一中間源(例如,一伺服器、一控制器或類似者)接收半導體晶圓圖,其中該中間源通信地耦合至特性化工具。另外,本文中應注意,可自從特性化工具接收之資訊產生半導體晶圓圖。
在步驟104中,將經濾波之半導體晶圓圖分離成一或多個晶粒。在一項實施例中,如圖2A至圖2C中所繪示,半導體晶圓包含一或多個晶粒202。在另一實施例中,一特定晶粒202在半導體晶圓圖200中藉由包含一或多個像素204之一或多個圖案化晶圓幾何形狀(PWG)晶粒202表示。在另一實施例中,特定晶粒202包含在特定晶粒202下面或下方之一標稱三維晶粒堆疊206。
在另一實施例中,基於一或多個晶粒202之一或多個選定空間特性將半導體晶圓圖200分離(例如,切割)成一或多個晶粒堆疊206。例如,該一或多個選定空間特性可基於一晶粒尺寸且可包含(但不限於)寬度、高度、偏移或類似者。在另一實施例中,一或多個選定空間特性係包含於用於半導體晶圓之配方內。在另一實施例中,將半導體晶圓圖200分離成一或多個晶粒堆疊206係經由一重新映射方案來完成。例如,該重新映射方案可為與步驟102中實施之重新映射方案相同之重新映射方案。然而,本文中應注意,該重新映射方案可為不同於步驟102中實施之重新映射方案之一重新映射方案。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
在步驟106中,針對經分離晶粒判定一或多個晶粒比較統計資料。在一項實施例中,該判定該等晶粒比較統計資料可包含使緊鄰晶 粒202彼此相減以產生用於晶粒202之比較資料。在另一實施例中,緊鄰晶粒202係藉由用於半導體晶圓之配方所定義。例如,緊鄰晶粒202可包含符合近似標稱配置(例如,類似於晶粒堆疊206)之一晶粒堆疊。本文中應注意,可係指「鄰近」、「相鄰」或類似者。
圖3A至圖3E大體上繪示根據本發明之一或多項實施例之比較界定在半導體晶圓圖200內之一或多個晶粒202之間的資料。
在一項實施例中,一或多個晶粒202包含一中心晶粒300。在另一實施例中,比較中心晶粒300與一或多個緊鄰晶粒202。例如,一或多個緊鄰晶粒202可包含(但不限於)一頂部晶粒302、一右晶粒304、一底部晶粒306及/或一左晶粒308。
在另一實施例中,藉由比較緊鄰晶粒202資料與中心晶粒300資料(例如,自中心晶粒300資料減去緊鄰晶粒202資料)而產生比較資料。例如,在存在四個緊鄰晶粒202(例如,頂部晶粒302、右晶粒304、底部晶粒306及左晶粒308)之情況下,可產生四組比較資料。例如,如圖3B中所繪示,可自中心晶粒300資料減去頂部晶粒302資料以產生頂部-中心晶粒310資料。另外,如圖3C中所繪示,可自中心晶粒300資料減去右晶粒304資料以產生右-中心晶粒312資料。此外,如圖3D中所繪示,可自中心晶粒300資料減去底部晶粒306資料以產生底部-中心晶粒314資料。此外,如圖3E中所繪示,可自中心晶粒300資料減去左晶粒308資料以產生左-中心晶粒316資料。
在另一實施例中,藉由比較中心晶粒300資料與緊鄰晶粒202資料而產生之一或多組比較資料(例如,頂部-中心晶粒310資料、右-中心晶粒312資料、底部-中心晶粒314資料及左-中心晶粒316資料)包含在 中心晶粒300內之一或多個偏離318。
儘管本發明係關於比較一特定中心晶粒300之資料與四個緊鄰晶粒202之資料(例如,如圖3B至圖3E中所繪示),然本文中應注意,一特定中心晶粒300之資料可僅需要與兩個緊鄰晶粒202之資料比較以確保一可能偏離318被精確定位至被檢視之特定中心晶粒300。例如,存在於藉由比較特定中心晶粒300資料與兩個緊鄰晶粒202之兩者之資料而產生之比較資料中之偏離318將可能偏離318精確定位至被檢視之特定中心晶粒300。
在另一實施例中,比較程序偏移以檢視一新中心晶粒300。例如,比較程序可在圖3A中向右偏移,使得前右晶粒304變為一新中心晶粒300,前中心晶粒300變為一新左晶粒308,且一新頂部晶粒、一新右晶粒及一新底部晶粒之一或多者係用於比較目的。在此方面,可針對偏離318檢查半導體晶圓上之每個晶粒202。
儘管本發明之實施例係關於在相同半導體晶圓上之緊鄰晶粒202之間進行比較,然本文中應注意,具有相同共同結構之晶粒202可跨多個半導體晶圓進行比較。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
儘管本發明係關於相同半導體晶圓上之緊鄰晶粒202之間的比較,然本文中應注意,該比較可在一特定晶粒202與一「黃金晶粒」(或「黃金參考晶粒」)之間進行。例如,黃金晶粒可經由相同晶圓上之一特定晶粒202之一第一掃描產生,接著可比較該黃金晶粒與相同晶圓上之相同晶粒之後續掃描。藉由另一實例,黃金晶粒掃描及後續晶粒掃描可自不同晶圓獲得。藉由另一實例,黃金晶粒可為已知待校正(例如,藉由一 製造商)之一特定晶粒之一版本,接著可比較該黃金晶粒與不同晶圓上之相同晶粒之掃描。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
在另一實施例中,自比較資料產生晶粒比較之統計資料。在另一實施例中,針對緊鄰晶粒202內之像素204產生統計資料,其中緊鄰晶粒202包含一共同結構。例如,可在每像素基礎上判定用於緊鄰晶粒202內之像素204之統計資料。例如,具有1000個像素204之一晶粒202可跨半導體晶圓圖200重複50次,此意謂半導體晶圓圖200可包含用於該1000個像素204之各者之共同結構之50個例項。
儘管本發明係關於比較緊鄰晶粒202,然本文中應注意,所提供之描述可限定於比較緊鄰像素204。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
在步驟108中,基於經產生之晶粒比較統計資料建立一檢測臨限值。在一項實施例中,將一或多個資料分析演算法應用於自比較資料產生之晶粒比較統計資料以建立檢測臨限值。例如,可自晶粒比較統計資料產生一直方圖以建立檢測臨限值。例如,檢測臨限值可為該直方圖內之一3標準差偏差(three-sigma deviation)。本文中應注意,經建立之檢測臨限值可包含一正值或一負值。
在另一實施例中,經建立之檢測臨限值係針對一特定晶粒202之單個所關注區域而選擇。然而,本文中應注意,經建立之檢測臨限值可分割至特定晶粒202之多個、不同所關注區域中。在另一實施例中,經建立之檢測臨限值可具有一選定空間範圍。例如,經建立之檢測臨限值可在自涵蓋特定晶粒202內之所有像素之每晶粒單個臨限值至用於特定晶 粒202內之各像素之一個別臨限值之範圍內。
在步驟110中,基於經建立之檢測臨限值產生一或多個偏離圖。在一項實施例中,將經建立之檢測臨限值應用於經產生之比較資料之經產生之晶粒比較統計資料。例如,將超過在用於對應於一特定中心晶粒300之比較資料之至少兩者之晶粒比較統計資料中之經建立之檢測臨限值之任何值(例如,該值大於一正臨限值或小於一負臨限值)視為相較於特定中心晶粒300之緊鄰晶粒202之特定中心晶粒300內之一偏離(例如,幾何形狀偏差),而非視為特定中心晶粒300中之隨機雜訊。本文中應注意,將經建立之檢測臨限值應用於比較資料之晶粒比較統計資料而非原始晶粒202資料以考量一第一晶粒間檢測程序與一後續晶粒間檢測程序之間的漂移。然而,本文中應注意,可將經建立之檢測臨限值應用於原始晶粒202資料。在另一實施例中,由將經建立之檢測臨限值應用於所產生之晶粒比較統計資料而產生一或多個最終區域及/或基於像素之檢測圖。在另一實施例中,將該一或多個最終區域及/或基於像素之檢測圖組合(例如,合併或團聚(blobbed))成一或多個偏離圖。
儘管本發明之實施例係關於基於經建立之檢測臨限值判定一或多個偏離圖,然本文中應注意,該一或多個偏離圖可基於一使用者指定之檢測臨限值。例如,關於在方法100之至少步驟110中使用經建立之檢測臨限值所提供之描述可係關於使用者指定之檢測臨限值。例如,使用者指定之檢測臨限值可至少應用於所產生之晶粒比較統計資料,而非將經建立之檢測臨限值應用於所產生之晶粒比較統計資料。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
在步驟112中,偵測偏離圖內之偏離。在一項實施例中, 應用一或多個額外後處理程序以選擇指示一或多個偏離圖內之一偏離之一可能位置之像素以偵測偏離。
本文中應注意,組合圖案化晶圓幾何形狀計量程序與晶圓檢測程序可將偏離偵測擴展至習知基於晶粒統計資料之問題報告機制(計量特性化工具在傳統上限於習知基於晶粒統計資料之問題報告機制)以外,從而改良計量特性化工具程序之效能。應注意,圖案化晶圓幾何形狀計量程序(例如,全晶圓拓樸映射)與晶圓檢測程序(例如,晶粒間檢測)之組合可擴展表面幾何形狀特性化工具之能力以涵蓋表面幾何形狀偏離監測。另外,該組合可輔助在積體電路(IC)之圖案化晶圓幾何形狀(PWG)特性化及製造期間之穿透。例如,該組合可使一圖案化晶圓幾何形狀(PWG)產品線能夠檢查一產品晶圓大量製造(HVM)偏離監測而不限制一不透明頂部塗層,同時包含對透明膜誤差之一不敏感性。在此方面,該組合可與具有一透明膜誤差限制(本文中應注意,通常認為其對於單獨光學表面計量係不可能的)之任何圖案化晶圓透明膜堆疊一起使用。
儘管本發明之實施例係關於出於偏離監測之目的判定一或多個偏離圖,然本文中應注意,可產生該一或多個偏離圖以在半導體生產程序之研究與開發期間利用。圖4繪示根據本發明之一或多項實施例之用於半導體裝置生產期間之程序誘導之偏離特性化之一方法400的一流程圖。
在步驟402中,自一特性化工具接收一或多個信號。在一項實施例中,該一或多個信號係藉由該特性化工具回應於一半導體晶圓之掃描而產生。在另一實施例中,特性化工具包含一基於PWG之特性化工具。
在步驟404中,在經接收信號中偵測半導體晶圓偏離。在一項實施例中,一或多個偏離係經由方法100之一或多個步驟偵測。
在步驟406中,基於經偵測偏離產生用於一或多個程序工具之一或多個控制信號。在一項實施例中,該一或多個控制信號藉由調整造就在一或多個經產生之偏離圖內觀察之一偏離之一對應程序工具(例如,半導體裝置程序工具)而改良一半導體製程之效能。
在步驟408中,將一或多個控制信號提供至一或多個程序工具。在一項實施例中,可經由一回饋迴路將該一或多個控制信號提供至一程序工具(例如,至定位於製造程序線內特性化工具之前之一程序工具)以防止後續晶圓上之偏離。在另一實施例中,可經由一前饋迴路將一或多個控制信號提供至一程序工具(例如,至定位於製造程序線內特性化工具之後之一程序工具)以補償相同半導體晶圓上之偏離。
本文中應注意,方法100及/或方法400並不限於所提供之步驟。例如,方法100及/或方法400可代替性地包含更多或更少步驟。藉由另一實例,方法100及/或方法400可依除所提供以外之一順序執行步驟。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
圖5及圖6大體上繪示根據本發明之一或多項實施例之用於半導體裝置生產期間之程序誘導之偏離特性化之一系統500。
在一項實施例中,系統500經組態以執行一或多個半導體生產程序。
在另一實施例中,該一或多個半導體生產程序包含一或多個半導體製程。例如,該一或多個半導體製程可包含(但不限於)一或多個 微影程序,諸如基板製備、旋塗、預烘烤程序、曝光程序、曝光後烘烤程序、顯影程序、後烘烤程序或類似者。例如,一或多個微影程序可包含(但不限於)圖案化程序、蝕刻程序、剝離程序、退火程序、化學機械平坦化(CMP)程序或類似者。藉由另一實例,一或多個半導體製程可包含(但不限於)一或多個膜沈積程序。例如,該一或多個膜沈積程序可包含(但不限於)化學氣相沈積(CVD)程序、物理氣相沈積(PVD)程序或類似者。在另一實施例中,系統500包含經組態以執行一或多個半導體製程之一或多個程序工具502及/或一或多個程序工具504。
例如,一或多個程序工具502及/或一或多個程序工具504可包含一或多個微影程序工具。例如,該一或多個微影程序工具可包含(但不限於)圖案化工具、蝕刻工具、半導體摻雜工具或類似者。一般而言,一或多個微影程序工具可包含此項技術中已知之任何微影程序工具。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
藉由另一實例,一或多個程序工具502及/或一或多個程序工具504可包含一或多個膜沈積工具。例如,該一或多個膜沈積工具可沈積一或多個膜以在一樣本506上形成一或多層。例如,一層可包含藉由以圖案化一預期設計開始且緊接在圖案化下一層之下一設計之前結束之一組半導體生產程序製造之一或多個膜。該一或多個膜可基於一操作配方沈積。例如,可將一或多個膜沈積於樣本506之一前側(例如,前側膜)、樣本506之一背側(例如,一背側膜)上及/或沈積於先前沈積於樣本506上之一層上。
在另一實施例中,樣本506包含適於特性化(例如,檢視、 成像疊對或類似者)之任何樣本。例如,樣本506可包含(但不限於)一光罩/倍縮光罩、半導體晶圓或類似者。如貫穿本發明所使用,術語「晶圓」係指由一半導體及/或一非半導體材料形成之一基板。例如,在一半導體材料之情況中,晶圓可由(但不限於)單晶矽、砷化鎵及/或磷化銦形成。因而,術語「晶圓」及術語「樣本」在本發明中可互換地使用。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
本文中應注意,許多不同類型之裝置可形成於一晶圓上,且如本文中所使用之術語晶圓旨在涵蓋其上製造此項技術中已知之任何類型之裝置之一晶圓。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
在另一實施例中,一或多個半導體生產程序包含一或多個半導體特性化程序。例如,該一或多個半導體特性化程序可在一或多個半導體生產程序之前、之間及/或之後執行。藉由另一實例,一或多個半導體特性化程序可包含一或多個計量程序。例如,該一或多個計量程序可包含(但不限於)全晶圓拓樸計量及/或圖案化晶圓幾何形狀(PWG)計量。本文中應注意,相對於可包含經由所產生之光學信號或電子束信號集中於鄰近晶粒之間的非重複缺陷之檢測程序(例如,晶粒間檢測),一或多個計量程序可包含判定用於晶圓上之選定晶粒度量之統計值及比較選定晶粒之統計資料。
在另一實施例中,系統500包含經組態以執行一或多個半導體特性化程序之一或多個特性化工具508。例如,一或多個特性化工具508可包含(但不限於)一或多個計量工具。藉由另一實例,一或多個特性化工具508可包含(但不限於)一或多個檢測工具。一般而言,一或多個特 性化工具508可包含此項技術中已知之適於檢測一或多個晶圓、倍縮光罩或光罩之任何檢視工具、基於成像之疊對計量工具、檢測工具或類似工具。
例如,一或多個特性化工具508可包含(但不限於)經組態以量測樣本506之一或多個空間特性之一或多個晶圓幾何形狀(WG)工具或圖案化晶圓幾何形狀(PWG)工具(例如,一干涉儀)。例如,該一或多個空間特性可包含(但不限於):高度(例如,前側高度或背側高度)、厚度變動、平坦度及導出物(諸如形狀、形狀差異、奈米拓樸或類似者)。本文中應注意,樣本506之一或多個空間特性可與樣本506之晶圓幾何形狀有關。另外,本文中應注意,特性化工具508可經調適以特性化樣本506上之圖案化晶圓幾何形狀,其中藉由將樣本506之不同區域之量測結果拼接在一起而擴展藉由基於PWG之特性化工具量測之樣本506斜率(例如,晶圓斜率)之動態範圍。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
藉由另一實例,一或多個特性化工具508可包含一或多個檢測工具。例如,該一或多個檢測工具可包含一光學特性化工具,該光學特性化工具能夠產生表示樣本506之電意圖之一或多個高解析度影像且能夠在對應於(但不限於)可見光、UV輻射、DUV輻射、VUV輻射、EUV輻射及/或X射線輻射之一波長下操作。另外,一或多個檢測工具可包含一寬頻檢測工具,包含(但不限於)基於一雷射維持電漿(LSP)之檢測工具。此外,一或多個檢測工具可包含一窄頻特性化工具,諸如(但不限於)一雷射掃描檢測工具。
在另一實施例中,樣本506在半導體生產程序期間在一或 多個程序工具502、一或多個程序工具504及/或一或多個特性化工具508之間傳送。例如,一或多個特性化工具508可在一或多個半導體製程之前、之間及/或之後執行一或多個半導體特性化程序。
在另一實施例中,可在後續樣本506上之後續製程中(例如,在一回饋迴路中)防止一或多個半導體製程中之經判定偏離。例如,可在一回饋迴路中基於一或多個半導體製程中之經判定偏離來調整一或多個程序工具502。在另一實施例中,可在相同樣本506上之後續製程中(例如,在一前饋迴路中)補償一或多個半導體製程中之經判定偏離。例如,可在前饋迴路中基於一或多個半導體製程中之經判定偏離來調整一或多個程序工具504。
在另一實施例中,經由緊鄰於程序工具502、一或多個程序工具504及/或一或多個特性化工具508之一樣本載物台510固定樣本506。例如,一或多個程序工具502、一或多個程序工具504及/或一或多個特性化工具508可各具有一單獨樣本載物台510。藉由另一實例,一或多個程序工具502、一或多個程序工具504及/或一或多個特性化工具508中之至少一些者可共用一共同樣本載物台510。
樣本載物台510可包含半導體特性化之技術中已知之任何適當機械及/或機器人總成。例如,樣本載物台510可經組態以經由與樣本506之一前側表面及/或一背側表面之至少一部分接觸而固定樣本506。例如,樣本載物台510可包含(但不限於)一平台。藉由另一實例,樣本載物台510可經組態以經由與樣本506之一厚度表面及/或一邊緣接觸而固定樣本506。例如,樣本載物台510可包含(但不限於)一或多個點接觸裝置。
樣本載物台510可包含一可致動載物台。例如,樣本載物 台510可包含(但不限於)適於使樣本506沿著一或多個線性方向(例如,x方向、y方向及/或z方向)選擇性地平移之一或多個平移載物台。藉由另一實例,樣本載物台510可包含(但不限於)適於使樣本506沿著一旋轉方向選擇性地旋轉之一或多個旋轉載物台。藉由另一實例,樣本載物台510可包含(但不限於)適於使樣本506沿著一線性方向選擇性地平移及/或使樣本506沿著一旋轉方向選擇性地旋轉之一或多個平移及旋轉載物台。藉由另一實例,樣本載物台510可經組態以使樣本506平移或旋轉以根據一選定特性化程序(例如,檢視、成像疊對、檢測或類似者)進行定位、聚焦及/或掃描(其等之若干者在此項技術中係已知的)。
在一項實施例中,系統500包含一控制器512。例如,控制器512可藉由可包含有線及/或無線部分之一傳輸媒體通信地耦合至一或多個程序工具502、一或多個程序工具504及/或一或多個特性化工具508。
在另一實施例中,控制器512包含一或多個處理器600及/或記憶體602。在另一實施例中,記憶體602儲存一或多組程式指令604。在另一實施例中,一使用者介面606通信地耦合至控制器512及/或與控制器512整合。例如,控制器512可經由可包含有線及/或無線部分之一傳輸媒體耦合至使用者介面606。在另一實施例中,使用者介面606包含一或多個顯示裝置608及/或一或多個使用者輸入裝置610。在另一實施例中,一或多個顯示裝置608經耦合至一或多個使用者輸入裝置610。例如,一或多個顯示裝置608可藉由可包含有線及/或無線部分之一傳輸媒體耦合至一或多個使用者輸入裝置610。
控制器512可經組態以經由可包含有線及/或無線部分之一傳輸媒體自其他系統或系統500之子系統(例如,一或多個程序工具502、 一或多個程序工具504、一或多個特性化工具508、使用者介面606或類似者)接收及/或獲取資料或資訊。另外,控制器512可經組態以藉由可包含有線及/或無線部分之一傳輸媒體將資料或資訊(例如,本文中所揭示之發明概念之一或多個程序之輸出)傳輸至一或多個系統或系統500之子系統(例如,一或多個程序工具502、一或多個程序工具504、一或多個特性化工具508、使用者介面606或類似者)。在此方面,該傳輸媒體可用作控制器512與系統500之其他子系統之間的一資料鏈路。另外,控制器512可經組態以經由一傳輸媒體(例如,網路連接)將資料發送至外部系統。
一或多個處理器600可包含此項技術中已知之任一個或多個處理元件。在此意義上,一或多個處理器600可包含經組態以執行演算法及/或程式指令604之任何微處理器裝置。例如,一或多個處理器600可由一桌工型電腦、大型電腦系統、工作站、影像電腦、平行處理器、手持式電腦(例如,平板電腦、智慧型手機或平板手機)或另一電腦系統(例如,網路化電腦)組成。一般而言,術語「處理器」可經廣泛定義以涵蓋具有一或多個處理元件之任何裝置,該一或多個處理元件執行來自一非暫時性記憶體媒體(例如,記憶體602)之一或多組程式指令604。此外,系統500之不同子系統(例如,一或多個程序工具502、一或多個程序工具504、一或多個特性化工具508、使用者介面606或類似者)可包含適於實行貫穿本發明描述之步驟之至少一部分之處理器或邏輯元件。因此,上文描述不應解釋為限制本發明而僅為一圖解。
記憶體602可包含此項技術中已知之適於儲存可藉由相關聯之一或多個處理器600執行之一或多組程式指令604之任何儲存媒體。例如,記憶體602可包含一非暫時性記憶體媒體。例如,記憶體602可包 含(但不限於)一唯讀記憶體、一隨機存取記憶體、一磁性或光學記憶體裝置(例如,磁碟)、一磁帶、一固態硬碟及類似者。記憶體602可經組態以提供顯示資訊至使用者介面606之一顯示裝置。另外,記憶體602可經組態以儲存來自使用者介面606之一使用者輸入裝置之使用者輸入資訊。記憶體602可與一或多個處理器600一起容置於一共同控制器512外殼中。替代性地或此外,記憶體602可相對於處理器600及/或控制器512之空間位置遠端地定位。例如,一或多個處理器600及/或控制器512可存取可透過一網路(例如,網際網路、內部網路及類似者)存取之一遠端記憶體602(例如,伺服器)。
在另一實施例中,控制器512經由一或多個處理器600執行來自儲存於記憶體602上之程式指令604之一或多個半導體製程、一或多個半導體特性化程序、一或多個模型化程序及/或一或多個系統分析程序。例如,一或多個程式指令604可經組態以引起一或多個處理器600:對一半導體晶圓圖應用濾波;將該經濾波半導體晶圓圖分離成晶粒堆疊;藉由比較緊鄰晶粒堆疊而產生晶粒比較統計資料;及/或藉由將至少一檢測臨限值應用於晶粒比較統計資料而產生偏離圖。藉由另一實例,一或多個程式指令604可經組態以引起一或多個處理器600自一特性化工具接收信號及/或基於經判定偏離圖產生用於程序工具之控制信號。一般而言,一或多組程式指令604可經組態以引起一或多個處理器600實行貫穿本發明所描述之一或多個方法(例如,方法100及/或方法400)之任何步驟。
儘管本發明之實施例將控制器512繪示為獨立於一或多個程序工具502、一或多個程序工具504及/或一或多個特性化工具508之一組件,然本文中應注意,可經由整合於一或多個程序工具502、一或多個程 序工具504內及/或一或多個特性化工具508內之一控制器實施任何製程、特性化程序、模型化程序及/或用於判定樣本506之空間特性之系統分析程序。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
一或多個顯示裝置608可包含此項技術中已知之任何顯示裝置。例如,一或多個顯示裝置608可包含(但不限於)一液晶顯示器(LCD)。藉由另一實例,一或多個顯示裝置608可包含(但不限於)一基於有機發光二極體(OLED)之顯示器。藉由另一實例,一或多個顯示裝置608可包含(但不限於)一CRT顯示器。熟習此項技術者應認知,多種顯示裝置可適於本發明中之實施方案且顯示裝置之特定選擇可取決於多種因素,包含(但不限於)外觀尺寸、成本及類似者。一般而言,能夠與一使用者輸入裝置(例如,觸控螢幕、面板安裝介面、鍵盤、滑鼠、軌跡墊及類似者)整合之任何顯示裝置係適用於本發明中之實施方案。
一或多個使用者輸入裝置610可包含此項技術中已知之任何使用者輸入裝置。例如,一或多個使用者輸入裝置610可包含(但不限於):一鍵盤、一小鍵盤、一觸控螢幕、一控制桿、一旋鈕、一滾輪、一軌跡球、一開關、一撥盤、一滑桿、一捲桿、一滑件、一握把、一觸控墊、一踏板、一方向盤、一操縱桿、一面板輸入裝置或類似者。在一觸控螢幕介面之情況中,熟習此項技術者應認知,大量觸控螢幕介面可適用於本發明中之實施方案。例如,一或多個顯示裝置608可與一觸控螢幕介面(諸如但不限於,一電容性觸控螢幕、一電阻性觸控螢幕、一基於表面聲波之觸控螢幕、一基於紅外線之觸控螢幕或類似者)整合。一般而言,能夠與一顯示裝置之顯示部分整合之任何觸控螢幕介面係適用於本發明中之 實施方案。在另一實施例中,一或多個使用者輸入裝置610可包含(但不限於)一面板安裝介面。
儘管本發明之實施例描述一或多個程序工具502、一或多個程序工具504及一或多個特性化工具508作為系統500之組件,然本文中應注意,一或多個程序工具502、一或多個程序工具504及/或一或多個特性化工具508可不係系統500之整合或所需組件。例如,一或多個程序工具502、一或多個程序工具504及/或一或多個特性化工具508可為與系統500分離且經由一中間源(例如,控制器512、一伺服器或類似者)通信地耦合至系統500之組件。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
儘管本發明之實施例將控制器512描述為系統500之一組件,但本文中應注意,控制器512可不係系統500之一整合或所需組件。另外,雖然本發明之實施例將使用者介面606描述為系統500之一組件,但本文中應注意,使用者介面606可不係系統500之一整合或所需組件。因此,上文描述不應解釋為對本發明之範疇之一限制,而僅解釋為一圖解。
本發明之優點包含程序誘導之偏離特性化。本發明之優點亦包含經由回應於藉由組合晶圓幾何形狀計量程序與晶圓檢測程序而定位之偏離來控制特性化程序而改良特性化工具之效能以在偵測由製程引起之偏離時促進靈敏度及準確度增加。本發明之優點亦包含經由藉由回應於憑藉組合晶圓幾何形狀計量程序與晶圓檢測程序而定位之偏離來調整製造工具而控制製程來改良製造工具之效能以在偵測由製程引起之偏離時促進靈敏度及準確度增加。
熟習此項技術者將認知,當前最先進技術已進展至系統之態樣之硬體、軟體及/或韌體實施方案之間不存在區別的地步;硬體、軟體及/或韌體之使用通常係(但非始終,由於在某些背景內容中,硬體與軟體之間之選擇可變得顯著)表示成本對效率權衡之一設計選擇。熟習此項技術者將瞭解,存在可藉由其實現本文中描述之程序及/或系統及/或其他技術之多種工具(例如,硬體、軟體及/或韌體)且較佳工具將隨著部署程序及/或系統及/或其他技術之背景內容而變動。舉例而言,若一實施者判定速度及準確度係最重要的,則實施者可選取一主要硬體及/或韌體工具;替代地,若靈活性係最重要的,則實施者可選取一主要軟體實施方案;或又再次替代地,實施者可選取硬體、軟體及/或韌體之某個組合。因此,存在可藉由其實現本文中描述之程序及/或裝置及/或其他技術之若干可能工具,該等工具之任何者本質上並不優於其他工具,因為待利用之任何工具係取決於其中將部署工具之背景內容及實施者之特定關注(例如,速度、靈活性或可預測性)(其等之任何者可變動)之一選擇。熟習此項技術者將認知,實施方案之光學態樣通常將採用光學定向硬體、軟體及/或韌體。
在本文中所描述之一些實施方案中,邏輯及類似實施方案可包含軟體或其他控制結構。例如,電子電路可具有經構造及配置以實施如本文中所描述之各種功能之一或多個電流路徑。在一些實施方案中,一或多個媒體可經組態以在此等媒體保存或傳輸可操作以如本文中所描述般執行之裝置可偵測指令時承載一裝置可偵測實施方案。例如,在一些變體中,實施方案可包含諸如藉由執行與本文中所描述之一或多個操作有關之一或多個指令之一接收或一傳輸而更新或修改現有軟體或韌體,或閘陣列 或可程式化硬體。替代性地或此外,在一些變體中,一實施方案可包含專用硬體、軟體、韌體組件及/或執行或以其他方式調用專用組件之通用組件。可藉由如本文中所描述之有形傳輸媒體之一或多個例項,視需要藉由封包傳輸或以其他方式在任何時候透過分佈式媒體傳遞而傳輸說明書或其他實施方案。
替代性地或此外,實施方案可包含執行一專用指令序列或調用電路以用於實現、觸發、協調、請求或以其他方式引起本文中所描述之實際上任何功能操作之一或多次發生。在某些變體中,可將本文中之操作或其他邏輯描述表達為原始程式碼且經編譯或以其他方式調用為一可執行指令序列。在某些背景內容中,舉例而言,可由原始程式碼(諸如C++)或其他程式碼序列整體或部分地提供實施方案。在其他實施方案中,可將使用市售技術及/或此項技術中之技術之原始程式碼或其他程式碼實施方案編譯/實施/轉譯/轉換成一高階描述符語言(例如,最初以C、C++、python、Ruby on Rails、Java、PHP、.NET或Node.js程式設計語言實施所描述技術,且此後將程式設計語言實施方案轉換成一可邏輯合成語言實施方案、一硬體描述語言實施方案、一硬體設計模擬實施方案及/或(若干)其他此等類似表達模式)。舉例而言,一邏輯表達(例如,電腦程式設計語言實施方案)中之某些或所有邏輯表達可表現為一Verilog類型硬體描述(例如,經由硬體描述語言(HDL)及/或極高速積體電路硬體描述符語言(VHDL))或表現為接著可用於產生具有硬體之一實體實施方案之其他電路模型(例如,一特定應用積體電路)。熟習此項技術者將鑒於此等教示而認知如何獲得、組態及最佳化適合傳輸或運算元件、材料供應器、致動器或其他結構。
前述詳細描述已經由使用方塊圖、流程圖及/或實例闡述裝置及/或程序之各項實施例。只要此等方塊圖、流程圖及/或實例含有一或多個功能及/或操作,熟習此項技術者便將理解,可藉由廣泛範圍之硬體、軟體、韌體或實質上其等之任何組合個別及/或共同地實施此等方塊圖、流程圖或實例內之各功能及/或操作。在一項實施例中,可經由特定應用積體電路(ASIC)、場可程式化閘陣列(FPGA)、數位信號處理器(DSP)或其他整合格式實施本文中所描述之標的物之若干部分。然而,熟習此項技術者將認知,本文中所揭示之實施例之一些態樣全部或部分可等效地實施在積體電路中作為運行於一或多個電腦上之一或多個電腦程式(例如,作為運行於一或多個電腦系統上之一或多個程式)、作為運行於一或多個處理器上之一或多個程式(例如,作為運行於一或多個微處理器上之一或多個程式)、作為韌體或作為實際上其等之任何組合,且將認知,鑑於本發明,設計電路及/或寫入用於軟體及/或韌體之程式碼將肯定在熟習此項技術者之技能範疇內。另外,熟習此項技術者將瞭解,本文中所描述之標的物之機構能夠分佈為呈各種形式之一程式產品,且瞭解本文中所描述之標的物之一闡釋性實施例同樣適用而不論用於實際上實行分佈之信號承載媒體之特定類型為何。一信號承載媒體之實例包含(但不限於)以下各者:一可記錄類型媒體,諸如一軟碟、一硬碟機、一光碟(CD)、一數位視訊磁碟(DVD)、一數位磁帶、一電腦記憶體等;及一傳輸類型媒體,諸如一數位及/或一類比通信媒體(例如,一光纖電纜、一波導、一有線通信鏈路、一無線通信鏈路(例如,傳輸器、接收器、傳輸邏輯、接收邏輯等)等)。
一般而言,熟習此項技術者將認知,可藉由各種類型之機 電系統個別及/或共同地實施本文中所描述之各項實施例,該等機電系統具有:廣泛範圍之電組件(諸如硬體、軟體、韌體及/或實際上其等之任何組合);及可賦予機械力或運動之廣泛範圍之組件(諸如剛性體、彈簧或扭轉體、液壓裝置、電磁致動裝置及/或實際上其等之任何組合)。因此,如本文中所使用,「機電系統」包含(但不限於):與一傳感器(例如,一致動器、一馬達、一壓電晶體、一微機電系統(MEMS)等)可操作地耦合之電路;具有至少一離散電路之電路;具有至少一積體電路之電路;具有至少一特定應用積體電路之電路;形成藉由一電腦程式組態之一通用運算裝置(例如,藉由至少部分實行本文中所描述之程序及/或裝置之一電腦程式組態之一通用電腦,或藉由至少部分實行本文中所描述之程序及/或裝置之一電腦程式組態之一微處理器)之電路;形成一記憶體裝置(例如,形成記憶體(例如,隨機存取、快閃、唯讀等))之電路;形成一通信裝置(例如,一數據機、通信開關、光電設備等)之電路;及/或任何非電類似物(諸如光學或其他類似物)。熟習此項技術者亦將瞭解,機電系統之實例包含(但不限於)各種消費性電子系統、醫療裝置以及其他系統(諸如機動運輸系統、工廠自動化系統、安全系統及/或通信/運算系統)。熟習此項技術者將認知,除非背景內容可另有規定,否則如本文中所使用之機電系統不一定限於具有電致動及機械致動兩者之一系統。
一般而言,熟習此項技術者將認知,可藉由廣泛範圍之硬體、軟體、韌體及/或其等之任何組合個別及/或共同地實施之本文中所描述之各項態樣可被視為由各種類型之「電路」組成。因此,如本文中所使用,「電路」包含(但不限於):具有至少一離散電路之電路;具有至少一積體電路之電路;具有至少一特定應用積體電路之電路;形成藉由一電腦 程式組態之一通用運算裝置(例如,藉由至少部分實行本文中所描述之程序及/或裝置之一電腦程式組態之一通用電腦,或藉由至少部分實行本文中所描述之程序及/或裝置之一電腦程式組態之一微處理器)之電路;形成一記憶體裝置(例如,形成記憶體(例如,隨機存取、快閃、唯讀等))之電路;及/或形成一通信裝置(例如,一數據機、通信開關、光電設備等)之電路。熟習此項技術者將認知,可以一類比或數位方式或其等之某一組合實施本文中所描述之標的物。
熟習此項技術者將認知,本文中所描述之裝置及/或程序之至少一部分可整合至一資料處理系統中。熟習此項技術者將認知,一資料處理系統通常包含以下之一或多者:一系統單元外殼、一視訊顯示裝置、記憶體(諸如揮發性或非揮發性記憶體)、處理器(諸如微處理器或數位信號處理器)、運算實體(諸如作業系統、驅動程式、圖形使用者介面及應用程式)、一或多個互動裝置(例如,一觸控墊、一觸控螢幕、一天線等),及/或包含回饋迴路及控制馬達(例如,用於感測位置及/或速度之回饋;用於移動及/或調整組件及/或數量之控制馬達)之控制系統。一資料處理系統可利用合適市售組件(諸如通常在資料運算/通信及/或網路運算/通信系統中找到之組件)實施。
熟習此項技術者將認知,為概念上清楚起見,本文中所描述之組件(例如,操作)、裝置、物件及伴隨其等之論述係用作實例且預期各種組態修改。因此,如本文中所使用,所闡述之特定範例及隨附論述旨在表示其等之更一般類別。一般而言,使用任何特定範例旨在表示其類別,且不包含特定組件(例如,操作)、裝置及物件不應視為限制性。
儘管本文中將一使用者描述為一單個人物,然熟習此項技 術者將瞭解,除非背景內容另有規定,否則該使用者可表示一人類使用者、一機器人使用者(例如,運算實體)及/或實際上其等之任何組合(例如,一使用者可由一或多個機器人代理輔助)。熟習此項技術者將瞭解,一般而言,除非背景內容另有規定,否則使用者可被稱為「發送者」及/或如此等術語在本文中使用之其他實體定向之術語。
關於本文中之實質上任何複數及/或單數術語的使用,熟習此項技術者可在適於背景內容及/或應用時自複數轉變為單數及/或自單數轉變為複數。為清楚起見,本文中未明確闡述各種單數/複數置換。
本文中所描述之標的物有時繪示含納於不同其他組件內或與不同其他組件連接之不同組件。應理解,此等描繪架構僅係例示性,且事實上可實施達成相同功能性之諸多其他架構。在概念意義上,用於達成相同功能性之任何組件配置經有效「相關聯」,使得達成所要功能性。因此,本文中經組合以達成一特定功能性之任何兩個組件可被視為彼此「相關聯」使得達成所要功能性,不論架構或中間組件為何。同樣地,如此相關聯之任何兩個組件亦可被視為彼此「可操作地連接」或「可操作地耦合」以達成所要功能性,且能夠如此相關聯之任何兩個組件亦可被視為彼此「可操作地耦合」以達成所要功能性。可操作地耦合之特定實例包含(但不限於)可實體配合及/或實體互動組件、及/或可無線互動及/或無線互動組件、及/或邏輯互動及/或可邏輯互動組件。
在一些例項中,一或多個組件可在本文中被稱為「經組態以」、「可組態以」、「可操作/操作以」、「經調適/可調適」、「能夠」、「可符合/相符合」等。熟習此項技術者將認知,除非背景內容另有要求,否則此等術語(例如,「經組態以」)可大體上涵蓋作用狀態組件及/或非作用狀 態組件及/或備用狀態組件。
雖然已展示且描述本文中描述之當前標的物之特定態樣,但熟習此項技術者將瞭解,基於本文中之教示,可做出改變及修改而不脫離本文中描述之標的物及其較廣態樣,且因此,隨附發明申請專利範圍在其等之範疇內涵蓋如在本文中描述之標的物之真實精神及範疇內之全部此等改變及修改。此項技術者將理解,一般言之,本文中所使用之術語且尤其隨附發明申請專利範圍(例如,隨附發明申請專利範圍之正文)中所使用之術語一般意欲作為「開放式」術語(例如,術語「包含(including)」應解譯為「包含但不限於」,術語「具有」應解譯為「至少具有」,術語「包含(includes)」應解譯為「包含但不限於」等)。熟習此項技術者將進一步理解,若預期特定數目個引入請求項敘述,則將在發明申請專利範圍中明確敘述此一意圖,且在缺乏此敘述之情況下不存在此意圖。舉例而言,為幫助理解,以下隨附發明申請專利範圍可含有介紹性片語「至少一個」及「一或多個」之使用以引入請求項敘述。然而,即使在相同發明申請專利範圍包含介紹性片語「一或多個」或「至少一個」及諸如「一」或「一個」之不定冠詞(例如,「一」及/或「一個」通常應解譯為意指「至少一個」或「一或多個」)時,亦不應將此等片語之使用理解為暗示藉由不定冠詞「一」或「一個」引入之一請求項敘述將含有此引入請求項敘述之任何特定發明申請專利範圍限於僅含有一此敘述之發明申請專利範圍;對於用於引入請求項敘述之定冠詞的使用同樣有效。再者,即使明確敘述引入之請求項敘述之特定數目,熟習此項技術者應認知,此敘述通常應解譯為意謂至少所敘述之數目(例如,無其他修飾語的「兩個敍述」的裸露敍述,通常意謂至少兩個敘述,或兩個或兩個以上敘述)。此外,在使用 類似於「A、B及C等等之至少一者」慣例的該等例項中,一般以熟習此項技術者理解該慣例的意義預期此一構造(例如,「具有A、B及C之至少一者之一系統」應包含(但不限於)僅具有A、僅具有B、僅具有C、同時具有A及B、同時具有A及C、同時具有B及C及/或同時具有A、B及C等等之系統)。在使用類似於「A、B或C等等之至少一者」之慣例的該等例項中,一般以熟習此項技術者理解該慣例的意義預期此一構造(例如,「具有A、B或C之至少一者之一系統」應包含(但不限制於)僅具有A、僅具有B、僅具有C、同時具有A及B、同時具有A及C、同時具有B及C,及/或同時具有A、B及C等等之系統)。熟習此項技術者應進一步理解,除非背景內容另有指示,否則無論在描述、請求項或圖式中,通常呈現兩個或兩個以上替代項目的分離性字詞及/或片語應理解為考量包含該等項目之一者、該等項目之任一者或兩個項目之可能性。例如,片語「A或B」通常將理解為包含「A」或「B」或「A及B」之可能性。
關於隨附發明申請專利範圍,熟習此項技術者將瞭解其中所敘述之操作大體上可以任何順序執行。又,儘管以一(若干)序列呈現各種操作流程,然應理解,可以除所繪示之該等順序之外之其他順序執行各種操作或可同時執行各種操作。除非背景內容另有規定,否則此等替代排序之實例可包含重疊、交錯、間斷、重新排序、增量、預備、補充、同時、反向或其他變體排序。此外,除非背景內容另有規定,否則術語如「回應於」、「與...有關」或其他過去式形容詞通常並不意欲排除此等變體。
儘管已繪示本發明之特定實施例,然應明白,熟習此項技術者可在不脫離前述揭示內容之範疇及精神及情況下做出本發明之各種修 改及實施例。據信,將藉由前文描述理解本發明及許多其伴隨優點,且將明白,可在不脫離所揭示之標的物或不犧牲全部其實質優點之情況下作出組件之形式、構造及配置上之各種改變。所描述之形式僅為說明性的且以下發明申請專利範圍之意圖係涵蓋及包含此等改變。因此,本發明之範疇應僅受隨附之發明申請專利範圍所限制。
100:方法
102:步驟
104:步驟
106:步驟
108:步驟
110:步驟
112:步驟

Claims (28)

  1. 一種處理一半導體裝置之系統,其包括:一控制器,其中該控制器包含一或多個處理器及經組態以儲存一或多組程式指令之記憶體,其中該一或多個處理器經組態以執行該一或多組程式指令,其中該一或多組程式指令經組態以引起該一或多個處理器:對一半導體晶圓圖應用濾波;將該經濾波半導體晶圓圖分離成複數個晶粒;針對該複數個晶粒產生一組晶粒比較統計資料;藉由將至少一檢測臨限值應用於該組晶粒比較統計資料而產生至少一偏離圖;及偵測該至少一偏離圖內之至少一偏離。
  2. 如請求項1之系統,其中該半導體晶圓圖係自一特性化工具接收。
  3. 如請求項1之系統,其中該半導體晶圓圖包含一基於圖案化晶圓幾何形狀之圖。
  4. 如請求項3之系統,其中該基於圖案化晶圓幾何形狀之圖包含一全晶圓拓樸圖。
  5. 如請求項1之系統,其中該一或多組程式指令經組態以引起該一或多個處理器基於該複數個晶粒之一或多個選定空間特性將該經濾波半導體晶 圓圖分離成該複數個晶粒。
  6. 如請求項1之系統,其中該產生該組晶粒比較統計資料包含比較該複數個晶粒之一組晶粒。
  7. 如請求項6之系統,其中該複數個晶粒之該組晶粒包含一中心晶粒及一或多個緊鄰晶粒。
  8. 如請求項7之系統,其中該一或多個緊鄰晶粒係與該中心晶粒相鄰。
  9. 如請求項7之系統,其中該比較該複數個晶粒之該組晶粒包含藉由自對應於該中心晶粒之資料減去對應於該一或多個緊鄰晶粒之資料而產生比較資料。
  10. 如請求項9之系統,其中該比較該複數個晶粒之該組晶粒包含藉由自對應於該中心晶粒之資料減去對應於至少兩個緊鄰晶粒之資料而產生比較資料。
  11. 如請求項9之系統,其中該組晶粒比較統計資料係自該經產生之比較資料判定。
  12. 如請求項11之系統,其中該組晶粒比較統計資料係針對該複數個晶粒之該組晶粒內之複數個像素產生。
  13. 如請求項12之系統,其中該組晶粒比較統計資料係在一每像素基礎上針對該複數個晶粒之該組晶粒內之該複數個像素而判定。
  14. 如請求項11之系統,其中該至少一檢測臨限值係基於該組晶粒比較統計資料而建立。
  15. 如請求項14之系統,其中基於該組經產生之晶粒比較統計資料建立該至少一檢測臨限值包含將一或多個資料分析演算法應用於該組經產生之晶粒比較統計資料。
  16. 如請求項15之系統,其中該至少一偏離係在該經產生之比較資料之一值超過該經建立之至少一檢測臨限值時偵測。
  17. 如請求項11之系統,其中該至少一檢測臨限值係使用者指定。
  18. 如請求項1之系統,其中該一或多組程式指令進一步經組態以引起該一或多個處理器:將一或多個控制信號提供至至少一程序工具以改良一或多個製程之效能,其中該一或多個控制信號係基於該至少一偏離產生。
  19. 如請求項18之系統,其中該改良一或多個製程之該效能包含調整該至少一程序工具以減少由該一或多個製程引起之一或多個偏離。
  20. 如請求項18之系統,其中該一或多個控制信號係經由一前饋迴路或一回饋迴路之至少一者提供至該至少一程序工具。
  21. 一種處理一半導體裝置之系統,其包括:一特性化工具;及一控制器,其中該控制器包含一或多個處理器及經組態以儲存一或多組程式指令之記憶體,其中該一或多個處理器經組態以執行該一或多組程式指令,其中該一或多組程式指令經組態以引起該一或多個處理器:對來自該特性化工具之一半導體晶圓圖應用濾波;將該經濾波半導體晶圓圖分離成複數個晶粒;針對該複數個晶粒產生一組晶粒比較統計資料;藉由將至少一檢測臨限值應用於該組晶粒比較統計資料而產生至少一偏離圖;及偵測該至少一偏離圖內之至少一偏離。
  22. 如請求項21之系統,其中該至少一檢測臨限值係基於該組晶粒比較統計資料而建立。
  23. 如請求項21之系統,其中該至少一檢測臨限值係使用者指定。
  24. 如請求項21之系統,其中該一或多組程式指令進一步經組態以引起該一或多個處理器: 將一或多個控制信號提供至至少一程序工具以改良一或多個製程之效能,其中該一或多個控制信號係基於該至少一偏離產生。
  25. 一種處理一半導體裝置之方法,其包括:對一半導體晶圓圖應用濾波;將該經濾波半導體晶圓圖分離成複數個晶粒;針對該複數個晶粒產生一組晶粒比較統計資料;藉由將至少一檢測臨限值應用於該組晶粒比較統計資料而產生至少一偏離圖;及偵測該至少一偏離圖內之至少一偏離。
  26. 如請求項25之方法,其中該至少一檢測臨限值係基於該組晶粒比較統計資料而建立。
  27. 如請求項25之方法,其中該至少一檢測臨限值係使用者指定。
  28. 如請求項25之方法,其進一步包括:將一或多個控制信號提供至至少一程序工具以改良一或多個製程之效能,其中該一或多個控制信號係基於該至少一偏離產生。
TW108107779A 2018-03-10 2019-03-08 用於處理半導體裝置之系統及方法 TWI797271B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862641297P 2018-03-10 2018-03-10
US62/641,297 2018-03-10
US16/273,876 2019-02-12
US16/273,876 US10585049B2 (en) 2018-03-10 2019-02-12 Process-induced excursion characterization

Publications (2)

Publication Number Publication Date
TW201940892A TW201940892A (zh) 2019-10-16
TWI797271B true TWI797271B (zh) 2023-04-01

Family

ID=67843829

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108107779A TWI797271B (zh) 2018-03-10 2019-03-08 用於處理半導體裝置之系統及方法

Country Status (8)

Country Link
US (1) US10585049B2 (zh)
JP (1) JP7097466B2 (zh)
KR (1) KR102443351B1 (zh)
CN (1) CN111937129B (zh)
IL (1) IL277250B2 (zh)
SG (1) SG11202008760WA (zh)
TW (1) TWI797271B (zh)
WO (1) WO2019177895A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11656274B2 (en) * 2021-02-15 2023-05-23 Kla Corporation Systems and methods for evaluating the reliability of semiconductor die packages

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI313903B (en) * 2005-08-10 2009-08-21 Samsung Electronics Co Ltd Testing method detecting localized failure on a semiconductor wafer
US20100067781A1 (en) * 2003-11-19 2010-03-18 Kla-Tencor Corporation Process Excursion Detection
TWI443342B (zh) * 2009-02-03 2014-07-01 Qcept Technologies Inc 利用非振動接觸電位差感測器之圖案化晶圓檢驗系統
US20150154746A1 (en) * 2005-11-18 2015-06-04 Kla-Tencor Technologies Corporation Methods and Systems for Utilizing Design Data in Combination with Inspection Data
US20150234000A1 (en) * 2014-02-14 2015-08-20 Texas Instruments Incorporated Real Time Semiconductor Process Excursion Monitor
TW201712771A (zh) * 2015-06-22 2017-04-01 克萊譚克公司 使用圖案化之晶圓幾何測量對製程引發的不對稱的偵測、量化及控制

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3698075B2 (ja) * 2001-06-20 2005-09-21 株式会社日立製作所 半導体基板の検査方法およびその装置
US6885977B2 (en) 2002-12-20 2005-04-26 Applied Materials, Inc. System to identify a wafer manufacturing problem and method therefor
JP3896996B2 (ja) * 2003-06-27 2007-03-22 株式会社日立製作所 回路パターンの検査装置および検査方法
EP1955225A4 (en) 2005-11-18 2009-11-04 Kla Tencor Tech Corp METHOD AND SYSTEMS FOR USE OF DESIGN DATA IN COMBINATION WITH TEST DATA
SG170805A1 (en) 2006-02-09 2011-05-30 Kla Tencor Tech Corp Methods and systems for determining a characteristic of a wafer
US8611639B2 (en) * 2007-07-30 2013-12-17 Kla-Tencor Technologies Corp Semiconductor device property extraction, generation, visualization, and monitoring methods
US7937234B2 (en) 2008-08-29 2011-05-03 Intel Corporation Classification of spatial patterns on wafer maps
US10192303B2 (en) * 2012-11-12 2019-01-29 Kla Tencor Corporation Method and system for mixed mode wafer inspection
JP6421237B2 (ja) * 2014-08-29 2018-11-07 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法、ターゲット、及び基板
KR102595447B1 (ko) * 2014-11-19 2023-10-27 데카 테크놀로지 유에스에이 인코포레이티드 유닛 특정적 패턴화의 자동화된 광학 검사
US10747830B2 (en) * 2014-11-21 2020-08-18 Mesh Labs Inc. Method and system for displaying electronic information

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100067781A1 (en) * 2003-11-19 2010-03-18 Kla-Tencor Corporation Process Excursion Detection
TWI313903B (en) * 2005-08-10 2009-08-21 Samsung Electronics Co Ltd Testing method detecting localized failure on a semiconductor wafer
US20150154746A1 (en) * 2005-11-18 2015-06-04 Kla-Tencor Technologies Corporation Methods and Systems for Utilizing Design Data in Combination with Inspection Data
TWI443342B (zh) * 2009-02-03 2014-07-01 Qcept Technologies Inc 利用非振動接觸電位差感測器之圖案化晶圓檢驗系統
US20150234000A1 (en) * 2014-02-14 2015-08-20 Texas Instruments Incorporated Real Time Semiconductor Process Excursion Monitor
TW201712771A (zh) * 2015-06-22 2017-04-01 克萊譚克公司 使用圖案化之晶圓幾何測量對製程引發的不對稱的偵測、量化及控制

Also Published As

Publication number Publication date
IL277250B2 (en) 2023-02-01
JP2021515993A (ja) 2021-06-24
TW201940892A (zh) 2019-10-16
CN111937129B (zh) 2022-04-29
WO2019177895A1 (en) 2019-09-19
US10585049B2 (en) 2020-03-10
KR102443351B1 (ko) 2022-09-14
JP7097466B2 (ja) 2022-07-07
CN111937129A (zh) 2020-11-13
IL277250B (en) 2022-10-01
SG11202008760WA (en) 2020-10-29
KR20200120748A (ko) 2020-10-21
US20190277777A1 (en) 2019-09-12
IL277250A (en) 2020-10-29

Similar Documents

Publication Publication Date Title
KR102467719B1 (ko) 반도체 디바이스 레퍼런스 이미지들과 테스트 이미지들을 정렬시키기 위한 시스템 및 방법
TWI532112B (zh) 使用一晶圓幾何度量之疊對及半導體製程控制
TWI747973B (zh) 度量系統、微影工具、度量工具及度量目標
JP6762317B2 (ja) 基板の面内歪みを検査する方法およびシステム
CN109923654B (zh) 通过跨层图像相减的晶片噪声减少
US9406573B2 (en) Exposure mask fabrication method, exposure mask fabrication system, and semiconductor device fabrication method
TWI736681B (zh) 用於在晶圓沉積期間製程引起之變形預測之系統及方法
JP2019533312A (ja) パターニングされたウェハの特性評価のためのハイブリッド計量
JP7366920B2 (ja) 半導体デバイス製造中におけるウェハ特性解明データの自己相関及び複合ウェハ指標の生成
TWI797271B (zh) 用於處理半導體裝置之系統及方法
US11682570B2 (en) Process-induced displacement characterization during semiconductor production
CN110431488B (zh) 用于光掩模及光罩检验以及晶片印刷检查验证的多列间隔
WO2020141071A1 (en) Method for calibrating a scanning charged particle microscope
CN110114727A (zh) 量测工具及使用该量测工具的方法
JP2008182114A (ja) 露光余裕度の算出方法、露光評価装置及び露光評価用プログラム