TWI792378B - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TWI792378B
TWI792378B TW110123981A TW110123981A TWI792378B TW I792378 B TWI792378 B TW I792378B TW 110123981 A TW110123981 A TW 110123981A TW 110123981 A TW110123981 A TW 110123981A TW I792378 B TWI792378 B TW I792378B
Authority
TW
Taiwan
Prior art keywords
layer
gate
semiconductor
source
forming
Prior art date
Application number
TW110123981A
Other languages
English (en)
Other versions
TW202207474A (zh
Inventor
哈維 馬
莫如娜 阿比里杰斯 柯德博
沈澤民
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202207474A publication Critical patent/TW202207474A/zh
Application granted granted Critical
Publication of TWI792378B publication Critical patent/TWI792378B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7781Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with inverted single heterostructure, i.e. with active layer formed on top of wide bandgap layer, e.g. IHEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1606Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

提供半導體裝置與其形成方法。方法包括提供工件,其具有半導體結構;沉積二維材料層於半導體結構上;形成源極結構與汲極結構以電性連接至半導體結構與二維材料層,其中源極結構與汲極結構包括半導體材料;以及形成閘極結構於二維材料層之上並夾設於源極結構與汲極結構之間。閘極結構、源極結構、汲極結構、半導體結構、與二維材料層設置為形成場效電晶體。半導體結構與二維材料層分別作為源極結構與汲極結構之間的第一通道與第二通道。

Description

半導體裝置與其形成方法
本發明實施例一般關於多閘極電晶體與其製作方法,更特別關於具有通道組件的多閘極電晶體,且通道組件除了第一通道層之外還包括二維材料所形成的第二通道層。
半導體積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路比前一代具有更小且更複雜的電路。在積體電路演進中,功能密度(比如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(比如採用的製作製程所能產生的最小構件或線路)縮小而增加。尺寸縮小的製程通常有利於增加產能與降低相關成本。尺寸縮小亦會增加處理與製造積體電路的複雜度。
舉例來說,隨著積體電路技術朝更小的技術節點進展,已導入多閘極裝置以增加閘極-通道耦合、降低關閉狀態電流、並減少短通道效應而改善閘極控制。多閘極裝置通常指的是具有閘極結構或其部分位於通道區的多側上的裝置。鰭狀場效電晶體與多橋通道電晶體為多閘極裝置的例子,其越來越普遍且為高效能與低漏電流應用的有力候選者。鰭狀場效電晶體具有隆起的通道,而閘極包覆通道的多側(比如閘極包覆自基板延伸的半導體材料的鰭狀物的 頂部與側壁)。多橋通道電晶體的閘極結構可部分或完全延伸於通道區周圍,以接觸通道區的兩側或更多側。由於閘極結構圍繞通道區,多橋通道電晶體亦可視作圍繞閘極電晶體或全繞式閘極電晶體。多橋通道電晶體的通道區亦可為奈米線、奈米片、其他奈米結構、及/或其他合適結構。通道區的形狀使多橋通道電晶體可具有其他名稱,比如奈米片電晶體或奈米線電晶體。隨著尺寸持續縮小,多橋通道電晶體可能無法提供適當的驅動電流。因此雖然習知多閘極結構通常適用於預期目的,但無法滿足所有方面的需求。
本發明一實施例提供半導體裝置的形成方法。方法包括提供工件,其具有半導體結構;沉積二維材料層於半導體結構上;形成源極結構與汲極結構以電性連接至半導體結構與二維材料層,其中源極結構與汲極結構包括半導體材料;以及形成閘極結構於二維材料層之上並夾設於源極結構與汲極結構之間。閘極結構、源極結構、汲極結構、半導體結構、與二維材料層設置為形成場效電晶體。半導體結構與二維材料層分別作為源極結構與汲極結構之間的第一通道與第二通道。
本發明一實施例提供半導體裝置的形成方法。方法包括形成半導體堆疊,其包括交錯設置的多個第一半導體層與多個第二半導體層,其中第一半導體層與第二半導體層的組成不同;沉積二維材料層於第二半導體層上;形成源極結構與汲極結構以電性連接至第二半導體層與二維材料層,其中源極結構與汲極結構包括半導體材料;選擇性移除第一半導體層;以及形成閘極結構於二維材料層上,且閘極結構延伸包覆每一第二半導體層。
本發明一實施例提供半導體裝置。半導體裝置包括通道組件,其包括第一通道層與第二通道層位於第一通道層上;閘極結構,位於通道組件上;以及半導體材料的源極結構與汲極結構。第一通道層包括矽、鍺、III-V族半導體、或II-VI族半導體。二維材料包括石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、或黑硫。第二半導體層包括二維材料。源極結構與汲極結構的半導體材料電性連接至第一通道層與第二通道層。
I-I’,J-J’,K-K’:剖面
MM’,NN’,OO’,PP’:虛線
100:工件
102:基板
103:鰭狀結構
104:通道組件
104B:底部
104C:通道區
104SD:源極與汲極區
106:隔離結構
108:源極與汲極結構
110:閘極堆疊
112:界面層
114:高介電常數的介電層
116:閘極
118:閘極間隔物層
124:第一半導體層
126:第二半導體層
128,132:間隔物層
130:內側間隔物
200:第一方法
202,204,206,208,210,212,214,302,304,306,308,310,312,314,402,404,406,408,410,412,414,416,502,504,506,508,510,512,514,516,518,602,604,606,608,610,612,614,616,618,620,622,702,704,706,708,710,712,714,716,718,720,722,802,804,806,808,810,812,814,816,818,820,902,904,906,908,910,912,914,916,918,920,922,932,934:步驟
300:第二方法
400:第三方法
500:第四方法
600:第五方法
700:第六方法
800:第七方法
900:第八方法
930:第九方法
1000:二維材料層
1002:虛置閘極堆疊
1004:虛置閘極
1006:源極與汲極接點
1008:介電層
1010:輕摻雜源極與汲極結構
1012:半導體材料層
1020:溝槽
1022:閘極溝槽
1024:閘極介電層
1026:凹陷
1040:第一鰭狀結構
1042:第二鰭狀結構
1100:第一堆疊
1101:部分
1200:第二堆疊
圖1係本發明一或多個實施例中,半導體裝置的透視圖。
圖2係本發明一或多個實施例中,製作半導體裝置的第一方法的流程圖。
圖3A至3H係本發明一或多個實施例中,工件在圖2中的第一方法的多種製作階段的部分剖視圖。
圖4係本發明一或多個實施例中,製作半導體裝置的第二方法的流程圖。
圖5A至5H係本發明一或多個實施例中,工件在圖4中的第二方法的多種製作階段的部分剖視圖。
圖6係本發明一或多個實施例中,製作半導體裝置的第三方法的流程圖。
圖7A至7O係本發明一或多個實施例中,工件在圖6中的第三方法的多種製作階段的部分剖視圖。
圖8係本發明一或多個實施例中,製作半導體裝置的第四方法的流程圖。
圖9A至9N係本發明一或多個實施例中,工件在圖8中的第四方法的多種製作階段的部分剖視圖。
圖10係本發明一或多個實施例中,製作半導體裝置的第五方法的流程圖。
圖11A至11P係本發明一或多個實施例中,工件在圖10中的第五方法的多種製作階段的部分剖視圖。
圖12係本發明一或多個實施例中,製作半導體裝置的第六方法的流程圖。
圖13A至13O係本發明一或多個實施例中,工件在圖12中的第六方法的多種製作階段的部分剖視圖。
圖14係本發明一或多個實施例中,製作半導體裝置的第七方法的流程圖。
圖15A至15N係本發明一或多個實施例中,工件在圖14中的第七方法的多種製作階段的部分剖視圖。
圖16係本發明一或多個實施例中,製作半導體裝置的第八方法的流程圖。
圖17A至17P係本發明一或多個實施例中,工件在圖16中的第八方法的多種製作階段的部分剖視圖。
圖18係本發明一或多個實施例中,製作半導體裝置的第九方法的流程圖。
圖19A至19L係本發明一或多個實施例中,工件在圖18中的第九方法的多種製作階段的部分剖視圖。
圖20係本發明一或多個實施例中,半導體裝置的剖視圖。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下 述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/-10%。舉例來說,用語「約5nm」包含的尺寸範圍為4.5nm至5.5nm。
多閘極裝置包括的電晶體,其閘極結構形成於通道區的至少兩側上。多閘極裝置的例子可包含具有鰭狀結構的鰭狀場效電晶體,以及具有多個通道組件的多橋通道電晶體。如上所述,多橋通道電晶體亦可視作圍繞閘極電晶體、全繞式閘極電晶體、奈米片電晶體、或奈米線電晶體。這些多閘極裝置可為n型或p型。多橋通道電晶體包括閘極結構或其部分形成於通道區的四側上(比如圍繞通道區的一部分)的任何裝置。本發明實施例的多橋通道電晶體其通道區位於奈米線通道組件、棒狀通道組件、奈米片通道組件、奈米結構通道組件、橋狀通道組件、及/或其他合適的通道設置中。隨著尺寸持續縮小,多橋通道電晶體中的通道組件尺寸可能無法支援適當等級的驅動電流。
本發明實施例的半導體裝置其通道組件包括第一通道層與第二 通道層,第一通道層的組成為矽、鍺、III-V族半導體、或II-VI族半導體,而第二通道層的組成為二維材料。第二通道層設置為能帶隙與第一通道層的能帶隙類似的材料。如此一來,可同時開啟第一通道層與第二通道層。第二通道層可促進驅動電流,以增加半導體裝置的整體驅動電流。由於實施第一通道層與第二通道層,本發明實施例的半導體裝置可視作雙通道電晶體、雙通道場效電晶體、或雙模態場效電晶體。雙通道場效電晶體可為平面裝置、鰭狀場效電晶體、或多橋通道電晶體。鰭狀場效電晶體與多橋通道電晶體的實施例將圖示與說明於此。
本發明多種實施例將搭配多種圖式進一步詳細說明。圖1顯示半導體裝置如工件100的透視圖,其可為鰭狀場效電晶體或多橋通道電晶體。由於自工件100形成半導體裝置,因此半導體裝置可依內容需求而視作工件100。如圖1所示,半導體裝置如工件100包括基板102。在一實施例中,基板102可為矽基板。在一些其他實施例中,基板102可包含其他半導體如鍺、矽鍺、III-V族半導體材料、或II-VI族半導體材料。III-V半導體材料的例子可包含砷化鎵、磷化銦、磷化鎵、氮化鎵、磷砷化鎵、砷化鋁銦、砷化鋁鎵、磷化鎵銦、或砷化鎵銦。II-VI族半導體材料的例子可包含硒化鎘、硫化鎘、碲化鎘、硒化鋅、硫化鋅、或碲化鋅。
圖1中的半導體裝置如工件100包括一或多個通道組件104(未圖示於圖1,但圖示於圖3G、3H、5G、5H、7M、9M、11N、13M、15L、17N、19L、及20)位於自基板102延伸的底部104B上。值得注意的是,圖1只顯示底部104B。由於其他結構的存在,通道組件未圖示於圖1中。一或多個通道組件與底部104B的長度方向沿著X方向延伸。半導體裝置如工件100亦包括隔離結構106以隔離相 鄰的底部104B。每一底部104B包括的通道區104C位於兩個源極與汲極區104SD之間。通道組件位於通道區104C上,而源極與汲極結構108位於源極與汲極區104SD上。閘極結構沿著Y方向(垂直於X方向)延伸,且位於通道區104C上的通道組件上。閘極結構包括閘極堆疊110與閘極間隔物層118。閘極堆疊110可包含界面層112、高介電常數的介電層114位於界面層112上、與閘極116位於高介電常數的介電層114上。在一些實施例中,閘極堆疊110可與源極與汲極結構108隔有閘極間隔物層118。
隔離結構106亦可視作淺溝槽的隔離結構106。隔離結構106可包含氧化矽、氮化矽、氮氧化矽、氟矽酸鹽玻璃、低介電常數的介電層、上述之組合、及/或其他合適材料。源極與汲極結構108可包含半導體材料,其可摻雜n型摻質(如磷或砷)或p型摻質(如硼)。源極與汲極結構108所用的半導體材料可包含矽或矽鍺。在一實施例中,半導體裝置如工件100為n型時,源極與汲極結構108可包含矽且可摻雜磷。在另一實施例中,半導體裝置如工件100為p型時,源極與汲極結構108可包含摻雜硼的矽鍺。
閘極堆疊110的犧牲層可包含介電材料如氧化矽、矽酸鉿、或氮氧化矽。在一些實施例中,為了與二維材料具有更好的界面,界面層112可包含六角氮化硼。閘極堆疊110的高介電常數的介電層114可包含高介電常數的介電材料,其介電常數大於氧化矽的介電常數(如約3.9)。在一些例子中,高介電常數的介電層114可包含金屬氧化物或金屬氮化物,比如氧化鉿、氧化鋯、氧化鋯鋁、氧化鉿鋁、氧化鉿矽、氧化鋁、氧化鈦、氧化鉭、氧化鑭、氧化釔、碳氮化鉭、氮化鋯、上述之組合、或其他合適材料。在一些例子中,高介電常數的介電層114的厚度可介於約5nm至約30nm之間。閘極堆疊110的閘極116可包含單層或 多層結構,比如具有選定功函數以增進裝置效能(如降低臨界電壓)的金屬層、襯墊層、濕潤層、黏著層、金屬合金、或金屬矽化物的多種組合。舉例來說,閘極116可包含鈦、銀、鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、銅、鎢、錸、銥、鈷、鎳、其他合適金屬材料、或上述之組合。閘極間隔物層118為介電層,其可為單層或多層。在一些例子中,閘極間隔物層118可包含氧化矽、碳氧化矽、碳氮化矽、氮化矽、氧化鋯、氧化鋁、合適的低介電常數的介電材料、或合適的介電材料。
通道組件可只由基板102形成,或由基板102上的磊晶層堆疊形成,端視半導體裝置如工件100為鰭狀場效電晶體或多橋通道電晶體。當半導體裝置100為鰭狀場效電晶體時,通道組件104可為鰭狀物,如圖3G、3H、5G、5H、7M、9M、19L、及20所示。當半導體裝置如工件100為多橋通道電晶體時,通道組件104可為片狀物或線狀物,如圖11N、13M、15L、及17N所示。如圖1所示,不論通道組件104的形狀為何,通道組件104(如圖3G、3H、5G、5H、7M、9M、11N、13M、15L、17N、19L、及20所示)延伸於閘極堆疊110的兩側上的兩個源極與汲極結構108之間。
在本發明實施例中,半導體裝置如工件100的通道組件104包括二維材料所形成的一或多層。此處所述的二維材料指的是薄半導體材料(厚度介於約1Å至約30Å之間),其只沿著二維平面導電。由於電荷載子如電子只沿著二維平面自由移動,因此二維材料只沿著二維平面導電。在一些例子中,二維材料層可視作單層材料。本發明提供的多個實施例包括圖3G、3H、5G、5H、7M、9M、19L、及20所示的鰭狀場效電晶體的實施例,以及圖11N、13M、15L、及17N所示的多橋通道電晶體的實施例。此外,本發明實施例提供多種方法以製作 每一實施例。舉例來說,本發明實施例提供圖2所示的第一方法200、圖4所示的第二方法300、圖6所示的第三方法400、圖8所示的第四方法500、圖10所示的第五方法600、圖12所示的第六方法700、圖14所示的第七方法800、圖16所示的第八方法900、與圖18所示的第九方法930。這些方法僅用於舉例而非侷限本發明實施例至實際說明處。在這些方法之前、之中、與之後可提供額外步驟,且方法的額外實施例可置換、省略、或調換一些所述步驟。此處不詳述所有步驟以簡化說明。每一方法將搭配圖1所示的剖面I-I’、J-J’、或K-K’的部分剖視圖說明如下。在此考量下,第一方法200搭配圖3A至3H說明如下。第二方法300搭配圖5A至5H說明如下。第三方法400搭配圖7A至7O說明如下。第四方法500搭配圖9A至9N說明如下。第五方法600搭配圖11A至11P說明如下。第六方法700搭配圖13A至13O說明如下。第七方法800搭配圖15A至15N說明如下。第八方法900搭配圖17A至17P說明如下。第九方法930搭配圖19A至19L說明如下。
圖2顯示製作鰭狀場效電晶體的第一方法200的流程圖。如圖2及3A所示,第一方法200的步驟202提供工件100。如圖3A所示,工件100包括鰭狀結構103。圖3A中的鰭狀結構103可由基板102形成,且自基板102連續延伸。如圖1所示,鰭狀結構103的長度方向可沿著X方向延伸。
在一些實施例中,鰭狀結構103可包含矽、鍺、矽鍺、III-V族半導體材料、或II-VI族半導體材料。在一些實施例中,鰭狀結構103可摻雜摻質如磷、砷、或硼。鰭狀結構103沿著Y方向的厚度可不同。
如圖2及3B所示,第一方法200的步驟204沉積二維材料層1000於工件100上。如圖3B所示,步驟204沉積二維材料層1000於鰭狀結構103上。在所述實施例中,二維材料層為單層材料,比如單層原子所組成的結晶材料。在一 些實施例中,二維材料層1000可包含石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、黑硫、或與鰭狀結構103的半導體材料的能帶實質上對準的合適二維材料。此處所述的能帶實質上對準指的是鰭狀結構103的能帶隙與二維材料的能帶隙重疊。材料的能帶隙指的是材料的價帶與導帶之間的差異。舉例來說,矽的價帶為-5.17eV,導帶為-4.05eV,且1.12eV的能帶隙介於-5.17eV至-4.05eV之間。碲化鎢的價帶為約-4.5eV,導帶為約-3.7eV,且0.8eV的能帶隙介於-4.5eV至約-3.7eV之間。在此例中,由於碲化鎢的能帶隙與矽的能帶隙重疊,碲化鎢與矽的能帶實質上對準。與鰭狀結構103類似,二維材料層可摻雜摻質如硫、硒、碲、鋯、鉿、鎢、鉬、硼、氧、氮、碳、矽、或錫。對於鰭狀結構103與二維材料層1000,膜厚與摻雜造成的電子限制可能影響能帶隙與能帶對準。本發明實施例預定採用電子限制與摻雜調整能帶隙,以達鰭狀結構103與二維材料層1000之間的能帶對準。由前述摻質摻雜二維材料,及/或採用n型摻質(如磷)或p型摻質(如硼)摻雜鰭狀結構103,可達鰭狀結構103與二維材料之間的能帶對準。
在一些實施例中,二維材料層1000可沉積於工件100上,且沉積方法可為磊晶成長、化學氣相沉積、原子層沉積、或上述之組合。如圖3B所示,由於鰭狀結構103與基板102的組成材料,二維材料層1000不只鰭狀結構103的上表面與側壁上,亦沉積於基板102的上表面上。在一些例子中,二維材料層1000的厚度可介於約1Å至約30Å之間,比如介於約2Å至約10Å之間。與矽、鍺、矽鍺、III-V族半導體、或II-VI族半導體相較,二維材料層1000的二維材料具有較高的反轉電荷密度而具有較高的狀態密度。
如圖2及3C所示,第一方法200的步驟206形成隔離結構106。在一 些實施例中,隔離結構106可包含介電材料如氧化矽、氮化矽、氮氧化矽、氟矽酸鹽玻璃、低介電常數的介電層、上述之組合、及/或其他合適材料。在製程的一例中,先毯覆性沉積隔離結構106的介電材料於工件100上,且沉積方法可為旋轉塗佈或化學氣相沉積。之後可由平坦化製程如化學機械研磨製程,平坦化毯覆性沉積的介電材料。接著使平坦化的介電材料選擇性地凹陷或回蝕刻平坦化的介電材料,使鰭狀結構103隆起高於隔離結構106,如圖3C所示。步驟206在沉積二維材料層1000之後形成隔離結構106,因此隔離結構106不直接接觸底部104B的側壁與基板102的上表面。換言之,隔離結構106與底部104B及基板102隔有二維材料層1000。在圖3C中,隔離結構106未覆蓋的二維材料層1000與鰭狀結構103,可一起視作通道組件104。
如圖2及3D所示,第一方法200的步驟208形成虛置閘極結構。雖然未圖示,但可在形成隔離結構106的步驟206與形成源極與汲極結構108的步驟210之間形成虛置閘極結構,如下所述。在一些實施例中,虛置閘極結構包括虛置閘極堆疊1002與閘極間隔物層118。形成虛置閘極結構的步驟包括形成虛置閘極堆疊1002於通道組件104上,其更包括沉積虛置閘極材料並圖案化虛置閘極材料,以形成一或多個虛置閘極堆疊。步驟208亦可包含形成閘極間隔物層118於虛置閘極堆疊1002的側壁上,其形成方法可為沉積閘極間隔物層118,並由非等向蝕刻如電漿蝕刻以回蝕刻閘極間隔物層118。一些實施例採用閘極後製製程,則虛置閘極堆疊1002可包含界面層112、高介電常數的介電層114、與虛置閘極1004如多晶矽層,如圖3D所示。一些實施例採用高介電常數的介電層後製製程,則虛置閘極堆疊1002可包含介電層(如氧化矽)與虛置閘極1004(如多晶矽)。
如圖2及3E所示,第一方法200的步驟210形成源極與汲極結構 108。形成源極與汲極結構108的方法可包含使源極與汲極區104SD凹陷,並磊晶成長源極與汲極結構108。特別的是,源極與汲極結構108包含一或多種半導體材料,以更佳地整合至通道組件104(具體為二維材料層1000)以降低其與通道組件104的接點電阻。這是因為二維材料層1000的厚度受限,且與源極與汲極結構的接點面積減少。在一些實施例中,源極與汲極結構108可包含摻雜磷或砷的矽以用於n型場效電晶體,或摻雜硼的矽鍺以用於p型場效電晶體。形成源極與汲極結構108的方法將搭配多種實施例詳述於下。在一些實施例中,可摻雜閘極間隔物層118之下的二維材料層1000的部分1101,以形成輕摻雜源極與汲極結構1010,其形成方法可為在形成虛置閘極1004與形成閘極間隔物層118的步驟之間實施離子佈植製程。輕摻雜源極與汲極結構1010可與源極與汲極結構108摻雜相同型態的摻質,但摻質濃度小於源極與汲極結構108的摻質濃度。
如圖2及3F所示,第一方法200的步驟212形成閘極堆疊110於通道組件104上。如上所述,閘極堆疊110可包含界面層112、高介電常數的介電層114位於界面層112上、以及閘極116位於高介電常數的介電層114上。閘極堆疊110的界面層112可包含介電材料如氧化矽、矽酸鉿、或氮氧化矽。在一些實施例中,為了使二維材料具有更佳的界面,界面層112可包含六角氮化硼。閘極堆疊110的高介電常數的介電層114可包含高介電常數的介電材料,其介電常數大於氧化矽的介電常數(約3.9)。在一些例子中,高介電常數的介電層114可包含氧化鉿、氧化鋯、氧化鋯鋁、氧化鉿鋁、氧化鉿矽、氧化鋁、氧化鈦、氧化鉭、氧化鑭、氧化釔、碳氮化鉭、氮化鋯、上述之組合、或其他合適材料。在一些實施例中,高介電常數的介電層114的厚度介於約5nm至約30nm之間。閘極堆疊110的閘極116可包含單層或多層結構,比如具有選定的功函數以增進裝置效能的金屬層(如 功函數金屬層)、襯墊層、濕潤層、黏著層、金屬合金、或金屬矽化物的多種組合。舉例來說,閘極116可包含鈦、銀、鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、銅、鎢、錸、銥、鈷、鎳、其他合適的金屬材料、或上述之組合。在一些實施例中,界面層112的形成方法可為熱氧化或沉積法如化學氣相沉積或原子層沉積。高介電常數的介電層114的沉積法可採用化學氣相沉積或原子層沉積。閘極116的沉積方法可採用物理氣相沉積、化學氣相沉積、原子層沉積、或無電鍍。值得注意的是,界面層112與高介電常數的介電層114可一起視作閘極介電層,以閘極116控制通道組件。
如圖3F所示,閘極堆疊110位於通道組件104上,而通道組件104包括鰭狀結構103與二維材料層1000。由於二維材料層1000與鰭狀結構103的能帶實質上對準,閘極堆疊110的單一臨界電壓可啟動鰭狀結構103中的通道與二維材料層1000中的通道。在此狀況中,高於隔離結構106的鰭狀結構103可作為第一通道層,而二維材料層1000可作為第二通道層。形成於鰭狀結構103中的通道不會與形成於二維材料層1000中的通道作用。這是因為二維材料層1000的二維特性。由於二維材料層1000的二維結晶層經由凡得瓦力(而非共價鍵)維持在一起,垂直於二維結晶平面的能帶隙可比沿著二維結晶平面的能帶隙大好幾個級數。由於鰭狀結構103不在二維結晶平面中,大能帶隙會自鰭狀結構103遮蔽二維材料層1000,反之亦然。如此一來,除了二維材料層1000直接形成於鰭狀結構103上,鰭狀結構103與二維材料層1000可提供兩個獨立通道,而相同的閘極堆疊110可同時啟動上述兩個獨立通道。因此半導體裝置如工件100可視作雙通道電晶體、雙通道場效電晶體、或雙模態場效電晶體。由於電流流經形成於鰭 狀結構103中的通道與形成於二維材料層1000中的通道,且二維材料層1000沿著鰭狀結構103的側壁延伸,此裝置與不具有二維材料層1000於通道區中的類似半導體裝置相較,有效通道寬度可增加約1.8倍至2倍,且開啟狀態電流亦可增加約1.8倍至2倍。
形成閘極堆疊110的方法可包含閘極置換,其移除虛置閘極堆疊並置換成閘極堆疊110。在一些實施例中,形成閘極堆疊110的方法可更包含其他中間製程,比如沉積接點蝕刻停止層於工件100上、沉積層間介電層於工件100上、平坦化層間介電層、以及移除虛置閘極堆疊。移除虛置閘極堆疊的步驟留下閘極間隔物層118所定義的閘極溝槽。步驟210形成的閘極堆疊110位於閘極溝槽中。形成閘極堆疊110的方法可更包括在沉積閘極材料以填入閘極溝槽之後,進行化學機械研磨製程。化學機械研磨製程移除層間介電層上的多餘閘極材料,並平坦化工件的上表面。
如圖2及3G所示,第一方法200的步驟214進行後續製程。在一些實施例中,這些後續製程可包含形成圖3E所示的源極與汲極接點(或源極與汲極金屬結構)1006、形成閘極接點,形成後續層間介電層、形成源極與汲極接點通孔、以及形成後續內連線結構。源極與汲極接點1006的形成方法可為沉積與圖案化(包含微影製程與蝕刻)。源極與汲極接點1006的形成方法可改為形成層間介電層,圖案化層間介電層以形成開口,沉積一或多種金屬至層間介電層的開口中,以及進行化學機械研磨製程。
在其他實施例中,半導體裝置如工件100可進一步包含介電層1008位於二維材料層1000之下,如圖3H所示。具體而言,介電層1008夾設於第一通道層(高於隔離結構106的鰭狀結構103)與第二通道層(二維材料層1000)之 間。介電層1008可包含氧化矽、其他合適的介電材料、或上述之組合。圖3H中具有插入的介電層1008的半導體裝置如工件100,可由任何合適方法形成。在一些實施例中,調整第一方法200以形成圖3H的半導體裝置如工件100,如下所述。步驟204包括磊晶成長矽鍺層於基板上,磊晶成長矽層於矽鍺層上,接著磊晶成長二維材料層1000於矽層上。步驟210包括圖案化鰭狀結構103以形成溝槽於源極與汲極區104SD中、進行選擇性蝕刻製程以經由溝槽移除矽鍺層、沉積介電層如氧化矽於溝槽中、以及進行磊晶成長以形成源極與汲極結構108。選擇性蝕刻製程可進一步包含選擇性氧化矽鍺層,並選擇性蝕刻氧化的矽鍺層。在其他實施例中,步驟210包括圖案化鰭狀結構103以形成溝槽於源極與汲極區104SD中;進行選擇性氧化製程以經由溝槽氧化矽鍺層,進而形成矽鍺氧化物如介電層1008;以及之後進行磊晶成長以形成源極與汲極結構108。在一些實施例中,介電層1008可由另一方法形成(如第九方法930),特別是圖18的步驟934。在此實施例中,介電層1008提供的操作模式中,只有二維材料層1000作為對應電晶體的單一通道。在此實施例中,只有單一二維通道位於絕緣層上,其裝置行為可與絕緣層上矽裝置類似。介電層1008為埋置介電層如氧化矽或其他合適的介電材料,其具有合適厚度以抑制開啟底部矽平面裝置(或第二通道),因為其具有強短通道效應且不適用於縮小的金氧半場效電晶體裝置(如閘極長度小於15nm的場效電晶體)。綜上所述,對應裝置可減少或消除短通道問題,特別是閘極長度小於15nm的縮小的場效電晶體。在一些實施例中,介電層1008的厚度介於10nm至20nm之間。在一些實施例中,電晶體只具有二維材料層1000作為單一通道層,而二維材料層1000之下的鰭狀結構為介電鰭狀物以作為隔離結構,其可省略第二通道並因此消除短通道問題。
在一些實施例中,介電層1008提供多種操作模式,其中二維材料層1000在低操作電壓時作為單一通道,且在高操作電壓時作為雙重通道,端視介電層1008的厚度與高電壓的等級而定。
半導體裝置如工件100的形成方法亦可為圖4所示的第二方法300。如圖4及5A所示,第二方法300的步驟302提供工件100。由於工件100已說明於第一方法200,此處省略工件100的細節以簡化說明。
如圖4及5B所示,第二方法300的步驟304形成隔離結構106。步驟304與第一方法200的步驟206類似,除了在沉積二維材料層1000之前形成隔離結構106。此處省略隔離結構106的組成與形成方法的細節以簡化說明。如圖5B所示,隔離結構106直接接觸底部104B的側壁與基板102的上表面。無二維材料層1000位於基板102與隔離結構106之間。
如圖4及5C所示,第二方法300的步驟306選擇性沉積二維材料層1000於鰭狀結構103上。與第一方法200的步驟204不同,步驟306沉積的二維材料層1000對鰭狀結構103與二維材料層1000具有選擇性,且實質上不形成於隔離結構106上。在一些實施方式中,選擇性沉積的製程溫度可使二維材料層1000不沉積於隔離結構106(與二維材料層1000的晶格不匹配較大)上。相反地,二維材料層1000可選擇性沉積於鰭狀結構103(與二維材料層1000的晶格不匹配較小)上。在一些實施例中,二維材料層1000可包含石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、黑硫、或與鰭狀結構103的半導體材料的能帶實質上對準的合適二維材料。二維材料層1000可摻雜摻質如硫、硒、碲、鋯、鉿、鎢、鉬、硼、氧、氮、碳、矽、或錫。步驟306沉積二維材料層1000的方法可採用磊晶成長或原子層沉積。在圖5C中,隔離結構106未覆蓋的二維材料層1000與 鰭狀結構103可一起視作通道組件104。在此例中,在後續階段形成虛置閘極結構時,可圖案化二維材料層1000以對準虛置閘極堆疊。
在一些實施例中,可由其他合適製程形成二維材料層1000於通道區104C中。形成圖案化遮罩於工件100上的方法,可為微影製程與蝕刻。圖案化的遮罩包括開口以對準通道區104C。接著採用圖案化的遮罩作為蝕刻遮罩,並經由圖案化的遮罩的開口對鰭狀結構103進行蝕刻製程,進而形成凹陷於通道區104C中的鰭狀結構上。之後沉積二維材料層1000於凹陷中,使二維材料層1000的上表面與鰭狀結構103的上表面實質上共平面。
如圖4及5D所示,第二方法300的步驟308形成虛置閘極結構。雖然未圖示於此,形成虛置閘極結構的方法可在形成二維材料層1000的步驟306與形成源極與汲極結構108的步驟310之間,如下所述。在一些實施例中,虛置閘極結構包括虛置閘極堆疊1002與閘極間隔物層118。形成虛置閘極結構的方法,可包含形成虛置閘極堆疊1002於通道組件104上,其進一步包含沉積虛置閘極材料,並圖案化虛置閘極材料以形成虛置閘極堆疊,其中圖案化製程包含微影製程與蝕刻,且更包含採用硬遮罩作為蝕刻遮罩。具體而言,圖案化虛置閘極材料的方法可包含持續對應的蝕刻製程以圖案化二維材料層1000,使二維材料層1000對準虛置閘極堆疊1002。在此狀況中,蝕刻製程可包含不同蝕刻劑的多個蝕刻步驟,以蝕刻含有虛置閘極材料與二維材料層1000的個別材料層。一些實施例在形成虛置閘極堆疊1002以及圖案化二維材料層1000(圖案化以對準虛置閘極堆疊1002)的圖案化製程之後,進行磊晶成長以選擇性沉積半導體材料層1012,使半導體材料層1012選擇性地成長於鰭狀結構103的表面上。控制選擇性磊晶成長,以成長半導體材料層1012至實質上匹配二維材料層1000的上表面, 如圖5E所示。在此實施例中,半導體材料層1012包括的半導體材料(如矽)與基板102相同。
一些實施例採用閘極後製製程(其形成閘極以取代虛置閘極堆疊的虛置閘極),則虛置閘極堆疊1002可包含界面層112、高介電常數的介電層114、與虛置閘極1004如多晶矽層,如圖5D所示。一些實施例採用高介電常數的介電層後製製程(其形成閘極與閘極介電層以取代虛置閘極堆疊),則虛置閘極堆疊1002可包含介電層(如氧化矽)與虛置閘極1004(如多晶矽)。
步驟308更形成輕摻雜源極與汲極結構1010於半導體材料層1012中,其形成方法可為合適方法如離子佈植。在所述實施例中,在形成虛置閘極1004之後與形成閘極間隔物層118之前實施輕摻雜源極與汲極結構1010的方法,如下所述。
步驟308可進一步形成閘極間隔物層118於虛置閘極堆疊1002的側壁上,其形成方法可為沉積閘極間隔物層118,並由非等向蝕刻如電漿蝕刻以回蝕刻閘極間隔物層118,如圖5E所示。
如圖4及5E所示,第二方法300的步驟310形成源極與汲極結構108。源極與汲極結構108的形成方法可包含使源極與汲極區104SD凹陷,並磊晶成長源極與汲極結構108。特別的是,源極與汲極結構108包含一或多種半導體材料,以更佳地整合至輕摻雜源極與汲極結構1010與通道組件104(具體為二維材料層1000)以降低其與通道組件104的接點電阻。這是因為二維材料層1000的厚度受限,且與源極/汲極結構的接點面積減少。在一些實施例中,源極與汲極結構108包括摻雜磷或砷的矽以用於n型場效電晶體,或摻雜硼的矽鍺以用於p型場效電晶體。
如圖4及5F所示,第二方法300的步驟312形成閘極堆疊110於通道組件104上。由於閘極堆疊110的組成與形成方法已說明於第一方法200的步驟212,因此省略閘極堆疊110的細節以簡化說明。與圖3F所示的半導體裝置如工件100類似,圖5F中的閘極堆疊110位於含有二維材料層1000與鰭狀結構103的通道組件104上。鰭狀結構103與二維材料層1000可提供兩個獨立通道,而相同的閘極堆疊110可同時啟動上述兩個獨立通道。基於類似理由,圖5D中的半導體裝置如工件100為雙通道場效電晶體。由於電流流經形成於鰭狀結構103中的通道與形成於二維材料層1000中的通道,此裝置與不具有二維材料層1000於通道區中的類似半導體裝置相較,有效通道寬度可增加約1.8倍至2倍,且開啟狀態電流亦可增加約1.8倍至2倍。
如圖4及5G所示,第二方法300的步驟314進行後續製程。在一些實施例中,這些後續製程可包含形成源極與汲極接點1006、形成閘極接點、形成後續層間介電層、形成源極與汲極接點通孔、以及形成後續內連線結構。
在其他實施例中,步驟312形成二維材料層1000。步驟312包括移除虛置閘極堆疊1002以形成閘極溝槽,蝕刻閘極溝槽中的鰭狀結構103以使其凹陷,選擇性沉積二維材料層於閘極溝槽中,沉積閘極材料以形成閘極堆疊110,以及進行化學機械研磨製程。
在其他實施例中,半導體裝置如工件100可進一步包含介電層1008位於二維材料層1000之下,如圖5H所示。具體而言,介電層1008夾設於第一通道層(如高於隔離結構106的鰭狀結構103)與第二通道層(如二維材料層1000)之間。介電層1008可包含氧化矽、其他合適的介電材料、或上述之組合。半導體裝置如工件100可具有圖5H中插入的介電層1008,其形成方法可為任何合適方 法。在一些實施例中,調整第二方法300以形成圖5H的半導體裝置如工件100,如下所述。調整步驟306以包含磊晶成長矽鍺層於基板上、以及沉積二維材料層1000於矽鍺層上。步驟310包括圖案化鰭狀結構103以形成溝槽於源極與汲極區104SD中,進行選擇性蝕刻製程以經由溝槽移除矽鍺層,沉積介電層如氧化矽於溝槽中,以及進行磊晶成長以形成源極與汲極結構108。選擇性蝕刻製程可進一步包含選擇性氧化矽鍺層,以及選擇性蝕刻氧化的矽鍺層。在其他實施例中,步驟310包括圖案化鰭狀結構103以形成溝槽於源極與汲極區104SD中;進行選擇性氧化製程以經由溝槽氧化矽鍺層,進而形成矽鍺氧化物以作為介電層1008;以及進行磊晶成長以形成源極與汲極結構108。在一些實施例中,介電層1008的形成方法可為另一方法如第九方法930,特別是圖18的步驟934。如圖3H所示,當介電層1008存在且夠厚,通道組件104只包含二維材料層1000如單一通道,而介電層1008之下的基體半導體被抑制且未開啟。
亦可採用圖6所示的第三方法400,以形成半導體裝置如工件100。如圖6、7A、及7E所示,第三方法400的步驟402提供工件100。由於工件100已搭配第一方法200說明如上,此處省略工件100的細節以簡化說明。
如圖6及7B所示,第三方法400的步驟406形成隔離結構106。步驟404與第二方法300的步驟304類似。此處省略隔離結構106的組成與形成方法的細節,以簡化說明。如圖7B所示,隔離結構106直接接觸底部104B的側壁與基板102的上表面。無二維材料層1000位於基板102與隔離結構106之間。
如圖6、7C、7D、及7F所示,第三方法400的步驟406沉積二維材料層1000於鰭狀結構103與隔離結構106上。在一些實施例中,步驟406的沉積對鰭狀結構103不具選擇性,而毯覆性地沉積二維材料層1000於鰭狀結構103與隔 離結構106的上表面上。在一些實施例中,二維材料層1000可包含石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、黑硫、或與鰭狀結構103的半導體材料的能帶實質上對準的合適二維材料。二維材料層1000可摻雜摻質如硫、硒、碲、鋯、鉿、鎢、鉬、硼、氧、氮、碳、矽、或錫。在步驟406中,二維材料層1000的沉積方法可採用磊晶成長、化學氣相沉積、或原子層沉積。在其他實施例中,可進一步圖案化二維材料層1000,以除除二維材料層1000位於隔離結構106上的部位,如圖7D所示。圖案化製程包含微影製程與蝕刻。
在一些實施例中,步驟406的沉積為選擇性沉積,其可選擇性沉積二維材料層1000於鰭狀結構103上,如圖7D所示。在此例中,步驟406與步驟306類似。此處省略二維材料層1000的組成與形成方法的細節以簡化說明。
如圖6及7G所示,第三方法400的步驟408形成虛置閘極結構。在一些實施例中,虛置閘極結構包含虛置閘極堆疊1002與閘極間隔物層118。虛置閘極結構的形成方法包含形成虛置閘極堆疊1002於通道組件104上,其進一步包含沉積虛置閘極材料,並圖案化虛置閘極材料以形成虛置閘極堆疊1002,其中圖案化步驟包括微影製程與蝕刻,且可進一步採用硬遮罩作為蝕刻遮罩。一些實施例採用閘極後製製程,則虛置閘極堆疊1002可包含界面層112、高介電常數的介電層114、與虛置閘極1004(如多晶矽層)。一些實施例採用高介電常數的介電層後製的製程,則虛置閘極堆疊1002可包含介電層(如氧化矽)與虛置閘極1004(如多晶矽層)。
步驟408可進一步形成輕摻雜源極與汲極結構1010於二維材料層1000中,其形成方法可為合適方法如離子佈植。在所述實施例中,形成輕摻雜源極與汲極結構1010的步驟,可在形成虛置閘極堆疊1002與形成閘極間隔物層 118的步驟之間實施(如下所述)。與鰭狀結構103類似,二維材料層可摻雜摻質如硫、硒、碲、鋯、鉿、鎢、鉬、硼、氧、氮、碳、矽、或錫。
步驟408可進一步形成閘極間隔物層118於虛置閘極堆疊1002的側壁上,且形成方法可為沉積閘極間隔物層118並以非等向蝕刻(如電漿蝕刻)回蝕刻閘極間隔物層118,如圖7G所示。在形成輕摻雜源極與汲極結構1010之後,可形成閘極間隔物層118。
如圖6及7H所示,第三方法400的步驟410可圖案化鰭狀結構103以形成溝槽1020於源極與汲極區104SD中。步驟410對鰭狀結構進行圖案化製程,包括微影製程與以圖案化的遮罩作為蝕刻遮罩的蝕刻製程。虛置閘極結構在圖案化製程時亦可作為蝕刻遮罩,使溝槽1020對準閘極間隔物層118的邊緣。
如圖6及7I所示,方法400的步驟412形成源極與汲極結構108,其形成方法可為磊晶成長。特別的是,源極與汲極結構108包含一或多種半導體材料,以更佳地整合至輕摻雜源極與汲極結構1010與通道組件104(具體為二維材料層1000)以降低其與通道組件104的接點電阻。這是因為二維材料層1000具有受限的厚度,且與源極與汲極結構的接點面積減少。在一些實施例中,源極與汲極結構108包括摻雜磷或砷的矽以用於n型場效電晶體,或摻雜硼的矽鍺以用於p型場效電晶體。在所述實施例中,控制磊晶成長使源極與汲極結構108的上表面實質上匹配虛置閘極堆疊1002的上表面,如圖7I所示。
如圖6、7J、及7K所示,第三方法400的步驟414形成閘極堆疊110於通道組件104上。由於閘極堆疊110的組成與形成方法已說明於第一方法200的步驟212,此處省略閘極堆疊110的細節以簡化說明。與圖3F所示的半導體裝置如工件100所示,圖7K中的閘極堆疊110位於通道組件104(包含二維材料層1000 與鰭狀結構103)上。鰭狀結構103與二維材料層1000可提供兩個獨立通道,而相同的閘極堆疊110可同時啟動上述兩個獨立通道。基於類似理由,圖7K中的半導體裝置如工件100為雙通道場效電晶體。由於電流流經形成於鰭狀結構103中的通道與形成於二維材料層1000中的通道,此裝置與不具有二維材料層1000於通道區中的類似半導體裝置相較,有效通道寬度可增加約1.8倍至2倍,且開啟狀態電流亦可增加約1.8倍至2倍。
步驟414包括移除虛置閘極堆疊1002以形成閘極溝槽1022,如圖7J所示;以及形成閘極116,如圖7K所示。具體而言,閘極溝槽1022由閘極間隔物層118與源極與汲極結構108的側壁所定義,而源極與汲極結構108向上延伸至虛置閘極堆疊1002的上表面。形成閘極堆疊110的方法包括沉積閘極介電層1024與閘極116,並進行化學機械研磨製程以移除閘極間隔物層118與源極與汲極結構108上的多餘閘極材料並平坦化上表面。在一些實施例中,閘極介電層1024包括界面層112與高介電常數的介電層114,而閘極116包括多種導電材料如功函數金屬層與填充金屬層。綜上所述,源極與汲極結構108的上表面與閘極堆疊110的上表面共平面。
如圖6及7L所示,第三方法400的步驟416進行後續製程。在一些實施例中,這些後續製程可包含形成源極與汲極接點1006、形成閘極接點、形成後續層間介電層、形成源極與汲極接點通孔、以及形成後續內連線結構。
圖7L的半導體裝置如工件100亦如圖7M、7N、及7O所示。圖7M係半導體裝置如工件100的剖視圖,圖7N係圖7M中的半導體裝置如工件100沿著虛線NN’的剖視圖,而圖7O係圖7M中的半導體裝置如工件100沿著虛線OO’的剖視圖。具體而言,閘極介電層1024包括界面層112與高介電常數的介電層114。 高介電常數的介電層114為U形以包覆閘極116。
圖1中的半導體裝置如工件100的形成方法,可採用圖8所示的第四方法500。如圖8、9A、及9C所示,第四方法500的步驟502提供工件100。由於工件100已說明於第一方法200,此處省略工件100的細節以簡化說明。
如圖8及9B所示,第四方法500的步驟504形成隔離結構106。步驟504與第二方法300中的步驟304類似。此處可省略隔離結構106的組成與形成方法的細節以簡化說明。如圖9B所示,隔離結構106直接接觸底部104B的側壁與基板102的上表面。無二維材料層1000位於基板102與隔離結構106之間。
如圖8及9D所示,第四方法500的步驟506形成虛置閘極結構。在一些實施例中,虛置閘極結構包括虛置閘極堆疊1002與閘極間隔物層118。虛置閘極結構的形成方法包含形成虛置閘極堆疊1002於鰭狀結構103上,其進一步包含沉積虛置閘極材料,並圖案化虛置閘極材料以形成虛置閘極堆疊1002,其中圖案化步驟包括微影製程與蝕刻,且可進一步採用硬遮罩作為蝕刻遮罩。一些實施例採用閘極後製製程,則虛置閘極堆疊1002可包含界面層112、高介電常數的介電層114、與虛置閘極1004(如多晶矽層)。一些實施例採用高介電常數的介電層後製製程,則虛置閘極堆疊1002可包含介電層(如氧化矽)與虛置閘極1004(如多晶矽層)。
步驟506亦形成閘極間隔物層118於虛置閘極堆疊1002的側壁上,其形成方法可為沉積閘極間隔物層118,並由非等向蝕刻如電漿蝕刻以回蝕刻閘極間隔物層118,如圖9D所示。虛置閘極堆疊1002與閘極間隔物層118位於鰭狀結構103上並直接接觸鰭狀結構103。
如圖8及9E所示,第四方法500的步驟508圖案化鰭狀結構103以形 成溝槽1020(凹陷)於源極與汲極區104SD中。步驟508對鰭狀結構進行圖案化製程,其包括微影製程與蝕刻製程,且可採用圖案化的遮罩作為蝕刻遮罩。虛置閘極結構在圖案化製程時亦可作為蝕刻遮罩,使溝槽1020對準閘極間隔物層118的邊緣。
如圖8及9F所示,第四方法500的步驟510形成源極與汲極結構108,其形成方法可為磊晶成長。特別的是,源極與汲極結構108包含一或多種半導體材料。在一些實施例中,源極與汲極結構108包括摻雜磷或砷的矽以用於n型場效電晶體,或摻雜硼的矽鍺以用於p型場效電晶體。在所述實施例中,控制磊晶成長使源極與汲極結構108的上表面與虛置閘極堆疊1002的上表面實質上匹配,如圖9F所示。
如圖8及9G所示,第四方法500的步驟512由蝕刻製程移除虛置閘極堆疊1002以形成閘極溝槽1022。閘極溝槽1022由閘極間隔物層118與源極與汲極結構108所定義。
如圖8、9H、及9I所示,第四方法500的步驟514沉積二維材料層1000於閘極溝槽1022中的鰭狀結構103上。在一些實施例中,步驟514包括以合適的蝕刻製程,使閘極溝槽1022中的鰭狀結構103進一步凹陷。舉例來說,氫氧化鉀溶液可用於使鰭狀結構103凹陷(其中鰭狀結構103的頂部為矽),以形成閘極溝槽1022中的鰭狀結構的凹陷1026,如圖9H所示。
步驟514亦可沉積二維材料層1000於鰭狀結構103的凹陷1026中,其沉積方法可為合適方法如選擇性沉積。選擇性沉積二維材料層1000於鰭狀結構103的表面上,而不沉積於其他材料(含閘極間隔物層118)上。在一些實施例中,可額外沉積二維材料層1000於源極與汲極結構108的上表面上,因為源極 與汲極結構108與鰭狀結構103可包含類似或相同的材料如矽。可非等向移除源極與汲極結構108上的二維材料層1000的這些部分,但可在後續階段中形成閘極堆疊110的步驟時(如後述)移除上述二維材料層1000的這些部分。可控制磊晶成長,使二維材料層1000實質上填入凹陷1026,且與凹陷製程之前的鰭狀結構103具有實質上相同的高度,如圖9J所示。在一些實施例中,二維材料層1000可包含石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、黑硫、或與鰭狀結構103的半導體材料的能帶實質上對準的合適二維材料。二維材料層1000可摻雜摻質如硫、硒、碲、鋯、鉿、鎢、鉬、硼、氧、氮、碳、矽、或錫。在步驟514中,二維材料層1000的沉積方法可採用磊晶成長、化學氣相沉積、或原子層沉積。
如圖8及9J所示,第四方法500的步驟516形成閘極堆疊110於通道組件104上。具體而言,閘極堆疊包括閘極介電層1024與閘極116,其形成於閘極溝槽1022中的方法可為沉積。在所述實施例中,閘極116與閘極介電層1024對準二維材料層1000。每一閘極116、閘極介電層1024、與二維材料層1000沿著X方向位於閘極間隔物層118的內側邊緣之間。此外,所述實施例的二維材料層1000的上表面與閘極間隔物層118的下表面共平面。由於閘極介電層1024沉積於閘極溝槽1022中並位於閘極溝槽1022的下表面與側壁上,閘極介電層1024可為U形以順應閘極介電層1024的輪廓。
閘極溝槽1022由閘極間隔物層118與源極與汲極結構108的側壁所定義,而源極與汲極結構108向上延伸至閘極間隔物層118的上表面。形成閘極堆疊110的方法包括沉積閘極介電層1024與閘極116,以及進行化學機械研磨製程以移除源極與汲極結構108上的多餘閘極材料並平坦化上表面。若二維材料 層1000位於源極與汲極結構108上,化學機械研磨製程亦可移除二維材料層1000。在一些實施例中,閘極介電層1024包括界面層112與高介電常數的介電層114,而閘極116包括多種導電材料如功函數金屬層與填充金屬層。綜上所述,源極與汲極結構108的上表面與閘極堆疊110的上表面共平面。由於閘極堆疊110的組成與形成方法已說明於第一方法200的步驟212,此處省略閘極堆疊110的細節以簡化說明。與圖3F所示的半導體裝置如工件100類似,圖9K中的閘極堆疊110位於通道組件104(含二維材料層1000與鰭狀結構103)上。鰭狀結構103與二維材料層1000可提供兩個獨立通道,而相同的閘極堆疊110可同時啟動上述兩個獨立通道。基於類似理由,圖9K中的半導體裝置如工件100為雙通道場效電晶體。由於電流流經形成於鰭狀結構103中的通道與形成於二維材料層1000中的通道,此裝置與不具有二維材料層1000於通道區中的類似半導體裝置相較,有效通道寬度可增加約1.8倍至2倍,且開啟狀態電流亦可增加約1.8倍至2倍。
如圖8及9K所示,第四方法500的步驟518進行後續製程。在一些實施例中,這些後續製程可包含形成源極與汲極接點1006、形成閘極接點、形成後續層間介電層、形成源極與汲極接點通孔、以及形成後續內連線結構。
圖9K的半導體裝置如工件100亦如圖9L、9M、及9N所示。圖9L係半導體裝置如工件100的剖視圖,圖9M係圖9L中的半導體裝置如工件100沿著虛線MM’的剖視圖,而圖9N係圖9L中的半導體裝置如工件100沿著虛線NN’的剖視圖。具體而言,閘極介電層1024包括界面層112與高介電常數的介電層114。高介電常數的介電層114為U形以包覆閘極116。
當圖1中的半導體裝置如工件100為多橋通道電晶體時,半導體裝置如工件100的形成方法亦可採用圖10所示的第五方法600。如圖10、11A、及11D 所示,第五方法600的步驟602沉積第一堆疊1100於工件100上。如圖11A所示,第一堆疊1100包括多個重複單元,其各自包含第一半導體層124與第二半導體層126。在圖11A所示的實施例中,第一堆疊1100包括三個重複單元,其各自具有第一半導體層124與第二半導體層126。在一些實施例中,第一半導體層124可視作犧牲層且可包含矽鍺。在一些實施例中,第二半導體層126可視作通道層且可包含矽。步驟602沉積第一半導體層124與第二半導體層126的方法可採用磊晶成長。
如圖10及11B所示,第五方法600的步驟604圖案化基板102與第一堆疊1100成第一鰭狀結構1040。如圖11B所示,第一鰭狀結構1040包括底部104B與自第一堆疊1100形成的頂部。第一鰭狀結構1040的長度方向沿著X方向延伸。因此頂部包含犧牲層如第一半導體層124與通道層如第二半導體層126。在一些實施例中,步驟604的圖案化方法可包含非等向蝕刻製程如反應性離子蝕刻製程。反應性離子蝕刻製程的一例可採用碳氟化物如四氟化碳、氟仿、八氟丙烷、或六氟化硫。
如圖10及11C所示,第五方法600的步驟606形成隔離結構106。在一些實施例中,隔離結構106可包含介電材料如氧化矽、氮化矽、氮氧化矽、氟矽酸鹽玻璃、低介電常數的介電層、上述之組合、及/或其他合適材料。在製程的一例中,先以旋轉塗佈、化學氣相沉積、或其他合適的沉積方法,毯覆性沉積隔離結構106的介電材料於工件100(含第一鰭狀結構1040)上。之後可由平坦化製程如化學機械研磨製程,平坦化毯覆性沉積的介電材料。接著使平坦化的介電材料選擇性地凹陷或回蝕刻平坦化的介電材料,使底部104B位於隔離結構106中,如圖11C所示。隔離結構106直接接觸底部104B的側壁與基板102的上表面。
如圖10及11E所示,第五方法600的步驟608形成虛置閘極結構。在一些實施例中,虛置閘極結構包括虛置閘極堆疊1002與閘極間隔物層118。虛置閘極結構的形成方法包含形成虛置閘極堆疊1002於鰭狀結構103上,其進一步包含沉積虛置閘極材料,並圖案化虛置閘極材料以形成虛置閘極堆疊1002,其中圖案化步驟包括微影製程與蝕刻,且可進一步採用硬遮罩作為蝕刻遮罩。一些實施例採用閘極後製製程,則虛置閘極堆疊1002可包括界面層112、高介電常數的介電層114、與虛置閘極1004(如多晶矽層)。一些實施例採用高介電常數的介電層後製製程,則虛置閘極堆疊1002可包括介電層(如氧化矽)與虛置閘極1004(如多晶矽層)。
步驟608亦包括形成閘極間隔物層118於虛置閘極堆疊1002的側壁上,其形成方法可為沉積閘極間隔物層118,並由非等向蝕刻如電漿蝕刻以回蝕刻閘極間隔物層118,如圖11D所示。虛置閘極堆疊1002與閘極間隔物層118位於第一堆疊1100上並直接接觸第一堆疊1100。
如圖10及11F所示,第五方法600的步驟610圖案化第一堆疊1100以形成溝槽1020於源極與汲極區104SD中。步驟610對第一堆疊1100進行圖案化製程,其包括微影製程與蝕刻,且可額外採用圖案化的遮罩作為蝕刻遮罩。虛置閘極結構在圖案化製程時亦可作為蝕刻遮罩,使溝槽1020對準閘極間隔物層118的邊緣。
如圖10及11G所示,第五方法600的步驟612形成源極與汲極結構108,其形成方法可為磊晶成長。特別的是,源極與汲極結構108包括一或多種半導體材料。在一些實施例中,源極與汲極結構108包括摻雜磷或砷的矽以用於n型場效電晶體,或摻雜硼的矽鍺以用於p型場效電晶體。在所述實施例中,控 制磊晶成長使源極與汲極結構108的上表面高於第一堆疊1100的上表面,而源極與汲極結構108連接至所有的第二半導體層126,如圖11G所示。
如圖10及11H所示,第五方法600的步驟614以蝕刻製程移除虛置閘極結構,以形成閘極溝槽1022。具體而言,步驟以一或多道蝕刻步驟移除虛置閘極堆疊1002與閘極間隔物層118。閘極溝槽1022由源極與汲極結構108定義於源極與汲極結構108之間。
步驟614自閘極溝槽1022選擇性移除第一半導體層124,以釋放多個第二半導體層126。在第一半導體層124的組成為矽鍺且第二半導體層126的組成為矽的實施例中,選擇性移除第一半導體層124的方法可包含矽鍺氧化製程與之後移除矽鍺氧化物的製程。在這些實施例中,矽鍺氧化製程可採用臭氧。在一些實施方式中,選擇性移除第一半導體層124的方法可採用選擇性等向蝕刻製程(如選擇性乾蝕刻製程或選擇性濕蝕刻製程)。在一些實施例中,選擇性乾蝕刻製程可採用一或多種氟為主的蝕刻劑,比如氟氣或碳氫氟化物。在一些實施例中,選擇性濕蝕刻製程可包含氫氟酸或氫氧化銨蝕刻劑。如圖11D所示,由於第一堆疊1100中的重複單元配置,移除第一半導體層124(如犧牲層)的步驟釋放多個通道組件104。每一通道組件104包括第二半導體層126(如通道層)。
如圖10及11I所示,第五方法600的步驟616經由閘極溝槽1022形成二維材料層1000於第二半導體層126的表面上,其形成方法可為合適方法如選擇性沉積。由於二維材料層1000的組成與形成方法已說明於第四方法500的步驟514,此處省略二維材料層1000的細節以簡化說明。具體而言,二維材料層1000形成於每一第二半導體層126的上表面與下表面上。因此每一通道組件104包括第二半導體層126(如通道層),與二維材料層1000位於對應的第二半導體層126的 上表面與下表面上。
如圖10、11J、及11K所示,第五方法600的步驟618經由閘極溝槽1022形成間隔物層128於源極與汲極結構108的側壁上。間隔物層128包括一或多種介電材料,比如氧化矽、氮化矽、氮氧化矽、其他合適的介電材料、或上述之組合。形成間隔物層128的方法包括沉積間隔物層128以填入閘極溝槽1022,如圖11J所示;以及圖案化間隔物層128以形成多種間隔物,如圖11K所示。在一些實施例中,沉積間隔物層128之後可對間隔物層128進行額外的化學機械研磨製程以平坦化上表面。圖案化製程包括微影製程與蝕刻,且可額外採用圖案化的遮罩層。綜上所述,可適當地控制間隔物寬度。在一些實施例中,圖案化間隔物層128的方法可包括對間隔物層128進行非等向蝕刻製程。因此可自二維材料層1000實質上移除間隔物層128,除了源極與汲極結構108的側壁上所用的部分。綜上所述,圖案化的間隔物層128可作為內側間隔物(第二半導體層126之間的部分)與閘極間隔物(高於最頂部的第二半導體層126的部分)。
如圖10及11L所示,第五方法600的步驟620形成閘極堆疊110於每一通道組件104之上與周圍。如上所述,閘極堆疊110包括閘極介電層1024,與閘極116位於閘極介電層1024上。在所述實施例中,閘極介電層1024可包含界面層112,與高介電常數的介電層114位於界面層112上。由於界面層112、高介電常數的介電層114、與閘極116的組成如第一方法200的步驟210所述,界面層112、高介電常數的介電層114、與閘極116不詳述於此以簡化說明。如圖11L所示,閘極介電層1024包括界面層112與高介電常數的介電層114,其可依序沉積於通道組件104的周圍,且沉積方法可採用化學氣相沉積或原子層沉積。接著如圖11L所示,閘極116的沉積方法可採用物理氣相沉積、化學氣相沉積、原子層 沉積、或無電鍍。由於上述二維材料層1000的二維特性,每一通道組件104中的通道層如第二半導體層126可作為第一通道層,而每一通道組件104中的二維材料層1000可作為第二通道層。由於能帶實質上對準,因此可同時且獨立地開啟第一通道層與第二通道層。基於類似理由,圖11L中的半導體裝置如工件100為雙通道場效電晶體。由於電流流經形成於通道層如第二半導體層126中的通道與形成於二維材料層1000中的通道,此裝置與不具有二維材料層1000於通道區中的類似半導體裝置相較,每一通道組件104的有效通道寬度可增加約1.2倍至1.5倍,且開啟狀態電流亦可增加約1.2倍至1.5倍。
如圖10及11M所示,第五方法600的步驟622進行後續製程。在一些實施例中,這些後續製程可包含形成源極與汲極接點1006、形成閘極接點、形成後續層間介電層、形成源極與汲極接點通孔、以及形成後續內連線結構。
圖11M的半導體裝置如工件100亦如圖11N、11O、及11P所示。圖11N係半導體裝置如工件100的剖視圖,圖11O係圖11N中的半導體裝置如工件100沿著虛線OO’的剖視圖,而圖11P係圖11N中的半導體裝置如工件100沿著虛線PP’的剖視圖。具體而言,閘極介電層1024包括界面層112與高介電常數的介電層114。高介電常數的介電層114為U形以包覆閘極116。
半導體裝置如工件100的形成方法亦可為圖12所示的第六方法700。如圖12、13A、及13D所示,第六方法700的步驟702沉積第一堆疊1100於基板102上。如圖13A所示,第一堆疊1100包括多個重複單元,其各自包含第一半導體層124與第二半導體層126。在圖13A所示的實施例中,第一堆疊1100包括三個重複單元,其各自具有第一半導體層124與第二半導體層126。在一些實施例中,第一半導體層124可視作犧牲層且可包含矽鍺。在一些實施例中,第二半 導體層126可視作通道層且可包含矽。步驟702可採用磊晶成長以沉積第一半導體層124與第二半導體層126。
如圖12及13B所示,第六方法700的步驟704圖案化基板102與第一堆疊1100成第一鰭狀結構1040。如圖13B所示,第一鰭狀結構1040包括底部104B與自第一堆疊1100形成的頂部。第一鰭狀結構1040的長度方向沿著X方向延伸。因此頂部包含犧牲層如第一半導體層124與通道層如第二半導體層126。在一些實施例中,步驟704的圖案化方法可包含非等向蝕刻製程如反應性離子蝕刻製程。反應性離子蝕刻製程的一例可採用碳氟化物如四氟化碳、氟仿、八氟丙烷、或六氟化硫。
如圖12及11C所示,第六方法700的步驟706形成隔離結構106。在一些實施例中,隔離結構106可包含介電材料如氧化矽、氮化矽、氮氧化矽、氟矽酸鹽玻璃、低介電常數的介電層、上述之組合、及/或其他合適材料。在製程的一例中,先以旋轉塗佈、化學氣相沉積、或其他合適的沉積方法毯覆性沉積隔離結構106的介電材料於工件100(含第一鰭狀結構1040)上。之後可由平坦化製程如化學機械研磨製程,平坦化毯覆性沉積的介電材料。接著使平坦化的介電材料選擇性地凹陷或回蝕刻平坦化的介電材料,使底部104B位於隔離結構106中,如圖13C所示。隔離結構106直接接觸底部104B的側壁與基板102的上表面。
如圖12及13E所示,第六方法700的步驟708形成虛置閘極結構。在一些實施例中,虛置閘極結構包括虛置閘極堆疊1002與閘極間隔物層118。形成虛置閘極結構的方法包括形成虛置閘極堆疊1002於鰭狀結構103上,其更包括沉積虛置閘極材料與圖案化虛置閘極材料以形成虛置閘極堆疊1002,比如維影製程與進一步採用硬遮罩作為蝕刻遮罩的蝕刻製程。一些實施例採用閘極後製 的製程,則虛置閘極堆疊1002可包含界面層112、高介電常數的介電層114、與虛置閘極1004如多晶矽層。一些實施例採用高介電常數的介電層後製製程,則虛置閘極堆疊1002可包含介電層(如氧化矽)與虛置閘極1004如多晶矽層。步驟708亦形成閘極間隔物層118於虛置閘極堆疊1002的側壁上,其形成方法可為沉積閘極間隔物層118,並由非等向蝕刻如電漿蝕刻以回蝕刻閘極間隔物層118,如圖13D所示。虛置閘極堆疊1002與閘極間隔物層118位於第一堆疊1100上並直接接觸第一堆疊1100。
如圖12及13F所示,第六方法700的步驟710圖案化第一堆疊1100以形成溝槽1020於源極與汲極區104SD中。步驟710對第一堆疊1100進行圖案化製程(包括微影製程與蝕刻),且可額外採用圖案化的遮罩作為蝕刻遮罩。虛置閘極結構亦可在圖案化製程時作為蝕刻遮罩,使溝槽1020對準閘極間隔物層118的邊緣。
如圖12及13G所示,第六方法700的步驟712形成內側間隔物130於第一半導體層124的側部上。形成內側間隔物130的方法可包括對第一半導體層124進行選擇性蝕刻製程,使第一半導體層124橫向凹陷以形成底切於閘極間隔物層118之下。因此沉積一或多種合適的介電材料於底切中,之後進行非等向蝕刻製程(如電漿蝕刻)以移除第二半導體層126的側壁上的多餘間隔物材料。綜上所述,內側間隔物130的外側邊緣實質上對準第二半導體層126的邊緣。內側間隔物層130包括一或多種介電材料,比如氧化矽、氮化矽、氮氧化矽、其他合適的介電材料、或上述之組合。
如圖12及13H所示,第六方法700的步驟714形成源極與汲極結構108,其形成方法可為磊晶成長。特別的是,源極與汲極結構108包括一或多種 半導體材料。在一些實施例中,源極與汲極結構108包括摻雜磷或砷的矽以用於n型場效電晶體,或摻雜硼的矽鍺以用於p型場效電晶體。在所述實施例中,控制磊晶成長使源極與汲極結構108的上表面高於第一堆疊1100的上表面,而源極與汲極結構108連接製所有的第二半導體層126並與第一半導體層124隔有內側間隔物130,如圖13H所示。
如圖12及13I所示,第六方法700的步驟716以蝕刻製程移除虛置閘極堆疊1002,以形成閘極溝槽1022。具體而言,步驟716只移除虛置閘極堆疊1002並保留閘極間隔物層118。閘極溝槽1022由閘極間隔物層118定義於閘極間隔物層118之間。
步驟716自閘極溝槽1022選擇性移除第一半導體層124,以釋放多個第二半導體層126。在第一半導體層124的組成為矽鍺且第二半導體層126的組成為矽的實施例中,選擇性移除第一半導體層124的方法可包含矽鍺氧化製程與之後移除矽鍺氧化物的製程。在這些實施例中,矽鍺氧化製程可採用臭氧。在一些實施例中,選擇性移除第一半導體層124的方法可採用選擇性等向蝕刻製程(如選擇性乾蝕刻製程或選擇性濕蝕刻製程)。在一些實施例中,選擇性乾蝕刻製程可採用一或多種氟為主的蝕刻劑,比如氟氣或碳氫氟化物。在一些實施例中,選擇性濕蝕刻製程可包含氫氟酸或氫氧化銨蝕刻劑。如圖13D所示,由於第一堆疊1100中的重複單元配置,移除第一半導體層124(如犧牲層)的步驟可釋放多個通道組件104。每一通道組件104包括第二半導體層126(如通道層)。
如圖12及13J所示,第六方法700的步驟718經由閘極溝槽1022形成二維材料層1000於第二半導體層126的表面上,其形成方法可為合適方法如選擇性沉積。由於二維材料層1000的組成與形成方法已說明於第四方法500的步驟 514,此處省略二維材料層1000的細節以簡化說明。具體而言,二維材料層1000形成於每一第二半導體層126的上表面與下表面上。因此每一通道組件104包括第二半導體層126(如通道層),與二維材料層1000位於對應的第二半導體層126的上表面與下表面上。與圖11I中的二維材料層1000不同,圖13J中的二維材料層1000沿著X方向位於內側間隔物130之間,且未延伸至內側間隔物130與第二半導體層126之間的界面。
如圖12及13K所示,第六方法700的步驟720形成閘極堆疊110於每一通道組件104之上與周圍。如上所述,閘極堆疊110包括閘極介電層1024,與閘極116位於閘極介電層1024上。在所述實施例中,閘極介電層1024可包含界面層112,與高介電常數的介電層114位於界面層112上。由於界面層112、高介電常數的介電層114、與閘極116已說明於第一方法200的步驟210,此處省略界面層112、高介電常數的介電層114、與閘極116的細節以簡化說明。閘極介電層1024包括界面層112與高介電常數的介電層114,其可依序沉積於通道組件104周圍,且沉積方法可採用化學氣相沉積或原子層沉積。接著如圖13K所示,可沉積閘極116,且沉積方法可採用物理氣相沉積、化學氣相沉積、原子層沉積、或無電鍍。由於上述二維材料層1000的二維特性,每一通道組件104中的通道層如第二半導體層126可作為第一通道層,而每一通道組件104中的二維材料層1000可作為第二通道層。由於能帶實質上對準,因此可同時且獨立地開啟第一通道層與第二通道層。基於類似理由,圖13K中的半導體裝置如工件100為雙通道場效電晶體。由於電流流經形成於通道層如第二半導體層126中的通道與形成於二維材料層1000中的通道,此裝置與不具有二維材料層1000於通道區中的類似半導體裝置相較,每一通道組件104的有效通道寬度可增加約1.2倍至1.5倍,且開啟狀態電 流亦可增加約1.2倍至1.5倍。
如圖12及13L所示,第六方法700的步驟722進行後續製程。在一些實施例中,這些後續製程可包含形成源極與汲極接點1006、形成閘極接點、形成後續層間介電層、形成源極與汲極接點通孔、以及形成後續內連線結構。由於步驟722與步驟622類似,在此不詳述步驟722以簡化說明。
圖13L的半導體裝置如工件100亦如圖13M、13N、及13O所示。圖13M係半導體裝置如工件100的剖視圖,圖13N係圖13M中的半導體裝置如工件100沿著虛線NN’的剖視圖,而圖13O係圖13M中的半導體裝置如工件100沿著虛線OO’的剖視圖。具體而言,閘極介電層1024包括界面層112與高介電常數的介電層114。高介電常數的介電層114為U形以包覆閘極116。
半導體裝置如工件100的形成方法,亦可採用圖14所示的第七方法800。在第七方法800中,沉積二維材料層1000以整合至半導體堆疊中。如圖14、15A、及15D所示,第七方法800的步驟802沉積第二堆疊1200於基板102上。如圖15A及15D所示,第二堆疊1200包括多個重複單元,其各自包含第一半導體層124、二維材料層1000位於第一半導體層124上、第二半導體層126位於二維材料層1000上、以及另一二維材料層1000位於第二半導體層126上。換言之,每一第二半導體層126直接夾設於兩個二維材料層之間。在圖15A所示的實施例中,第二堆疊1200包括三個重複單元,其各自包含第二半導體層126夾設於兩個二維材料層1000之間,且相鄰的二維材料層1000隔有第一半導體層124。在一些實施例中,第一半導體層124可視作犧牲層且可包含矽鍺,而第二半導體層126可視作通道層且可包含矽。在一些實施方式中,二維材料層1000可包含石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、黑硫、或與第二半導體層 126的半導體材料的能帶實質上對準的合適二維材料。二維材料層1000可摻雜摻質如硫、硒、碲、鋯、鉿、鎢、鉬、硼、氧、氮、碳、矽、或錫。步驟802沉積第一半導體層124、第二半導體層126、與二維材料層1000的方法可採用磊晶成長。
如圖14及15B所示,第七方法800的步驟804圖案化基板102與第二堆疊1200成第二鰭狀結構1042。如圖15B所示,第二鰭狀結構1042包括底部104B與自第二堆疊1200形成的頂部。第二鰭狀結構1042的長度方向沿著X方向延伸。因此頂部包含犧牲層如第一半導體層124、通道層如第二半導體層126、以及夾設通道層如第二半導體層126的二維材料層1000。在一些實施例中,步驟804的圖案化方法可包含非等向蝕刻製程如反應性離子蝕刻製程。反應性離子蝕刻製程的一例可採用碳氟化物如四氟化碳、氟仿、八氟丙烷、或六氟化硫。
如圖14及15C所示,第七方法800的步驟806形成隔離結構106。由於步驟806與步驟706類似,可省略步驟806的細節以簡化說明。
如圖14及15E所示,第七方法800的步驟808形成虛置閘極結構。在一些實施例中,虛置閘極結構包括虛置閘極堆疊1002與閘極間隔物層118。虛置閘極結構的形成方法包含形成虛置閘極堆疊1002於鰭狀結構103上,其進一步包含沉積虛置閘極材料,並圖案化虛置閘極材料以形成虛置閘極堆疊1002。一些實施例採用閘極後製製程,則虛置閘極堆疊1002可包含界面層112、高介電常數的介電層114、與虛置閘極1004(如多晶矽層)。一些實施例採用高介電常數的介電層後製製程,則虛置閘極堆疊1002可包含介電層(如氧化矽)與虛置閘極1004(如多晶矽層)。步驟808亦可形成閘極間隔物層118於虛置閘極堆疊1002的側壁上,其形成方法可為沉積閘極間隔物層118,並由非等向蝕刻如電漿蝕刻以回蝕 刻閘極間隔物層118,如圖15E所示。虛置閘極堆疊1002與閘極間隔物層118位於第二堆疊1200上並直接接觸第二堆疊1200。
如圖14及15F所示,第七方法800的步驟810圖案化第二堆疊1200以形成溝槽1020於源極與汲極區104SD中。虛置閘極結構在圖案化製程時亦可作為蝕刻遮罩,使溝槽1020對準閘極間隔物層118的邊緣。
如圖14及15G所示,第七方法800的步驟812形成內側間隔物130於第一半導體層124的側壁上。形成內側間隔物130的方法可包含對第一半導體層124進行選擇性蝕刻製程,使第一半導體層124橫向凹陷,以形成底切於閘極間隔物層118之下。之後沉積一或多個合適的介電材料層於底切中,接著進行非等向蝕刻製程(如電漿蝕刻)以移除第二半導體層126的側壁上的多餘間隔物材料。綜上所述,內側間隔物130的外側邊緣實質上對準第二半導體層126的邊緣。內側間隔物層130包括一或多種介電材料,比如氧化矽、氮化矽、氮氧化矽、其他合適的介電材料、或上述之組合。
如圖14及15H所示,第七方法800的步驟814形成源極與汲極結構108,其形成方法可為磊晶成長。特別的是,源極與汲極結構108包含一或多種半導體材料。在一些實施例中,源極與汲極結構108包括摻雜磷或砷的矽以用於n型場效電晶體,或摻雜硼的矽鍺以用於p型場效電晶體。在所述實施例中,控制磊晶成長使源極與汲極結構108的上表面高於第二堆疊1200的上表面,而源極與汲極結構108連接至第二半導體層126並與第一半導體層124隔有內側間隔物130,如圖15H所示。
如圖14及15I所示,第七方法800的步驟816由蝕刻製程移除虛置閘極堆疊1002,以形成閘極溝槽1022。具體而言,步驟816移除虛置閘極堆疊1002 並保留閘極間隔物層118。閘極溝槽1022由閘極間隔物層118定義於閘極間隔物層118之間。
步驟816自閘極溝槽1022選擇性移除第一半導體層124,以釋放多個第二半導體層126。在第一半導體層124的組成為矽鍺且第二半導體層126的組成為矽的實施例中,選擇性移除第一半導體層124的方法可包含矽鍺氧化製程與之後移除矽鍺氧化物的製程。在這些實施例中,矽鍺氧化製程可採用臭氧。在一些實施方式中,選擇性移除第一半導體層124的方法可採用選擇性等向蝕刻製程(如選擇性乾蝕刻製程或選擇性濕蝕刻製程)。在一些實施例中,選擇性乾蝕刻製程可採用一或多種氟為主的蝕刻劑,比如氟氣或碳氫氟化物。在一些實施例中,選擇性濕蝕刻製程可包含氫氟酸或氫氧化銨蝕刻劑。如圖15D所示,由於第一堆疊1100中的重複單元配置,移除第一半導體層124(如犧牲層)的步驟可釋放多個通道組件104。每一通道組件104包括第二半導體層126(如通道層)。
如圖14及15J所示,第七方法800的步驟818形成閘極堆疊110於每一通道組件104之上與周圍。如上所述,閘極堆疊110包括閘極介電層1024,與閘極116位於閘極介電層1024上。在所述實施例中,閘極介電層1024可包含界面層112,與高介電常數的介電層114位於界面層112上。由於界面層112、高介電常數的介電層114、與閘極116的組成已說明於第一方法200的步驟210,此處省略界面層112、高介電常數的介電層114、與閘極116的細節以簡化說明。閘極介電層1024包括界面層112與高介電常數的介電層114,其可依序沉積於通道組件104周圍,且沉積方法可採用化學氣相沉積或原子層沉積。接著如圖15J所示,可沉積閘極116,且沉積方法可採用物理氣相沉積、化學氣相沉積、原子層沉積、或無電鍍。由於上述二維材料層1000的二維特性,每一通道組件104中的通道層 如第二半導體層126可作為第一通道層,而每一通道組件104中的二維材料層1000可作為第二通道層。由於能帶實質上對準,因此可同時且獨立地開啟第一通道層與第二通道層。基於類似理由,圖15L中的半導體裝置如工件100為雙通道場效電晶體。由於電流流經形成於通道層如第二半導體層126中的通道與形成於二維材料層1000中的通道,此裝置與不具有二維材料層1000於通道區中的類似半導體裝置相較,每一通道組件104的有效通道寬度可增加約1.2倍至1.5倍,且開啟狀態電流亦可增加約1.2倍至1.5倍。
如圖14及15K所示,第七方法800的步驟820進行後續製程。在一些實施例中,這些後續製程可包含形成源極與汲極接點1006、形成閘極接點、形成後續層間介電層、形成源極與汲極接點通孔、以及形成後續內連線結構。由於步驟820與步驟622類似,在此不詳述步驟820以簡化說明。
圖15K的半導體裝置如工件100亦如圖15L、15M、及15N所示。圖15L係半導體裝置如工件100的剖視圖,圖15M係圖15L中的半導體裝置如工件100沿著虛線MM’的剖視圖,而圖15N係圖15L中的半導體裝置如工件100沿著虛線NN’的剖視圖。具體而言,閘極介電層1024包括界面層112與高介電常數的介電層114。高介電常數的介電層114為U形以包覆閘極116。
半導體裝置如工件100的形成方法,亦可為圖16所示的第八方法900。在第八方法900中,以不同的方法與不同的順序形成內側間隔物。如圖16、17A及17D所示,第八方法900的步驟902沉積第二堆疊1200於基板102上。如圖17A及17D所示,第二堆疊包括多個重複單元,其各自包含第一半導體層124、二維材料層1000位於第一半導體層上、第二半導體層126位於二維材料層1000上、以及另一二維材料層1000位於第二半導體層126上。換言之,每一第二半導 體層126直接夾設於兩個二維材料層之間。在圖17A所示的實施例中,第二堆疊1200包括三個重複單元,其各自具有第二半導體層126夾設於兩個二維材料層1000之間,且相鄰的二維材料層1000隔有第一半導體層124。在一些實施例中,第一半導體層124可視作犧牲層且可包含矽鍺,而第二半導體層126可視作通道層且可包含矽。在一些實施方式中,二維材料層1000可包含石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、黑硫、或與第二半導體層126的半導體材料的能帶實質上對準的合適二維材料。二維材料層1000可摻雜摻質如硫、硒、碲、鋯、鉿、鎢、鉬、硼、氧、氮、碳、矽、或錫。步驟702沉積第一半導體層124、第二半導體層126、與二維材料層1000的方法可採用磊晶成長。
如圖16及17B所示,第八方法900的步驟904圖案化基板102與第二堆疊1200成第二鰭狀結構1042。如圖17B所示,第二鰭狀結構1042包括底部104B與自第二堆疊1200形成的頂部。
第二鰭狀結構1042的長度方向沿著X方向延伸。因此頂部包含犧牲層如第一半導體層124、通道層如第二半導體層126、與夾設通道層如第二半導體層126的二維材料層1000。在一些實施例中,步驟704的圖案化方法可包含非等向蝕刻製程如反應性離子蝕刻製程。反應性離子蝕刻製程的一例可採用碳氟化物如四氟化碳、氟仿、八氟丙烷、或六氟化硫。
如圖16及17C所示,第八方法900的步驟906形成隔離結構106。由於步驟906與步驟706類似,可省略步驟906的細節以簡化說明。
如圖16及17E所示,第八方法900的步驟908形成虛置閘極結構。在一些實施例中,虛置閘極結構包括虛置閘極堆疊1002與閘極間隔物層118。虛置閘極結構的形成方法包含形成虛置閘極堆疊1002於鰭狀結構103上,其進一步 包含沉積虛置閘極材料,並圖案化虛置閘極材料以形成虛置閘極堆疊1002。一些實施例採用閘極後製製程,則虛置閘極堆疊1002可包含界面層112、高介電常數的介電層114、與虛置閘極1004(如多晶矽層)。一些實施例採用高介電常數的介電層後製製程,則虛置閘極堆疊1002可包含介電層(如氧化矽)與虛置閘極1004(如多晶矽層)。步驟908亦可形成閘極間隔物層118於虛置閘極堆疊1002的側壁上,其形成方法可為沉積閘極間隔物層118,並由非等向蝕刻如電漿蝕刻以回蝕刻閘極間隔物層118,如圖17E所示。虛置閘極堆疊1002與閘極間隔物層118位於第二堆疊1200上並直接接觸第二堆疊1200。
如圖16及17F所示,第八方法900的步驟910圖案化第二堆疊1200以形成溝槽1020於源極與汲極區104SD中。虛置閘極結構在圖案化製程時亦可作為蝕刻遮罩,使溝槽1020對準閘極間隔物層118的邊緣。
如圖16、17G、及17H所示,第八方法900的步驟912經由源極與汲極區104SD中的溝槽1020形成輕摻雜源極與汲極結構1010。形成輕摻雜源極與汲極結構1010的方法包括進行蝕刻製程使含有第二半導體層126與二維材料層1000的通道組件橫向凹陷,進而形成底切於閘極間隔物層118之下,如圖17G所示。蝕刻製程可採用蝕刻劑以選擇性蝕刻第二半導體層126與二維材料層1000。值得注意的是,設計橫向凹陷的步驟使通道組件104橫向凹陷,而不使犧牲層如第一半導體層124橫向凹陷。步驟912亦沉積半導體材料以填入底切,進而形成輕摻雜源極與汲極結構1010,如圖17H所示。在所述實施例中,半導體材料包括矽。沉積方法可包含選擇性磊晶成長與原位摻雜,以形成適當的摻質(如n型場效電晶體所用的磷或p型場效電晶體所用的硼)與摻雜劑量的輕摻雜源極與汲極結構1010。舉例來說,磊晶成長採用的前驅物包括含矽氣體與含摻質氣體,其具 有特定分壓以達輕摻雜源極與汲極結構1010所預期的摻雜濃度(低於之後形成的源極與汲極結構108的摻雜濃度)。在其他實施例中,磊晶成長對第一半導體層124不具選擇性,且可形成半導體材料於第一半導體層124上。在此例中,之後可進行非等向蝕刻製程以移除第一半導體層124的側壁上的多餘半導體材料。
如圖16及17I所示,第八方法900的步驟914形成源極與汲極結構108,其形成方法可為磊晶成長。特別的是,源極與汲極結構108包含一或多種半導體材料。在一些實施例中,源極與汲極結構108包括摻雜磷或砷的矽以用於n型場效電晶體,或摻雜硼的矽鍺以用於p型場效電晶體。在所述實施例中,控制磊晶成長使源極與汲極結構108的上表面高於第二堆疊1200的上表面,而源極與汲極結構108連接至所有的第二半導體層126並與第一半導體層124隔有內側間隔物130,如圖15I所示。
如圖16及17J所示,第八方法900的步驟916以蝕刻製程移除虛置閘極結構,以形成閘極溝槽1022。具體而言,步驟916只移除虛置閘極堆疊1002與閘極間隔物層118。閘極溝槽1022由源極與汲極結構108定義於源極與汲極結構108之間。
步驟916自閘極溝槽1022選擇性移除第一半導體層124,以釋放多個第二半導體層126。在第一半導體層124的組成為矽鍺且第二半導體層126的組成為矽的實施例中,選擇性移除第一半導體層124的方法可包含矽鍺氧化製程與之後移除矽鍺氧化物的製程。在這些實施例中,矽鍺氧化製程可採用臭氧。在一些實施方式中,選擇性移除第一半導體層124的方法可採用選擇性等向蝕刻製程(如選擇性乾蝕刻製程或選擇性濕蝕刻製程)。在一些實施例中,選擇性乾蝕刻製程可採用一或多種氟為主的蝕刻劑,比如氟氣或碳氫氟化物。在一些實施例 中,選擇性濕蝕刻製程可包含氫氟酸或氫氧化銨蝕刻劑。如圖15D所示,由於第一堆疊1100中的重複單元配置,移除第一半導體層124(如犧牲層)的步驟可釋放多個通道組件104。每一通道組件104包括第二半導體層126(如通道層)。
如圖16及17K所示,第八方法900的步驟918形成間隔物層132於源極與汲極結構108的側壁上。通道組件104之間的間隔物層132的部分可視作內側間隔物,而高於第二堆疊1200的間隔物層132的部分可視作閘極間隔物。形成間隔物層132的方法可包含沉積介電層於閘極溝槽中,並對間隔物層132進行非等向蝕刻製程,只保留源極與汲極結構108的側壁上的部分。間隔物層132包括一或多種介電材料,比如氧化矽、氮化矽、氮氧化矽、其他合適的介電材料、或上述之組合。
如圖16及17L所示,第八方法900的步驟920形成閘極堆疊110於每一通道組件104之上與周圍。如上所述,閘極堆疊110包括閘極介電層1024,與閘極116位於閘極介電層1024上。在所述實施例中,閘極介電層1024可包含界面層112,與高介電常數的介電層位於界面層112上。由於界面層112、高介電常數的介電層114、與閘極116已說明於第一方法200的步驟210,此處省略界面層112、高介電常數的介電層114、與閘極116的細節以簡化說明。閘極介電層1024包括界面層112與高介電常數的介電層114,其可依序沉積於通道組件104周圍,且沉積方法可採用化學氣相沉積或原子層沉積。接著如圖17L所示,可沉積閘極116,且沉積方法可採用物理氣相沉積、化學氣相沉積、原子層沉積、或無電鍍。由於上述二維材料層1000的二維特性,每一通道組件104中的通道層如第二半導體層126可作為第一通道層,而每一通道組件104中的二維材料層1000可作為第二通道層。由於能帶實質上對準,因此可同時且獨立地開啟第一通道層與第二 通道層。基於類似理由,圖17L中的半導體裝置如工件100為雙通道場效電晶體。由於電流流經形成於通道層如第二半導體層126中的通道與形成於二維材料層1000中的通道,此裝置與不具有二維材料層1000於通道區中的類似半導體裝置相較,每一通道組件104的有效通道寬度可增加約1.2倍至1.5倍,且開啟狀態電流亦可增加約1.2倍至1.5倍。
如圖16及17M所示,第八方法900的步驟922進行後續製程。在一些實施例中,這些後續製程可包含形成源極與汲極接點1006、形成閘極接點、形成後續層間介電層、形成源極與汲極接點通孔、以及形成後續內連線結構。由於步驟922與步驟622類似,在此不詳述步驟922以簡化說明。
圖17M的半導體裝置如工件100亦如圖17N、17O、及17P所示。圖17N係半導體裝置如工件100的剖視圖,圖17O係圖17N中的半導體裝置如工件100沿著虛線OO’的剖視圖,而圖17P係圖17N中的半導體裝置如工件100沿著虛線PP’的剖視圖。具體而言,閘極介電層1024包括界面層112與高介電常數的介電層114。高介電常數的介電層114為U形以包覆閘極116。
半導體裝置如工件100的形成方法,亦可為圖18所示的第九方法930。方法930與包含步驟402的第三方法400類似。由於多種步驟已說明於上述的第三方法400,在此省略第三方法400中的步驟細節以簡化說明。只有不同的步驟說明如下。具體而言,調整步驟402為步驟932,其沉積犧牲層與二維材料層1000於犧牲層上。在所述實施例中,犧牲層為選擇性磊晶成長所沉積的矽鍺層如第一半導體層124。第九方法930亦可包含步驟934,其實施於圖案化鰭狀結構以形成溝槽於源極與汲極區104SD中的步驟410以及形成源極與汲極結構108的步驟412之間。步驟934形成介電層1008,如圖19H所示。形成介電層1008的方 法可包括選擇性蝕刻矽鍺層如第一半導體層124、沉積介電層1008、以選擇性蝕刻使介電層橫向凹陷、以及磊晶成長矽以填入凹陷。
在一些實施例中,半導體裝置如工件100的結構如圖20所示,其與圖19L中的半導體裝置如工件100的結構類似。然而二維材料層1000延伸至源極與汲極結構108中,且可進一步包含部分如輕摻雜源極與汲極結構1010。在此結構中,二維材料層1000可改善自源極與汲極結構至二維材料層1000的通道的電流散布(較少電流擁擠),因此增加對源極與汲極結構108的接點面積並減少接點電阻。上述二維材料層1000可包含單一二維膜或多個二維膜。此外,可由二維膜的數目調整二維材料層1000的能帶隙,使其導帶/價帶的能帶可更佳地對準源極與汲極結構。在一些實施例中,半導體裝置如工件100的結構的形成方法,與圖8以及圖9A至9K所示的方法類似。具體而言,步驟514以合適的蝕刻製程使閘極溝槽1022中的鰭狀結構103凹陷以形成凹陷1026;進行合適的橫向蝕刻製程以延伸凹陷至源極與汲極區中;以及沉積二維材料層1000。
本發明實施例關於半導體裝置。半導體裝置的通道組件具有第一通道層與第二通道層位於第一通道層上,而閘極結構位於通道組件上。第一通道層包括矽、鍺、III-V族半導體、或II-VI族半導體,而第二通道層包括二維材料。在一些實施例中,介電層位於二維材料層之下,以提供裝置與基板之間的隔離。此外,可磊晶成長源極與汲極結構的半導體材料,其可較佳整合至二維通道層並減少接點電阻。
本發明一實施例提供半導體裝置的形成方法。方法包括提供工件,其具有半導體結構;沉積二維材料層於半導體結構上;形成源極結構與汲極結構以電性連接至半導體結構與二維材料層,其中源極結構與汲極結構包括 半導體材料;以及形成閘極結構於二維材料層之上並夾設於源極結構與汲極結構之間。閘極結構、源極結構、汲極結構、半導體結構、與二維材料層設置為形成場效電晶體。半導體結構與二維材料層分別作為源極結構與汲極結構之間的第一通道與第二通道。
在一些實施例中,半導體結構包括矽、鍺、III-V族半導體、或II-VI族半導體;以及二維材料層包括石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、或黑硫。
在一些實施例中,形成源極結構與汲極結構的步驟包括:圖案化半導體結構以形成多個溝槽於多個源極與汲極區中;以及磊晶成長半導體材料以填入溝槽,進而形成源極結構與汲極結構。
在一些實施例中,圖案化半導體結構的步驟更包括圖案化二維材料層;以及磊晶成長半導體材料的步驟包括磊晶成長半導體材料以直接接觸二維材料層的邊緣。
在一些實施例中,閘極結構包括閘極堆疊以及閘極間隔物層,且閘極間隔物層的第一間隔物與第二間隔物位於閘極堆疊的兩側側壁上;以及圖案化二維材料層的步驟包括使圖案化的二維材料層位於閘極間隔物層的第一間隔物與第二間隔物之間。
在一些實施例中,圖案化二維材料層的步驟包括使圖案化的二維材料層的兩側邊緣分別對準第一間隔物與第二間隔物的外側側壁。
在一些實施例中,形成介電結構的步驟包括:在沉積二維材料層之前,形成犧牲半導體層於半導體結構上;以及在圖案化半導體結構以形成溝槽於源極與汲極區中之後,經由溝槽置換犧牲半導體層為介電層。
在一些實施例中,沉積二維材料層的步驟包括:形成虛置閘極堆疊於半導體結構上,且閘極間隔物層具有第一間隔物與第二間隔物位於虛置閘極堆疊的兩側側壁上;在形成源極結構與汲極結構之後,移除虛置閘極堆疊以形成第一間隔物與第二間隔物所定義的閘極溝槽,且閘極溝槽中露出半導體結構;以及選擇性沉積二維材料層於閘極溝槽中露出的半導體結構上,使二維材料層位於第一間隔物與第二間隔物的內側側部之間。
在一些實施例中,上述方法更包括形成閘極結構於閘極溝槽中的二維材料層上。
在一些實施例中,沉積二維材料層的步驟包括沉積厚度介於約2Å至約10Å之間的二維材料層。
在一些實施例中,上述方法更包括形成介電結構於二維材料層與半導體結構之間。
本發明一實施例提供半導體裝置的形成方法。方法包括形成半導體堆疊,其包括交錯設置的多個第一半導體層與多個第二半導體層,其中第一半導體層與第二半導體層的組成不同;沉積二維材料層於第二半導體層上;形成源極結構與汲極結構以電性連接至第二半導體層與二維材料層,其中源極結構與汲極結構包括半導體材料;選擇性移除第一半導體層;以及形成閘極結構於二維材料層上,且閘極結構延伸包覆每一第二半導體層。
在一些實施例中,第二半導體層包括矽、鍺、III-V族半導體、或II-VI族半導體;以及二維材料層包括石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、或黑硫。
在一些實施例中,形成源極結構與汲極結構的步驟包括:圖案化 半導體結構以形成多個溝槽於多個源極與汲極區中;以及磊晶成長半導體材料以填入溝槽,進而形成源極結構與汲極結構,其中第二半導體層位於源極結構與汲極結構之間。
在一些實施例中,形成閘極結構於二維材料層上,且閘極結構延伸包覆每一第二半導體層的步驟包括:在形成源極結構與汲極結構之前,形成虛置閘極結構於半導體堆疊上;在形成源極結構與汲極結構之後,移除虛置閘極結構以形成閘極溝槽;以及形成閘極堆疊於閘極溝槽中並位於第一閘極間隔物與第二閘極間隔物之間,其中選擇性移除第一半導體層的步驟包括在移除虛置閘極結構之後,經由閘極溝槽選擇性移除第一半導體層。
在一些實施例中,形成閘極結構於二維材料層上,且閘極結構延伸包覆每一第二半導體層的步驟更包括:在移除虛置閘極結構之後,沉積介電材料層於閘極溝槽中;以及在形成閘極堆疊之前,對介電材料層進行非等向蝕刻製程,進而形成第一閘極間隔物於源極結構的側壁上與第二閘極間隔物於該汲極結構的側壁上。
在一些實施例中,選擇性移除第一半導體層的步驟包括在移除虛置閘極結構之後,經由閘極溝槽選擇性移除第一半導體層;沉積二維材料層的步驟包括沉積二維材料層以包覆每一第二半導體層;以及沉積介電材料層於閘極溝槽中的步驟包括沉積介電材料層於二維材料層上,以包覆每一第二半導體層。
在一些實施例中,對介電材料層進行非等向蝕刻製程的步驟形成多個內側間隔物於相鄰的兩個第一半導體層之間。
在一些實施例中,沉積二維材料層於第二半導體層上的步驟,包 括沉積二維材料層於每一第二半導體層的上表面與下表面上。
本發明一實施例提供半導體裝置。半導體裝置包括通道組件,其包括第一通道層與第二通道層位於第一通道層上;閘極結構,位於通道組件上;以及半導體材料的源極結構與汲極結構。第一通道層包括矽、鍺、III-V族半導體、或II-VI族半導體。二維材料包括石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、或黑硫。第二半導體層包括二維材料。源極結構與汲極結構的半導體材料電性連接至第一通道層與第二通道層。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
100:工件
102:基板
104:通道組件
108:源極與汲極結構
110:閘極堆疊
112:界面層
114:高介電常數的介電層
116:閘極
118:閘極間隔物層
1000:二維材料層
1006:源極與汲極接點
1010:輕摻雜源極與汲極結構

Claims (10)

  1. 一種半導體裝置的形成方法,包括:提供一工件,其具有一半導體結構;沉積一二維材料層於該半導體結構上;摻雜該二維材料層的一部分以形成一輕摻雜源極結構與一輕摻雜汲極結構;形成一源極結構與一汲極結構以電性連接至該半導體結構與該二維材料層,其中該源極結構與該汲極結構包括一半導體材料;形成一閘極結構於該二維材料層之上並夾設於該源極結構與該汲極結構之間,其中該閘極結構、該源極結構、該汲極結構、該半導體結構、與該二維材料層設置為形成一場效電晶體,且其中該半導體結構與該二維材料層分別作為該源極結構與該汲極結構之間的一第一通道與一第二通道,其中該閘極結構包括一閘極堆疊以及一閘極間隔物層,且該閘極間隔物層的一第一間隔物與一第二間隔物位於該閘極堆疊的兩側側壁上;以及分別形成一源極接點與一汲極接點於該源極結構與該汲極結構上,其中該第一間隔物在該源極接點的側壁或該汲極接點的側壁與該二維材料層的側壁之間延伸。
  2. 如請求項1之半導體裝置的形成方法,其中:該半導體結構包括矽、鍺、III-V族半導體、或II-VI族半導體;以及該二維材料層包括石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、或黑硫。
  3. 如請求項1或2之半導體裝置的形成方法,其中形成該源極結構與該汲極結構的步驟包括: 圖案化該半導體結構以形成多個溝槽於多個源極與汲極區中;以及磊晶成長該半導體材料以填入該些溝槽,進而形成該源極結構與該汲極結構。
  4. 如請求項3之半導體裝置的形成方法,其中:圖案化該半導體結構的步驟更包括圖案化該二維材料層;以及磊晶成長該半導體材料的步驟包括磊晶成長該半導體材料以直接接觸該二維材料層的邊緣。
  5. 如請求項4之半導體裝置的形成方法,其中:圖案化該二維材料層的步驟包括使圖案化的該二維材料層位於該閘極間隔物層的該第一間隔物與該第二間隔物之間。
  6. 一種半導體裝置的形成方法,包括:形成一半導體堆疊,其包括交錯設置的多個第一半導體層與多個第二半導體層,其中該些第一半導體層與該些第二半導體層的組成不同;沉積一二維材料層於該些第二半導體層上;形成一輕摻雜源極結構與一輕摻雜汲極結構於該二維材料層的兩側;形成一源極結構與一汲極結構分別鄰近該輕摻雜源極結構與該輕摻雜汲極結構且電性連接至該些第二半導體層與該二維材料層,其中該源極結構與該汲極結構包括一半導體材料;選擇性移除該些第一半導體層;形成一閘極結構於該二維材料層上,且該閘極結構延伸包覆每一該些第二半導體層,其中該閘極結構包括一閘極堆疊以及一閘極間隔物層位於該閘極堆疊的側壁上;以及 分別形成一源極接點與一汲極接點於該源極結構與該汲極結構上,其中該閘極間隔物層在該源極接點的側壁或該汲極接點的側壁與該二維材料層的側壁之間延伸。
  7. 如請求項6之半導體裝置的形成方法,其中:該第二半導體層包括矽、鍺、III-V族半導體、或II-VI族半導體;以及該二維材料層包括石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、或黑硫。
  8. 如請求項6或7之半導體裝置的形成方法,其中形成該源極結構與該汲極結構的步驟包括:圖案化該半導體結構以形成多個溝槽於多個源極與汲極區中;以及磊晶成長該半導體材料以填入該些溝槽,進而形成該源極結構與該汲極結構,其中該第二半導體層位於該源極結構與該汲極結構之間。
  9. 如請求項8之半導體裝置的形成方法,其中形成該閘極結構於該二維材料層上,且該閘極結構延伸包覆每一該些第二半導體層的步驟包括:在形成該源極結構與該汲極結構之前,形成一虛置閘極結構於該半導體堆疊上;在形成該源極結構與該汲極結構之後,移除該虛置閘極結構以形成一閘極溝槽;以及形成一閘極堆疊於該閘極溝槽中並位於一第一閘極間隔物與一第二閘極間隔物之間,其中選擇性移除該些第一半導體層的步驟包括在移除該虛置閘極結構之後,經由該閘極溝槽選擇性移除該些第一半導體層。
  10. 一種半導體裝置,包括: 一通道組件,包括一第一通道層與一第二通道層位於該第一通道層上;一閘極結構,位於該通道組件上,其中該閘極結構包括一閘極堆疊以及一閘極間隔物層位於該閘極堆疊的側壁上;一半導體材料的一源極結構與一汲極結構;一輕摻雜源極結構與一輕摻雜汲極結構,分別鄰近該源極結構與該汲極結構且位於該第二通道層的兩側;以及一源極接點與一汲極接點,分別位於該源極結構與該汲極結構上,其中該閘極間隔物層在該源極接點的側壁或該汲極接點的側壁與該第二通道層的側壁之間延伸,其中該第一通道層包括矽、鍺、III-V族半導體、或II-VI族半導體,其中該第二通道層包括一二維材料,且該二維材料包括石墨烯、硫化鎢、碲化鎢、硒化鎢、硫化鉬、碲化鉬、硒化鉬、或黑硫,以及其中該源極結構與該汲極結構的該半導體材料電性連接至該第一通道層與該第二通道層。
TW110123981A 2020-08-07 2021-06-30 半導體裝置與其形成方法 TWI792378B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063062840P 2020-08-07 2020-08-07
US63/062,840 2020-08-07
US17/218,212 US11728391B2 (en) 2020-08-07 2021-03-31 2d-channel transistor structure with source-drain engineering
US17/218,212 2021-03-31

Publications (2)

Publication Number Publication Date
TW202207474A TW202207474A (zh) 2022-02-16
TWI792378B true TWI792378B (zh) 2023-02-11

Family

ID=78651775

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110123981A TWI792378B (zh) 2020-08-07 2021-06-30 半導體裝置與其形成方法

Country Status (5)

Country Link
US (2) US11728391B2 (zh)
KR (1) KR102582484B1 (zh)
CN (1) CN113707605A (zh)
DE (1) DE102021108344A1 (zh)
TW (1) TWI792378B (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150364592A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Thin-Sheet FinFET Device
US20180175213A1 (en) * 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. 2-d material transistor with vertical structure
US20180301448A1 (en) * 2017-04-18 2018-10-18 International Business Machines Corporation Two Dimension Material Fin Sidewall
CN108933174A (zh) * 2017-05-27 2018-12-04 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
TW201926718A (zh) * 2017-11-30 2019-07-01 台灣積體電路製造股份有限公司 半導體裝置
US20200098643A1 (en) * 2017-10-19 2020-03-26 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
WO2015099761A1 (en) 2013-12-27 2015-07-02 Intel Corporation Selective etching for gate all around architectures
US9240478B2 (en) * 2014-04-16 2016-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. 3D UTB transistor using 2D material channels
CN106257687B (zh) 2015-06-17 2020-02-28 中芯国际集成电路制造(上海)有限公司 一种半导体装置及其制造方法
CN106328535B (zh) * 2015-07-02 2019-08-27 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10084066B2 (en) 2016-11-29 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102017113496A1 (de) * 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. 2d-material-transistor mit vertikaler struktur
KR102385567B1 (ko) 2017-08-29 2022-04-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10490631B2 (en) * 2017-11-24 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US10886265B2 (en) * 2018-06-07 2021-01-05 Intel Corporation Integrated circuit device with a two-dimensional semiconductor material and a dielectric material that includes fixed charges
CN109244073B (zh) * 2018-09-03 2020-09-29 芯恩(青岛)集成电路有限公司 半导体器件结构及其制作方法
TWI685968B (zh) 2018-11-23 2020-02-21 財團法人工業技術研究院 增強型氮化鎵電晶體元件及其製造方法
US11476333B2 (en) * 2020-03-31 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Dual channel structure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150364592A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Thin-Sheet FinFET Device
US20180175213A1 (en) * 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. 2-d material transistor with vertical structure
US20180301448A1 (en) * 2017-04-18 2018-10-18 International Business Machines Corporation Two Dimension Material Fin Sidewall
CN108933174A (zh) * 2017-05-27 2018-12-04 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US20200098643A1 (en) * 2017-10-19 2020-03-26 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals
TW201926718A (zh) * 2017-11-30 2019-07-01 台灣積體電路製造股份有限公司 半導體裝置

Also Published As

Publication number Publication date
TW202207474A (zh) 2022-02-16
KR102582484B1 (ko) 2023-09-22
US20230361180A1 (en) 2023-11-09
DE102021108344A1 (de) 2022-02-10
US11728391B2 (en) 2023-08-15
CN113707605A (zh) 2021-11-26
KR20220018899A (ko) 2022-02-15
US20220045176A1 (en) 2022-02-10

Similar Documents

Publication Publication Date Title
US11664420B2 (en) Semiconductor device and method
CN110828378A (zh) 半导体装置的形成方法
US11749742B2 (en) Self-aligned inner spacer on gate-all-around structure and methods of forming the same
US20220149176A1 (en) Gate structures and methods of forming same
KR20210028067A (ko) 반도체 디바이스 및 방법
US20220367717A1 (en) Semiconductor Device and Method of Manufacture
TWI792378B (zh) 半導體裝置與其形成方法
US20210313450A1 (en) FinFET Device and Method
KR102491906B1 (ko) 이중 채널 구조체
KR102296833B1 (ko) 반도체 디바이스 및 방법
TW202201560A (zh) 半導體元件及其形成方法
US20230008494A1 (en) Gate structures in transistor devices and methods of forming same
TWI777605B (zh) 半導體裝置與其形成方法
TWI792238B (zh) 半導體裝置及其形成方法
US20240014256A1 (en) Threshold voltage modulation by gate height variation
US11626485B2 (en) Field effect transistor and method
US20220246611A1 (en) Semiconductor device and methods of forming
TW202221772A (zh) 填充結構及其製造方法
KR20220017809A (ko) 게이트 전극 퇴적 및 게이트 전극 퇴적에 의해 형성되는 구조체
KR20210038817A (ko) 반도체 디바이스 내의 게이트 구조