TWI783222B - 底部隔離之形成 - Google Patents

底部隔離之形成 Download PDF

Info

Publication number
TWI783222B
TWI783222B TW109113627A TW109113627A TWI783222B TW I783222 B TWI783222 B TW I783222B TW 109113627 A TW109113627 A TW 109113627A TW 109113627 A TW109113627 A TW 109113627A TW I783222 B TWI783222 B TW I783222B
Authority
TW
Taiwan
Prior art keywords
silicon
containing material
layer
layers
exposed
Prior art date
Application number
TW109113627A
Other languages
English (en)
Other versions
TW202044375A (zh
Inventor
李炳讚
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202044375A publication Critical patent/TW202044375A/zh
Application granted granted Critical
Publication of TWI783222B publication Critical patent/TWI783222B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Abstract

一種方法可包括以下步驟:形成含氟前驅物的電漿並使半導體基板與電漿流出物接觸。半導體基板可包括在半導體基板上方形成的具有第一鍺含量的第一含矽材料的層,及在第一含矽材料的層上方的第二含矽材料和第三含矽材料的交替層。第三含矽材料可具有第二鍺含量。方法可進一步包括以下步驟:相對於第一和第二含矽材料橫向凹陷第三含矽材料。方法可進一步包括以下步驟:相對於第一和第二含矽材料沉積與第三含矽材料相鄰的間隔物材料。方法還可包括以下步驟:相對於第二含矽材料和間隔物材料蝕刻第一含矽材料。

Description

底部隔離之形成
本技術關於半導體系統、處理和配備。更具體地,本技術關於用於在半導體裝置上選擇性地蝕刻和沉積材料層的系統和方法。
藉由在基板表面上產生複雜圖案化的材料層的處理,使得積體電路成為可能。在基板上產生圖案化材料需要受控的方法以移除曝露的材料。化學蝕刻用於多種目的,包括將光阻中的圖案轉移到下面的層中、減薄層或減薄表面上已經存在的特徵的橫向尺寸。通常期望具有比另一種材料更快地蝕刻一種材料的蝕刻處理,以利於(例如)圖案轉移處理或單個材料的移除。據說這種蝕刻處理對第一材料是選擇性的。由於材料、電路和處理的多樣性,已經開發出對多種材料具有選擇性的蝕刻處理。然而,通常利用毯式塗層或共形填充劑在整個基板上繼續進行沉積處理。
隨著下一代裝置中裝置尺寸的不斷縮小,當在特定層中僅形成幾奈米的材料時,尤其是在電晶體形成中材料是至關重要的時,選擇性可能起到更大的作用。儘管標準的選擇性可能不再適用於當前和未來的裝置規模,但是在各種材料之間已經開發出許多不同的蝕刻處理選擇性。另外,在基板上的其他位置執行圖案化和形成的同時,基於用以形成和保護整個裝置上的特徵的各種關鍵尺寸所需的遮罩、形成和移除操作的數量,用於處理的隊列時間繼續增加。
因此,存在有可用以產生高品質裝置和結構的改進的系統和方法的需求。這些和其他需求由本技術解決。
根據一些實施例,一種形成半導體結構的方法可包括以下步驟:在處理腔室的遠端電漿區域中形成含氟前驅物的電漿。方法可進一步包括以下步驟:使半導體基板與電漿的流出物接觸。半導體基板可容納在處理腔室的處理區域中。半導體基板可包括在半導體基板上方形成的具有第一鍺含量的第一含矽材料的層。半導體基板可進一步包括在第一含矽材料的層上方的第二含矽材料和第三含矽材料的交替層。第三含矽材料可具有第二鍺含量。方法還可包括以下步驟:使第三含矽材料的層橫向凹陷。可相對於第一含矽材料的層和相對於第二含矽材料的層選擇性地使第三含矽材料的層凹陷。方法可進一步包括以下步驟:沉積與第三含矽材料的層相鄰的間隔物材料。相對於第一含矽材料的層的曝露區域和相對於第二含矽材料的層的曝露區域,間隔物材料可選擇性地鄰近第三含矽材料的層沉積。方法還可包括以下步驟:蝕刻第一含矽材料的層。可相對於第二含矽材料的層和間隔物材料選擇性地蝕刻第一含矽材料的層。
在一些實施例中,第一鍺含量可小於第二鍺含量。第二鍺含量與第一鍺含量之比例的範圍可在約1:1和約100:1之間。在一些實施例中,蝕刻第一含矽材料的層可完全移除在半導體基板與第二含矽材料和第三含矽材料的交替層之間的第一含矽材料的層。
在一些實施例中,方法可進一步包括以下步驟:在半導體基板與第二含矽材料和第三含矽材料的交替層之間沉積絕緣材料。絕緣材料可包括氧化物材料。在一些實施例中,方法還可包括以下步驟:蝕刻絕緣材料以在第一含矽材料的層與第二含矽材料和第三含矽材料的交替層的底部層之間形成底部絕緣層。底部絕緣層的寬度可不大於交替層的底部層的寬度。在一些實施例中,底部絕緣層可具有範圍在約2:1和20:1之間的寬度與厚度的深寬比。在一些實施例中,方法可進一步包括以下步驟:在半導體基板的曝露表面上方沉積源極或汲極材料。源極或汲極材料可鄰近底部絕緣層和第二含矽材料和第三含矽材料的交替層。
在一些實施例中,可在不進行離子佈植操作的情況下執行該方法。在一些實施例中,第一含矽材料可包括矽鍺,第二含矽材料可包括矽,並且第三含矽材料可包括矽鍺。
在一些實施例中,方法可進一步包括以下步驟:移除第三含矽材料的層。方法還可包括以下步驟:形成金屬材料的層,其中金屬材料的層和第二含矽材料的層可以交替的方式佈置。在一些實施例中,第二含矽材料的層可被顯影成複數個奈米線通道。在一些實施例中,金屬材料的層可被顯影為配置成控制複數個奈米線通道的複數個閘極。
在一些實施例中,半導體基板可進一步包括複數個閘極形成結構,每個閘極形成結構具有曝露的蓋材料和曝露的介電材料。第三含矽材料的層可相對於曝露的蓋材料和曝露的介電材料選擇性地凹陷。可相對於曝露的蓋材料和曝露的介電材料選擇性地沉積間隔物材料。可相對於曝露的蓋材料和曝露的介電材料選擇性地蝕刻第一含矽材料的層。
根據一些實施例,半導體結構可包括源極、汲極、在源極和汲極之間的複數個奈米線通道及底部絕緣層。複數個奈米線通道可各自具有由源極和汲極界定的寬度。底部絕緣層可接觸複數個奈米線通道的底部奈米線通道,並且可設置在源極和汲極之間。底部絕緣層的寬度可不大於底部奈米線通道的寬度。
在一些實施例中,源極、汲極和底部絕緣層可設置在含矽基板上方。在一些實施例中,源極和汲極可在含矽基板上方磊晶生長。在一些實施例中,底部絕緣層可具有範圍在約2:1和20:1之間的寬度與厚度的深寬比。在一些實施例中,底部絕緣層可包括氧化物材料。在一些實施例中,半導體結構可進一步包括複數個閘極結構。複數個閘極結構可各自圍繞複數個奈米線通道的至少一些奈米線通道的一奈米線通道設置。
於此描述的技術可提供優於習知系統和技術的許多益處。例如,於此描述的技術可形成底部絕緣層以有效地防止電流洩漏,而不會妨礙或干擾隨後的源極或汲極形成,且隨後形成的源極或汲極可具有有限的缺陷或沒有缺陷。結合以下的實施方式和附隨的圖式更詳細地描述了這些和其他實施例以及它們的許多優點和特徵。
本技術包括用於小間距特徵的半導體處理的系統和方法。在閘極全包圍(gate-all-around)和其他電晶體結構中,閘極結構可能不會在底部通道(諸如底部奈米線通道)周圍全部形成,且可能通過底部奈米線通道發生電流洩漏。為了限制電流洩漏,可形成底部絕緣層。由習知技術形成的底部絕緣層通常延伸到隨後形成與底部絕緣層耦合的源極和汲極的區域中。有若干與這種底部絕緣層的形成有關聯的缺點。具體地,通常藉由在表面上方磊晶生長源極或汲極材料(諸如半導體材料)來執行源極或汲極的形成。當通常為絕緣氧化物材料的底部絕緣層延伸到源極和汲極區域中時,在絕緣氧化物底部絕緣層上方的半導體源極或汲極材料的磊晶生長可能是有挑戰性的。
另外,當在習知技術中形成底部隔離層時,可執行一或多個反應離子蝕刻(RIE)操作及/或離子佈植操作。RIE及/或離子佈植操作可能會導致要形成的電晶體的特徵或部件損壞或缺陷。例如,RIE及/或離子佈植操作可能會損壞可能在其上磊晶生長源極或汲極材料的表面,而這種損壞繼而可能導致隨後形成的源極或汲極中的缺陷,從而影響所產生的電晶體裝置的效能。
本技術藉由開發用於移除和形成的選擇性蝕刻和選擇性沉積處理來克服這些問題,並且當形成底部隔離層時可不利用任何RIE及/或離子佈植處理。藉由利用在特定配備中執行的選擇性蝕刻處理和在特定配備中的沉積操作,所描述的處理可形成可不延伸到源極或汲極區域中的底部絕緣層。藉由於此所述的處理形成的底部絕緣層可提供有效的電流洩漏控制,而不會妨礙或干擾隨後的源極或汲極形成。此外,可減少或避免對可磊晶生長源極或汲極材料的基板的損壞,並且可形成較少缺陷的源極和汲極。
儘管其餘的揭露內容將常規地利用所揭露的技術來識別特定的蝕刻和沉積處理,但是將容易理解的是,系統和方法同樣適用於可能發生在所描述的腔室中的多種其他蝕刻、沉積和清潔處理。因此,該技術不應被認為僅限於與所述蝕刻和沉積處理一起使用。本揭露書將在描述根據本技術的示例性處理序列的操作之前,論述可與本技術一起使用以執行某些移除和沉積操作的一種可能的系統和腔室。
第1圖顯示了根據實施例的沉積、蝕刻、烘烤和固化腔室的處理系統100的一個實施例的頂部平面圖。在圖中,一對前開式晶圓傳送盒(FOUP)102供應各種尺寸的基板,這些基板可在放置到基板處理腔室108a-108f(定位於串聯部分109a-c中)的一個中之前,由機械臂104接收並放置在低壓保持區域106中。第二機械臂110可用以將基板晶圓從保持區域106傳送到基板處理腔室108a-f並返回。每個基板處理腔室108a-f可裝配成執行許多基板處理操作,包括除了循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、濕式蝕刻、預清潔、脫氣、定向和其他基板處理之外,於此所述的乾式刻蝕處理和選擇性沉積。
基板處理腔室108a-f可包括一或多個系統部件,用於在基板晶圓上沉積、退火、固化及/或蝕刻介電膜。在一個配置中,可使用兩對處理腔室(如,108c-d和108e-f)在基板上沉積介電材料或含金屬的材料,且可使用第三對處理腔室(如,108a-b)以蝕刻沉積的電介質。在另一個配置中,所有三對腔室(如108a-f)可配置成蝕刻基板上的介電膜。所描述的處理的任何一或多個可在與不同實施例中所示的製造系統分離的(多個)腔室中實施。
在一些實施例中,腔室具體包括至少一個蝕刻腔室及至少一個沉積腔室。藉由將這些組合的腔室包括在工廠界面的處理側上,可在受控環境中執行以下論述的所有蝕刻和沉積處理。例如,在實施例中,可在保持區域106的處理側上維持真空環境,使得所有腔室和傳送裝置都維持在真空下。這也可限制水蒸氣和其他空氣成分免於接觸正處理的基板。應當瞭解,系統100可構想用於介電膜的沉積、蝕刻、退火和固化腔室的額外配置。
第2圖顯示了示例性處理腔室系統200的橫截面圖,處理腔室系統200在處理腔室內具有分隔的電漿產生區域。在膜蝕刻(如,氮化鈦、氮化鉭、鎢、鈷、氧化鋁、氧化鎢、矽、多晶矽、氧化矽、氮化矽、氮氧化矽、碳氧化矽等)期間,處理氣體可通過氣體入口組件205流入第一電漿區域215中。遠端電漿系統(RPS)201可任選地包括在系統中,並且可處理第一氣體,第一氣體接著行進通過氣體入口組件205。入口組件205可包括兩個或更多個不同的氣體供應通道,其中第二通道(未顯示)可繞過RPS 201(若包括的話)。
顯示了冷卻板203、面板217、離子抑制器223、噴頭225和其上設置有基板255的基板支撐件265,並且根據實施例可分別包括在內。基座265可具有熱交換通道,熱交換流體流過熱交換通道以控制基板的溫度,基座265可在處理操作期間經操作以加熱及/或冷卻基板或晶圓。可包含鋁、陶瓷或其組合的基座265的晶圓支撐盤片也可使用嵌入式電阻加熱器元件而被電阻加熱,以實現相對較高的溫度,諸如從高達或約100℃到高於或約1100℃。
面板217可為金字塔形的、圓錐形的或具有從窄頂部部分擴展成寬底部部份的另一類似結構。如圖所示,面板217可另外是平坦的,並包括用以分配處理氣體的複數個穿通通道。取決於RPS 201的用途,電漿產生氣體及/或電漿激發物種可穿過在面板217中的複數個孔,如第3圖所示,用於更均勻地輸送到第一電漿區域215中。
示例性配置可包括使氣體入口組件205通向藉由面板217而與第一電漿區域215隔開的氣體供應區域258,使得氣體/物種通過面板217中的孔流入第一電漿區域215中。結構及操作特徵可經選擇以防止電漿從第一電漿區域215大量回流回到供應區域258、氣體入口組件205和流體供應系統210中。面板217(或腔室的導電頂部部分)及噴頭225顯示成具有位於特徵之間的絕緣環220,其允許相對於噴頭225及/或離子抑制器223將AC電位施加到面板217。絕緣環220可位於面板217和噴頭225及/或離子抑制器223之間,使得能夠在第一電漿區域中形成電容耦合電漿(CCP)。擋板(未顯示)可另外位於第一電漿區域215中,或者以其他方式與氣體入口組件205耦合,以影響流體通過氣體入口組件205流到該區域中的流動。
離子抑制器223可包含板或其他幾何形狀,板或其他幾何形狀在整個結構中界定了複數個孔洞,這些孔洞配置成抑制離子帶電物種從第一電漿區域215遷移出來,同時允許不帶電的中性或自由基物種穿過離子抑制器223進入在抑制器和噴頭之間的活化氣體輸送區域中。在實施例中,離子抑制器223可包含具有各種孔洞配置的多孔板。這些不帶電的物種可包括高反應性物種,其以較低反應性的載氣通過孔洞運輸。如上所述,可減少離子物種通過孔的遷移,且在一些情況下可完全抑制。控制穿過離子抑制器223的離子物種的量可有利地提供對與下面的晶圓基板接觸的氣體混合物的增加的控制,這繼而可增加對氣體混合物的沉積及/或蝕刻特性的控制。例如,調整氣體混合物的離子濃度可顯著改變其蝕刻選擇性,如SiNx:SiOx蝕刻率、Si:SiOx蝕刻率等。在執行沉積的替代實施例中,它也可偏移用於介電材料的從共形到可流動樣式的沉積的平衡。
離子抑制器223中的複數個孔洞可配置成控制活化氣體(亦即,離子、自由基及/或中性物種)通過離子抑制器223的通過。例如,可控制孔的深寬比,或孔直徑與長度,及/或孔的幾何形狀,使得穿過離子抑制器223的活化氣體中的離子帶電物種的流動被減少。離子抑制器223中的孔可包括面向電漿激發區域215的錐形部分和面向噴頭225的圓柱形部分。圓柱形部分可經調整形狀和尺寸以控制通往噴頭225的離子物種的流動。也可將可調節的電偏壓施加到離子抑制器223上,作為控制離子物種流過抑制器的附加手段。
離子抑制器223可起到減少或消除從電漿產生區域行進到基板的離子帶電物種的量的作用。不帶電的中性和自由基物種仍可穿過離子抑制器中的開口與基板反應。應當注意,在實施例中可不完全消除圍繞基板的反應區域中的離子帶電物種。在某些情況下,離子物種意欲到達基板以便執行蝕刻及/或沉積處理。在這些情況下,離子抑制器可幫助將反應區域中的離子物種的濃度控制在有助於處理的水平。
噴頭225與離子抑制器223組合可允許存在於第一電漿區域215中的電漿避免直接激發基板處理區域233中的氣體,同時仍允許被激發的物種從腔室電漿區域215行進到基板處理區域233中。以這種方式,腔室可配置成防止電漿接觸正被蝕刻的基板255。這可有利地保護在基板上圖案化的各種複雜結構和膜,若基板直接與所產生的電漿接觸,則可能被損壞、移位或以其他方式翹曲。另外,當允許電漿接觸基板或接近基板水平時,氧化物物種蝕刻的速率可增加。因此,若材料的曝露區域是氧化物,則可藉由維持電漿遠離基板而進一步保護該材料。
處理系統可進一步包括與處理腔室電耦合的電源供應器240,以向面板217、離子抑制器223、噴頭225及/或基座265提供電功率,以在第一電漿區域215或處理區域233中產生電漿。取決於所執行的處理,電源供應器可配置成向腔室輸送可調節的功率量。這樣的配置可允許在正在執行的處理中使用可調諧電漿。與通常具有開或關功能的遠端電漿單元不同,可調諧電漿可配置成向電漿區域215輸送特定量的功率。這繼而允許開發特定的電漿特性,使得前驅物可以特定方式解離,以增強由這些前驅物產生的蝕刻輪廓。
可在噴頭225之上方的腔室電漿區域215或在噴頭225之下方的基板處理區域233任一者中點燃電漿。在實施例中,在基板處理區域233中形成的電漿可為DC偏壓的電漿,藉由作用成電極的基座形成。電漿可存在於腔室電漿區域215中,以從(例如)含氟前驅物或其他前驅物的流入產生自由基前驅物。可將通常在射頻(RF)範圍中的AC電壓施加在處理腔室的導電頂部部分(諸如面板217)與噴頭225及/或離子抑制器223之間,以在沉積期間點燃腔室電漿區域215中的電漿。RF電源供應器可生成13.56 MHz的高RF頻率,但也可單獨生成其他頻率,或也可與13.56 MHz頻率組合生成其他頻率。
第3圖顯示了影響通過面板217的處理氣體分佈的特徵的詳細視圖253。如第2和3圖中所示,面板217、冷卻板203和氣體入口組件205相交以界定氣體供應區域258,處理氣體可從氣體入口205被輸送到該氣體供應區域258中。氣體可填充氣體供應區域258並通過面板217中的孔洞259流到第一電漿區域215。孔洞259可配置成以基本單向的方式引導流動,使得處理氣體可流入處理區域233中,但是可在穿過面板217之後,部分或完全防止其回流到氣體供應區域258中。
在處理腔室部分200中使用的氣體分配組件(諸如噴頭225)可被稱為雙通道噴頭(DCSH),且在第3圖所描述的實施例中另外詳細描述。雙通道噴頭可提供允許蝕刻劑在處理區域233外側分離的蝕刻處理,以提供與腔室部件之間的有限相互作用,以及在被傳送到處理區域之前彼此之間的有限相互作用。
噴頭225可包含上板214和下板216。這些板可彼此耦合以在板之間界定容積218。板的耦合可使得提供通過上板和下板的第一流體通道219,及通過下板216的第二流體通道221。形成的通道可配置成提供從容積218僅經由第二流體通道221通過下板216的流體通道,且第一流體通道219可與在板和第二流體通道221之間的容積218流體隔離。可通過氣體分配組件225的側面流體地接近容積218。
第4圖是根據實施例的與處理腔室一起使用的噴頭425的底部圖。噴頭425可對應於第2圖中所示的噴頭225。顯示第一流體通道219的視圖的穿通孔465可具有複數種形狀和配置,以便控制並影響通過噴頭225的前驅物的流動。顯示第二流體通道221的視圖的小孔475可基本均勻地分佈在噴頭的表面上方,甚至在穿通孔465之間,且小孔475可有助於在前驅物離開噴頭時提供比其他配置更均勻的混合。
第5圖示出了形成半導體結構的方法500,例如可在如前所述的腔室200中執行方法500的許多操作。方法500可在方法開始之前包括一或多個操作,包括前端處理、拋光、清潔、沉積、蝕刻或可在所描述的操作之前執行的任何其他操作。方法可包括如圖式所示的許多任選操作,這些任選操作可與或可不與根據本技術的方法具體相關。例如,描述了許多操作以便提供更廣泛的結構形式,但是對技術不是關鍵的,或者可藉由替代方法來執行,如將在下面進一步論述的。方法500描述了第6A-6J圖中示意性顯示的操作,將結合方法500的操作來描述第6A-6J圖的圖式。應理解第6圖僅顯示了部分示意圖,且基板可含有具有如圖式所示的態樣的任意數量的電晶體部分。可執行方法500的操作以形成底部絕緣層,以防止通過底部奈米線通道的電流洩漏。還可執行方法500的操作以限制或消除RIE及/或離子佈植處理,並防止損壞含矽表面以形成較少缺陷的源極或汲極。可進一步執行方法500的操作以限制或消除遮罩操作及/或RIE處理,並減少處理隊列時間。
如第6A圖所示,方法500可藉由在基板601上方形成多層結構610並在多層結構610上方形成一或多個閘極形成結構620而在操作505處開始。基板601可由矽或一些其他半導體基板材料製成或含有矽或一些其他半導體基板材料。多層結構610可包括不同的含矽材料的層。如將在下面更詳細論述的,多層結構610可隨後被開發為各種電晶體結構,如,奈米線通道,閘極等。
閘極形成結構620可各自包括虛擬閘極材料621,其可隨後被移除以產生金屬閘極。另外,閘極形成結構620可各自進一步具有形成在虛擬閘極621上的蓋材料622和形成在虛擬閘極621周圍的介電材料623。介電材料623可毯覆在結構上方,並接著圖案化為如圖所示的結構,或介電材料623可選擇性地沉積在蓋材料622和虛擬閘極621周圍。出於說明的目的,在第6圖中僅顯示了兩個閘極形成結構620。可形成超過兩個閘極形成結構620及/或其他電晶體結構以覆蓋多層結構610。在一些實施例中,虛擬閘極材料621可為多晶矽或含矽材料。蓋材料622可為介電材料,並可包括含矽材料、含氧材料、含碳材料或這些材料的一些組合,諸如氧化矽或氮化矽。介電材料623可包括絕緣材料,並可包括含矽材料、含氧材料、含碳材料或這些材料的一些組合,諸如氧化矽或氮化矽。在實施例中,蓋材料622和介電材料623可為相同的材料或可為不同的材料。例如,在一個實施例中,蓋材料622可為或包括氮化矽,且介電材料623可為或包括氧化矽。
多層結構610可包括在基板601上方的第一含矽材料611的層,及在第一含矽材料611的層上方的第二含矽材料612和第三含矽材料613的交替層。儘管第6A圖示出了四層的第二含矽材料612和三層的第三含矽材料613,但是將容易瞭解,第二或第三含矽材料612、613可存在更多或更少的層。
第一含矽材料611可為或包括具有第一鍺含量的矽鍺。第二含矽材料612可為或包括與基板材料601相同的材料,且因此可為或包括矽。第三含矽材料613可為或包括具有第二鍺含量的矽鍺。第一鍺含量可小於第二鍺含量。在各種實施例中,第二鍺含量與第一鍺含量之比例的範圍可在1:1和約100:1之間、在約20:1和約100:1之間、在約40:1和約100:1之間、在約60:1和約100:1之間、在約80:1和約100:1之間、在約1:1和約80:1之間、在約20:1和約80:1之間、在約40:1和約80:1之間、在約60:1和約80:1之間、在約1:1和約60:1之間、在約20:1和約60:1之間、在約40:1和約60:1之間、在約1:1和約40:1之間、在約20:1和約40:1之間或在約1:1和約20:1之間。在各種實施例中,第二鍺含量與第一鍺含量之比例可大於1:1、大於或約5:1、大於或約10:1、大於或約20:1、大於或約30:1、大於或約40:1、大於或約50:1、大於或約60:1、大於或約70:1、大於或約80:1、大於或約90:1、大於或約100:1或更大。可使用任何層形成、沉積或生長技術(諸如磊晶生長)來形成第一、第二及/或第三含矽材料611、612、613。
在實施例中,第一含矽材料611的厚度的範圍可在約5 nm和約25 nm之間或在約10 nm和約20 nm之間。在各種實施例中,第一含矽材料611的厚度可大於或約5 nm或大於或約10 nm,並且可小於或約25 nm或小於或約20 nm。在實施例中,取決於電晶體結構的其他部件的尺寸,第一含矽材料611的厚度與第二含矽材料612的每一層的厚度之比例的範圍可在4:1和約1:5之間,並且範圍可在約4:1和1:4之間、在約3:1和1:3之間或在約2:1和1:2之間。在實施例中,第一含矽材料611的厚度與第三含矽材料613的每一層的厚度之比例的範圍可在4:1和約1:5之間,並且範圍可在約4:1和1:4之間、在約3:1和1:3之間或在約2:1和1:2之間。如將在下面更詳細地論述的,可選擇第一含矽材料611的厚度以促進底部絕緣層的形成並實現底部絕緣層的期望的絕緣功能。
取決於第二含矽材料612和第三含矽材料613,在一些實施例中,所示的結構600可包括P-MOS區域並且可與N-MOS區域(未示出)相關聯,而在其他實施例中,結構600可包括N-MOS區域並且可與P-MOS區域(未示出)相關聯。下面論述的若干操作可在結構的一個區域上執行,而另一區域保持被遮罩,或可選擇性地執行而不遮罩。若在兩個區域上方使用遮罩,則可藉由移除和重新形成來切換遮罩,並接著可在其他區域上執行類似的操作,操作在曝露區域內是選擇性的。這些操作將在下面進一步描述,儘管應當理解,任一個區域都可在其他區域之前進行處理,且該等方法不受所描述的實例的限制。
如第6B圖所示,在操作510處,可在多層結構610的曝露區域中形成源極或汲極凹陷630。可經由各向異性蝕刻形成凹陷630,以垂直地移除在每個閘極形成結構620的任一側上的曝露的第一、第二和第三含矽材料611、612、613。蝕刻或移除操作510可相對於覆蓋在虛擬閘極621上的蓋材料622和介電材料623朝著含矽材料611、612、613選擇性地進行。因此,在操作510處,可不蝕刻或移除閘極形成結構620。蝕刻或移除操作510可任選地包括過度蝕刻操作,以確保可完全移除曝露的第一含矽材料611,使得基板601可被曝露。
在操作515處,可如第6C圖所示對第三含矽材料613執行橫向蝕刻或凹陷操作。可各向同性地執行橫向蝕刻,以從閘極結構的兩側(諸如在閘極形成結構620的兩側上)移除第三含矽材料613,且可不完全移除第三含矽材料613。可在如前所述的腔室200中執行或可在能夠執行類似蝕刻操作的不同腔室中執行橫向蝕刻。橫向蝕刻可包括在處理腔室的遠端電漿區域中形成含氟前驅物的電漿。遠端電漿區域可與結構600可容納在其中的處理區域流體地耦合,儘管處理區域和遠端電漿區域可被實體地分開以將電漿限制在基板水平,這可能損壞曝露的結構或材料。電漿的流出物可流入處理區域中,在處理區域中它們可接觸結構600以執行橫向蝕刻,以在第二含矽材料612的層之間形成橫向凹陷614。
在實施例中,凹陷614的長度可小於或約10 nm,並且在實施例中可小於或約8 nm、小於或約6 nm、小於或約4 nm、在約3 nm和約8 nm之間,或在約5 nm和約7 nm之間。橫向蝕刻可維持一定量的第三含矽材料613,其可與虛擬閘極材料621垂直對準,並且可藉由與虛擬閘極材料621相似或稍大的尺寸來表徵。
例如,第三含矽材料613可維持等於虛擬閘極材料621的寬度,其可大於或約10 nm、大於或約20 nm、大於或約30 nm、大於或約40 nm、大於或約50 nm、大於或約60 nm、大於或約70 nm、大於或約80 nm、大於或約90 nm或更大。另外,第三含矽材料613的寬度可稍大於虛擬閘極材料621的寬度,且可在虛擬閘極材料621的每一側上多至或約0.5 nm,並且可在每一側上多至或約1 nm、每一側上多至或約2 nm、每一側上多至或約3 nm、每一側上多至或約4 nm、每一側上多至或約5 nm、每一側上多至或約6 nm、每一側上多至或約7 nm或更多。
橫向蝕刻操作可相對於可為矽的第二含矽材料612選擇性地移除可為矽鍺的第三含矽材料613。在實施例中,該操作可具有第三含矽材料613相對於第二含矽材料612的選擇性大於或約50:1、大於或約75:1、大於或約100:1或更大,其可允許第三含矽材料613凹陷,同時實質上維持或基本上維持第二含矽材料612。在一些實施例中,可在橫向蝕刻操作515期間將第二含矽材料612蝕刻小於或約1 nm,並且可蝕刻小於或約0.8 nm、小於或約0.6 nm、小於或約0.4 nm、小於或約0.2 nm、小於或約0.1 nm或以下。
橫向蝕刻操作還可相對於第一含矽材料611選擇性地移除第三含矽材料613。第一含矽材料611也可為矽鍺。然而,如上所論述,第一含矽材料611和第三含矽材料613可包括不同的鍺含量。第一含矽材料611的第一鍺含量可小於第三含矽材料613的第二鍺含量,這可能導致第一含矽材料611以比第三含矽材料613慢的速率被蝕刻。
在一些實施例中,橫向蝕刻操作可具有第三含矽材料613相對於第一含矽材料611的選擇性,類似於第三含矽材料613相對於第二含矽材料612的選擇性。因此,在實施例中,橫向蝕刻操作可使第三含矽材料613相對於第一含矽材料611的選擇性大於或約25:1、大於或約50:1、大於或約75:1或更大,其可允許第三含矽材料613的凹陷,同時限制第一含矽材料611的凹陷。在一些實施例中,在橫向刻蝕操作期間,第一含矽材料611可被蝕刻小於或約3 nm、並且可被蝕刻小於或約2 nm、小於或約1 nm、小於或約0.8 nm、小於或約0.6 nm、小於或約0.4 nm、小於或約0.2 nm,小於或約0.1 nm,或更小。
在任選操作520處,可將結構600從蝕刻腔室轉移到沉積腔室。轉移可在真空下發生,並且兩個腔室都可位於同一群集工具上,以允許轉移在受控環境中發生。例如,可在轉移期間維持真空條件,並且可在不破壞真空的情況下發生轉移。
在操作525處,可鄰近於凹陷的第三含矽材料613形成或沉積間隔物材料615,如第6D圖所示。在實施例中,間隔物材料615可為含矽材料,並且可為或包括氮化矽、碳化矽、碳氧化矽或低k材料,低k材料包括碳摻雜的氧化矽、多孔材料或其他由低介電常數表徵的材料。間隔物材料615可直接形成在凹陷的第三含矽材料613上或與之接觸。間隔物材料615可在第二含矽材料612的區域之間分層並與第二含矽材料612的區域接觸,並且可完全填充凹陷614(第6C圖所示)。這種橫向沉積可為定時沉積,以在凹陷614內形成間隔物材料615,同時限制在其他曝露表面(諸如第一含矽材料611、第二含矽材料612、基板601、蓋材料622和介電材料623的曝露表面)上的形成。
沉積操作可為選擇性沉積。具體地,在沉積操作期間,可相對於曝露的第一含矽材料611、第二含矽材料612、基板601、蓋材料622和介電材料623優先地在凹陷的第三含矽材料613上形成間隔物材料615。如上所述,因為與第三含矽材料613相比,第一含矽材料611可包括較低或非常低的鍺含量,所以相對於第一含矽材料611,對第三含矽材料613的沉積選擇性可維持,且在選擇性沉積期間,基本上沒有或幾乎沒有間隔物材料615可沉積在第一含矽材料611上。
即使在第一含矽材料611上可能發生任何沉積,該沉積也可在第三含矽材料613上以更快的速率發生,這可允許隨後的蝕刻從第一含矽材料611的外部移除過量的間隔物材料。具體地,在一些實施例中,可在選擇性沉積之後執行輕微的回蝕操作。例如,在任選操作530處,可執行隨後的乾式蝕刻以移除可能沉積在其他曝露表面(包括第一含矽材料的曝露表面)上的任何間隔物材料。蝕刻的選擇性可為於此所述的那些選擇性的任一個,以確保基本上維持所有其他曝露的材料。
與可能包括附加遮罩操作的習知技術相反,可在蝕刻操作515之後直接執行沉積操作525。根據方法500的一些實施例,藉由執行選擇性蝕刻和選擇性沉積,由於間隔物材料615的毯式沉積或形成,與可能需要附加的遮罩和移除技術的習知技術相比,可實質減少隊列時間。然而,應當理解,本技術類似地包括附加或替代的沉積和蝕刻處理。
另外,間隔物材料615的沉積可不涉及任何RIE操作。習知技術通常可包括間隔物材料的毯式塗覆以及在毯式塗覆之後進行RIE操作。當在間隔物材料內使用含碳材料時,用RIE進行的灰化會清除形成的電介質中的碳,這將增加材料的介電常數,從而破壞了形成目的。藉由不執行隨後的間隔物材料的RIE沉積,可保持間隔物材料的碳含量,這可維持材料的較低介電常數。此外,RIE操作可具有相對低的選擇性,並且可蝕刻側壁、下面的基板和其他沉積的材料。這種意外蝕刻可能會損壞其他曝露的表面,包括但不限於基板601和第二含矽材料612的曝露表面,這可能會對隨後的源極或汲極形成產生不利影響,這將在下面更詳細地論述。
參照第6E圖,可在操作535處執行選擇性蝕刻,以在維持其他曝露材料(諸如基板601、第三含矽材料613、間隔物材料615、蓋材料622和介電材料623)的同時,使第一含矽材料611橫向凹陷(第6D圖所示)。可執行蝕刻操作,直到在基板601和第二含矽材料612的底部層之間的第一含矽材料611的整個層可完全移除,從而在基板601和第二含矽材料612的底部層之間形成間隙616。儘管未顯示,但是當在基板601和第二含矽材料612的底部層之間的第一含矽材料611可完全移除時,第二含矽材料612和第三含矽材料613(包括間隔物材料615)的交替層及閘極形成結構620可由可形成在基板601的其他區域上方的其他電晶體結構支撐。
在操作540處,可在結構600上方沉積絕緣材料640(諸如氧化物材料,包括但不限於氧化矽)。所沉積的絕緣材料640可填充在先前的蝕刻操作期間,藉由移除第一含矽材料611(第6D圖所示)而產生的間隙616。在一些實施例中,為了確保用絕緣材料640完全填充間隙616(第6E圖所示),可利用可流動的沉積技術來沉積絕緣材料640,如第6F圖所示。在可流動的沉積期間,一些絕緣材料640可沉積在先前形成的源極或汲極凹陷630(第6B圖中所示)內側。在一些實施例中,儘管第6F圖示出了絕緣材料640可沉積到與蓋材料622相同的高度,但是取決於沉積參數,絕緣材料640可沉積到任何合適的高度,儘管間隙616可被絕緣材料640完全填充。在一些實施例中,可利用共形沉積技術(諸如原子層沉積(ALD))來沉積絕緣材料640的共形層,如第6G圖所示。於此所述的示例性可流動或共形沉積技術僅用於說明目的,而無意於進行限制,且可利用任何其他合適的技術來沉積絕緣材料640以填充間隙616。
在操作545處,可執行蝕刻操作以移除絕緣材料640的多個部分,僅在基板601和第二含矽材料612的底部層之間留下絕緣材料640的一部分,這也稱為底部絕緣層642,如第6H圖所示。
相對於可曝露的其他材料(諸如基板601、第二含矽材料612、間隔物材料615、蓋材料622和介電材料623),蝕刻可對絕緣材料640是選擇性的,以便確保不會蝕刻這些其他材料。蝕刻可為各向同性的或各向異性的。例如,當絕緣材料640的共形層可在先前的操作中被沉積時(諸如第6G圖中所示的絕緣材料640的共形層),可執行各向同性蝕刻以移除除了形成底部絕緣層642的部分之外的絕緣材料640。為了移除在可流動沉積期間可能沉積在源極或汲極凹陷中的絕緣材料640(諸如第6F圖中所示的在源極或汲極凹陷中的絕緣材料640),可利用各向同性蝕刻或各向異性蝕刻任一種。
當形成底部絕緣層642時,可不利用RIE及/或離子佈植操作。RIE或離子佈植操作可能蝕刻或損壞可能被曝露的結構,包括但不限於基板601的曝露表面和第二含矽材料612的層。如將在下面更詳細地論述的,源極或汲極材料可在源極或汲極凹陷630中的基板601的曝露表面上方(如,磊晶地)生長。對基板601的曝露表面的損壞可能阻礙源極或汲極材料的生長及/或導致在生長的源極或汲極材料的缺陷。另外,可將第二含矽材料612的層處理或顯影成奈米線電晶體通道。對第二含矽材料612的層的曝露表面的損壞可能不利地影響在通道與源極或汲極之間的接觸或連接,這可能不利地影響總體裝置效能。
所形成的底部絕緣層642可與第二含矽材料612的層垂直對準。底部絕緣層642的寬度可不大於、類似於或基本等於第二含矽材料612的層的寬度,包括第二含矽材料612的底部層。底部絕緣層642的寬度的範圍可在約10 nm和約100 nm之間、在約20 nm和約80 nm之間,或在約40 nm和約60 nm之間,且在各種實施例中可大於或約10 nm、大於或約20 nm、大於或約30 nm、大於或約40 nm、大於或約50 nm、大於或約60 nm、大於或約70 nm、大於或約80 nm、大於或約90 nm、大於或約100 nm或更大。
在實施例中,底部絕緣層642的厚度的範圍可在約5 nm和約25 nm之間或在約10 nm和約20 nm之間。取決於電晶體結構的其他部件的尺寸,底部絕緣層642的厚度可大於或約5 nm或大於或約10 nm,且在各種實施例中可小於或約25 nm或小於或約20 nm。在一些實施例中,底部絕緣層642的厚度可維持在某個閾值厚度之上,以便確保適當的洩漏控制,如將在下面更詳細地論述的。例如,在一些實施例中,底部絕緣層642可維持大於或約1 nm,且可維持大於或約2 nm、大於或約3 nm,或更大。底部絕緣層642的厚度可對應於間隙616的垂直尺寸(第6E圖所示)及在第二含矽材料612的底部層和基板601之間的第一含矽材料611的層的厚度(第6A圖所示)。第一含矽材料611的層的厚度可經選擇使得形成底部絕緣層642的絕緣材料640可容易地沉積到間隙616中,且在不延伸到源極或汲極區域的情況下,多餘的絕緣材料640可容易地回蝕到第二含矽材料612的底部層之下方。
底部絕緣層642的寬度與底部絕緣層642的厚度的深寬比的範圍可在約2:1和約20:1之間、在約4:1和約16:1之間,或在約8:1和約12:1之間,且在各種實施例中可至少約2:1、至少約4:1、至少約6:1、至少約8:1、至少約10:1、至少約12:1、至少約15:1或至少約20:1或更大。
在實施例中,底部絕緣層642的厚度與第二含矽材料的每一層的厚度的比例的範圍可在4:1和約1:5之間,且範圍可在約4:1和1:4之間、在約3:1和1:3之間,或在約2:1和1:2之間。在實施例中,底部絕緣層642的厚度與第三含矽材料613的每一層的厚度之比例的範圍可在4:1和約1:5之間,且範圍可在約4:1和1:4之間、在約3:1和1:3之間,或在約2:1和1:2之間。
如第6I圖中所示,可藉由在操作550處在源極或汲極凹陷中沉積源極或汲極材料632來形成用於電晶體結構的源極和汲極。取決於電晶體結構的類型,如,具有p型或n型通道的電晶體結構,源極或汲極材料632可不同。在一些實施例中,源極或汲極材料632可包括矽(諸如磷摻雜的矽)、矽鍺(諸如硼摻雜的矽鍺)及類似者。儘管源極或汲極材料632可不同,但是可以類似方式生長不同的源極或汲極材料632。在一些實施例中,可磊晶生長源極或汲極材料632。例如,可在可包括晶體矽的基板601的曝露表面上磊晶生長源極或汲極材料632。
如上面已經提及的,方法500可能不包括任何RIE及/或離子佈植操作。因此,可避免如上所論述的與RIE及/或離子佈植操作相關的對基板601和第二含矽材料612的層的損壞。因此,可促進源極或汲極材料632的磊晶生長,且源極或汲極及在源極或汲極和由第二含矽材料的層所顯影的奈米線通道之間的接觸或連接可能幾乎沒有缺陷或沒有缺陷。
在一些實施例中,可執行乾洗操作以移除在磊晶生長源極或汲極材料632之前可能在曝露的表面上形成的任何天然氧化物。乾洗操作可包括將結構600曝露於含有氟化氫的清洗前驅物。因為可在短時間內執行清潔操作以移除天然氧化物,所以由清潔操作對底部絕緣層642的移除(若有的話)可忽略不計。此外,若可發生由清潔操作對底部絕緣層642的任何移除,則移除可限於底部絕緣層642的側表面,且底部絕緣層642的移除或橫向凹陷可小於1 nm、小於0.8 nm、小於0.6 nm、小於0.4 nm、小於0.2 nm、小於0.1 nm或更小。這樣的移除或橫向凹陷可能不會影響底部絕緣層642防止可能通過底部奈米線通道發生的電流洩漏的能力,這將在下面更詳細地論述。
在操作555處,可移除第三含矽材料613的層和虛擬閘極621(例如,在第6I圖中顯示),且可在第二含矽材料612的層之上方及/或下方沉積閘極材料650(諸如金屬),如第6J圖所示。第二含矽材料612的層可形成用於電晶體結構的奈米線通道,並且可由藉由閘極材料650而形成的閘極來控制。儘管第6J圖示出了閘極材料650可沉積在由第二含矽材料612的層所形成的奈米線通道之上方及/或下方,在一些實施例中,閘極材料650可沉積在奈米線通道的周圍,以形成閘極全包圍電晶體。
方法500和使用方法500形成的電晶體結構具有若干優點。例如,可使用於此描述的方法500來形成底部絕緣層,以提供有效的洩漏控制而不會不利地影響電晶體結構的源極或汲極形成。具體地,如第6J圖所示,不是所有的奈米線通道都可由全包圍閘極控制。例如,由第二含矽材料612的底部層形成的底部通道可僅具有閘極的頂部部分,且可不具有閘極的底部部分。因此,與由全包圍閘極控制的其他奈米線通道相比,底部奈米線通道可具有僅由閘極提供的較少的洩漏控制。藉由在與閘極相對的底部奈米線通道之下方形成底部絕緣層,可基本上防止通過底部奈米線通道的洩漏。
另外,如於此所述的底部絕緣層可僅形成在底部奈米線通道下方,且可不延伸到源極或汲極區域中。換句話說,底部絕緣層的寬度可不大於或可與包括底部奈米線通道的奈米線通道的寬度類似或基本相同。藉由這種配置,底部絕緣層可不影響或干擾在矽基板上方的源極或汲極材料的生長。相反地,一些習知技術在電晶體結構的源極和汲極下方提供底部絕緣層。然而,可能難以在絕緣材料上磊晶生長源極或汲極材料。利用於此所述的底部絕緣層,可諸如藉由在矽基板上磊晶生長來更容易地形成源極或汲極材料。
此外,於此描述的處理可不利用可在許多習知技術中利用的RIE及/或離子佈植操作。與可能另外由RIE及/或離子佈植操作引起的損壞相比,對可能在其上生長源極或汲極材料的表面或可能與源極或汲極形成接觸的表面的損害要小得多(若有的話)。因此,與習知技術相比,使用於此描述的方法可在源極或汲極中形成明顯更少的缺陷。
於此所述的蝕刻操作可涉及另外的前驅物以及特定的含氟前驅物。在一些實施例中,三氟化氮可用以產生電漿流出物。也可利用另外或替代的含氟前驅物。例如,含氟前驅物可流入遠端電漿區域,且含氟前驅物可包括選自由原子氟、雙原子氟、四氟化碳、三氟化溴、三氟化氯、三氟化氮、氟化氫、六氟化硫和二氟化氙所組成的群組的至少一種前驅物。遠端電漿區域可在與處理腔室不同的模組內或在處理腔室內的隔室中。如第2圖所示,RPS單元201和第一電漿區域215都可用作遠端電漿區域。RPS可允許電漿流出物的解離而不會損壞其他腔室部件,同時第一電漿區域215可向基板提供更短的路徑長度,在該期間可能發生重組。還可將另外的前驅物遞送到遠端電漿區域以增加含氟前驅物,諸如其他含碳前驅物、含氫前驅物或含氧前驅物。
在實施例中,蝕刻操作可在約10 Torr以下執行,且在實施例中可在5 Torr以下或約5 Torr執行。在實施例中,該處理也可在低於約100℃的溫度下執行,且可在低於約50℃的溫度下執行。如在腔室200中執行的,或者對這個腔室進行變化,或者在能夠執行類似操作的不同的腔室中,該處理可對基板601、第一含矽材料611、第二含矽材料612、蓋材料622和介電材料623有選擇性地移除第三含矽材料613的部分。進一步地,該處理可對基板601、第二含矽材料612、蓋材料622和介電材料623有選擇性地移除第一含矽材料611。
當執行方法時,對於在基板上形成並且可能曝露於電漿流出物的各種材料而言,第三含矽材料613相對於曝露在基板的表面上的其他部件(包括第二含矽材料612的層)的蝕刻選擇性可大於或約10:1、大於或約20:1、大於或約50:1,或大於或約100:1,或更大。因此,取決於特徵尺寸,可從基板的表面移除第三含矽材料613,而其他曝露的材料可被減小少於1 nm。例如,從一個閘極部分到第二閘極部分的特徵寬度可在約50 nm和約70 nm之間,並且可向下延伸至約20 nm和約30 nm之間。在如上所述的實施例中,用於第三含矽材料613的橫向凹陷的深度可小於或約50 nm,並且可小於或約40 nm、小於或約30 nm、小於或約20 nm、小於或約10 nm,或更小。因為這種蝕刻的深度,可移除最小量的其他曝露材料,其可小於或約3 nm、小於或約1 nm、小於或約0.5 nm,或者材料可實質上或基本上維持。因此,相對於其他曝露的材料的第三含矽材料613的蝕刻可表徵為於上文針對可用於每種結構的材料所論述的任何選擇性。
當執行本方法時,對於在基板上形成並且可能曝露於電漿流出物的各種材料而言,第一含矽材料611相對於曝露在基板表面上的其他部件的蝕刻選擇性可大於或約10:1、大於或約20:1、大於或約50:1,或大於或約100:1或更大。因此,取決於特徵尺寸,可從基板的表面移除第一含矽材料611,而其他曝露的材料可被減小少於1nm。例如,可完全移除第一含矽材料611,從而為隨後的底部絕緣形成產生間隙,而可移除最小量的其他曝露材料,其可小於或約3nm、小於或1nm、小於或約0.5nm,或者可實質上或基本上維持材料。因此,相對於其他曝露的材料的第一含矽材料611的蝕刻可表徵為於上文針對可用於每種結構的材料所論述的任何選擇性。
藉由執行於此所述的方法500,可形成底部絕緣層(諸如底部絕緣層642),以有效地防止通過底部奈米線通道的洩漏,否則該洩漏可能另外由於缺少底部閘極部分(諸如閘極全包圍電晶體結構中的底部閘極部分)而發生。另外,可在不利用任何RIE及/或離子佈植處理的情況下形成底部絕緣層,這可防止否則由RIE及/或離子佈植操作對源極或汲極材料可能在其上磊晶生長的矽基板可能造成的損壞。此外,藉由避免RIE處理,可更好地維持間隔物材料,並且可保留間隔物材料的低k值。該方法可藉由移 除可在習知處理中的形成之前、期間或之後執行的許多圖案化和移除操作來進一步將隊列時間減少數小時。
在前面的描述中,出於解釋的目的,已經闡述了許多細節以便提供對本技術的各種實施例的理解。然而,對於熟悉本領域者將顯而易見的是,可在沒有這些細節的一些或具有另外的細節的情況下實踐某些實施例。
已經揭露了若干實施例,熟悉本領域者將認識到,在不背離實施例的精神的情況下,可使用各種修改、替代構造和等效元件。另外,為了避免不必要地混淆本技術,沒有描述許多熟知的處理和元件。因此,以上的實施方式不應被視為限制本技術的範圍。
在提供值的範圍的情況下,應理解的是,除非上下文另外明確指出,否則在彼範圍的上限和下限之間的每個中間值(直到下限的單位的最小部分)也被具體地揭露。涵蓋在宣稱範圍中的任何宣稱值或未宣稱中間值與彼宣稱範圍中的任何其他宣稱值或中間值之間的任何較窄範圍。彼等較小範圍的上限和下限可獨立地包括或排除在該範圍中,並且在較小範圍中包括的限值的任一者、兩者皆無或兩者的每一範圍也涵蓋在本技術內,受到所宣稱範圍中的任何具體排除的限值。在所宣稱範圍包括一個或兩個限值的情況下,還包括排除彼等所包括的限值的任一個或兩個的範圍。
如於此和所附申請專利範圍中所使用的,單數形式「一(a)」、「一(an)」和「該(the)」包括複數引用,除非上下文另外明確指出。因此,例如,提及「一層」包括複數個這樣的層,且提及「該前驅物」包括提及熟悉本領域者已知的一或多種前驅物及其等同物,等等。
此外,當在本說明書和以下的申請專利範圍中使用時,詞語「包含(comprise(s))」、「包含(comprising)」、「含有(contain(s))」、「含有(containing)」、「包括(include(s))」和「包括(including)」意欲指定所宣稱的特徵、整數、部件或操作的存在,但是它們不排除一或多個其他特徵、整數、部件、操作,動作或群組的存在或增加。
100:系統 102:前開式晶圓傳送盒/FOUP 104:機械臂 106:保持區域 108a:基板處理腔室 108b:基板處理腔室 108c:基板處理腔室 108d:基板處理腔室 108e:基板處理腔室 108f:基板處理腔室 109a:串聯部分 109b:串聯部分 109c:串聯部分 110:第二機械臂 200:處理腔室系統/處理腔室部分/腔室 201:遠端電漿系統/RPS/RPS單元 203:冷卻板 205:氣體入口組件/氣體入口 210:流體供應系統 214:上板 215:第一電漿區域/電漿激發區域 216:下板 217:面板 218:容積 219:第一流體通道 220:絕緣環 221:第二流體通道 223:離子抑制器 225:噴頭/氣體分配組件 233:處理區域 240:電源供應器 253:視圖 255:基板 258:氣體供應區域 259:孔洞 265:基板支撐件/基座 425:噴頭 465:穿通孔 475:小孔 500:方法 505:操作 510:操作 515:操作 520:操作 525:操作 530:操作 535:操作 540:操作 545:操作 550:操作 555:操作 600:結構 601:基板/基板材料 610:多層結構 611:第一含矽材料/含矽材料 612:第二含矽材料/含矽材料 613:第三含矽材料/含矽材料 614:凹陷 615:間隔物材料 616:間隙 620:閘極形成結構 621:虛擬閘極材料/虛擬閘極 622:蓋材料 623:介電材料 630:凹陷 632:源極或汲極材料 640:絕緣材料 642:底部絕緣層 650:閘極材料
可藉由參考說明書的其餘部分和圖式來實現對所揭露技術的性質和優點的進一步理解。
第1圖顯示了根據本技術的實施例的示例性處理系統的頂部平面圖。
第2圖顯示了根據本技術的實施例的示例性處理腔室的示意性橫截面圖。
第3圖顯示了根據本技術的實施例的示例性噴頭的詳細視圖。
第4圖顯示了根據本技術的實施例的示例性噴頭的底部平面圖。
第5圖顯示了根據本技術的實施例的形成半導體結構的方法中的選定操作。
第6A-6J圖顯示了根據本技術的實施例的示例性基板的示意性橫截面圖。
包括了若干附圖作為示意圖。應當理解,圖式僅用於說明目的,且除非特別宣稱是按比例繪製的,否則不應視為按比例繪製的。另外,作為示意圖,提供了圖式以幫助理解,且與實際表示相比,圖式可能不包括所有態樣或資訊,且出於說明目的,圖式可能包括誇大的材料。
在附隨的圖式中,相似的部件及/或特徵可具有相同的元件符號。此外,相同類型的各種部件可藉由在元件符號後面加上一個在相似部件之間進行區分的字母來進行區分。若在說明書中僅使用第一元件符號,則該描述適用於具有相同第一元件符號的任何一個類似部件,而與字母無關。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
500:方法
505:操作
510:操作
515:操作
520:操作
525:操作
530:操作
535:操作
540:操作
545:操作
550:操作
555:操作

Claims (14)

  1. 一種形成一半導體結構的方法,該方法包含以下步驟:在一處理腔室的一遠端電漿區域中形成一含氟前驅物的一電漿;使一半導體基板與該電漿的流出物接觸,其中該半導體基板容納在該處理腔室的一處理區域中,其中該半導體基板包括在該半導體基板上方形成的具有一第一鍺含量的一第一含矽材料的一層,其中該半導體基板進一步包括在該第一含矽材料的該層上方的一第二含矽材料和一第三含矽材料的交替層,且其中該第三含矽材料具有一第二鍺含量;使該第三含矽材料的該等層橫向凹陷,其中相對於該第一含矽材料的該層和相對於該第二含矽材料的該等層選擇性地使該第三含矽材料的該等層凹陷;沉積與該第三含矽材料的該等層相鄰的一間隔物材料,其中相對於該第一含矽材料的該層的曝露區域和相對於該第二含矽材料的該等層的曝露區域,該間隔物材料選擇性地鄰近該第三含矽材料的該等層沉積;及蝕刻該第一含矽材料的該層,其中相對於該第二含矽材料的該等層和該間隔物材料選擇性地蝕刻該第一含矽材料的該層。
  2. 如請求項1所述之方法,其中該第一鍺含量小於該第二鍺含量。
  3. 如請求項1所述之方法,其中該第二鍺含量與該第一鍺含量之一比例的範圍在約1:1和約100:1之間。
  4. 如請求項1所述之方法,其中蝕刻該第一含矽材料的該層完全移除在該半導體基板與該第二含矽材料和該第三含矽材料的該等交替層之間的該第一含矽材料的該層。
  5. 如請求項1所述之方法,進一步包含以下步驟:在該半導體基板與該第二含矽材料和該第三含矽材料的該等交替層之間沉積一絕緣材料。
  6. 如請求項5所述之方法,其中該絕緣材料包含一氧化物材料。
  7. 如請求項5所述之方法,進一步包含以下步驟:蝕刻該絕緣材料以在該第一含矽材料的該層與該第二含矽材料和該第三含矽材料的該等交替層的一底部層之間形成一底部絕緣層,其中該底部絕緣層的一寬度不大於該等交替層的該底部層的一寬度。
  8. 如請求項7所述之方法,其中該底部絕緣層具有範圍在約2:1和20:1之間的一寬度與厚度的深寬比。
  9. 如請求項7所述之方法,進一步包含以下步驟: 在該半導體基板的曝露表面上方沉積一源極或汲極材料,其中該源極或汲極材料鄰近該底部絕緣層和該第二含矽材料和該第三含矽材料的該等交替層。
  10. 如請求項1所述之方法,其中該方法在不進行一離子佈植操作的情況下執行。
  11. 如請求項1所述之方法,其中該第一含矽材料包含矽鍺,其中該第二含矽材料包含矽,且其中該第三含矽材料包含矽鍺。
  12. 如請求項1所述之方法,進一步包含以下步驟:移除該第三含矽材料的該等層;及形成一金屬材料的層,其中該金屬材料的該等層和該第二含矽材料的該等層以一交替的方式佈置。
  13. 如請求項12所述之方法,其中該第二含矽材料的該等層被顯影成複數個奈米線通道,且其中該金屬材料的該等層被顯影為配置成控制該複數個奈米線通道的複數個閘極。
  14. 如請求項1所述之方法,其中該半導體基板進一步包含複數個閘極形成結構,每個閘極形成結構具有一曝露的蓋材料和一曝露的介電材料,其中:該第三含矽材料的該等層相對於該曝露的蓋材料和該曝露的介電材料選擇性地凹陷;該間隔物材料相對於該曝露的蓋材料和該曝露的介電材料被選擇性地沉積;及 該第一含矽材料的該層相對於該曝露的蓋材料和該曝露的介電材料被選擇性地蝕刻。
TW109113627A 2019-05-20 2020-04-23 底部隔離之形成 TWI783222B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962850319P 2019-05-20 2019-05-20
US62/850,319 2019-05-20

Publications (2)

Publication Number Publication Date
TW202044375A TW202044375A (zh) 2020-12-01
TWI783222B true TWI783222B (zh) 2022-11-11

Family

ID=73456095

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111135482A TWI819802B (zh) 2019-05-20 2020-04-23 底部隔離之形成
TW109113627A TWI783222B (zh) 2019-05-20 2020-04-23 底部隔離之形成

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111135482A TWI819802B (zh) 2019-05-20 2020-04-23 底部隔離之形成

Country Status (3)

Country Link
US (2) US11348803B2 (zh)
TW (2) TWI819802B (zh)
WO (1) WO2020236354A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220293430A1 (en) * 2021-03-12 2022-09-15 Applied Materials, Inc. Isotropic silicon nitride removal
TW202310066A (zh) * 2021-08-30 2023-03-01 美商應用材料股份有限公司 環繞式閘極電晶體中的源極汲極形成
US20230123883A1 (en) * 2021-09-25 2023-04-20 International Business Machines Corporation Nanosheet transistor devices with different active channel widths
CN114914159A (zh) * 2022-06-16 2022-08-16 上海集成电路制造创新中心有限公司 Gaa晶体管制备方法、器件的制备方法、器件以及设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201607039A (zh) * 2014-06-11 2016-02-16 三星電子股份有限公司 結晶多奈米片應變通道場效電晶體
US20170170294A1 (en) * 2015-12-15 2017-06-15 International Business Machines Corporation Structure and method to minimize junction capacitance in nano sheets
US20180175163A1 (en) * 2016-12-15 2018-06-21 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for making a semiconductor device with nanowire and aligned external and internal spacers
TW201919108A (zh) * 2017-10-31 2019-05-16 台灣積體電路製造股份有限公司 半導體結構及其製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9947773B2 (en) * 2012-08-24 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement with substrate isolation
US8969149B2 (en) * 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
US9666449B2 (en) * 2014-06-17 2017-05-30 Micron Technology, Inc. Conductors having a variable concentration of germanium for governing removal rates of the conductor during control gate formation
US10038053B2 (en) * 2015-10-12 2018-07-31 International Business Machines Corporation Methods for removal of selected nanowires in stacked gate all around architecture
US10074730B2 (en) 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US10069015B2 (en) 2016-09-26 2018-09-04 International Business Machines Corporation Width adjustment of stacked nanowires
US20180261686A1 (en) * 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
TWI758464B (zh) 2017-04-20 2022-03-21 美商微材料有限責任公司 含矽間隔物的選擇性形成
KR102396245B1 (ko) * 2017-04-27 2022-05-09 도쿄엘렉트론가부시키가이샤 Nfet 및 pfet 나노와이어 디바이스를 제조하는 방법
US9984936B1 (en) * 2017-07-17 2018-05-29 Globalfoundries Inc. Methods of forming an isolated nano-sheet transistor device and the resulting device
US10453736B2 (en) * 2017-10-09 2019-10-22 International Business Machines Corporation Dielectric isolation in gate-all-around devices
US10741641B2 (en) * 2018-06-20 2020-08-11 International Business Machines Corporation Dielectric isolation and SiGe channel formation for integration in CMOS nanosheet channel devices
US10608083B2 (en) * 2018-08-31 2020-03-31 International Business Machines Corporation Non-planar field effect transistor devices with low-resistance metallic gate structures
KR102537527B1 (ko) * 2018-09-10 2023-05-26 삼성전자 주식회사 집적회로 소자
US10665669B1 (en) * 2019-02-26 2020-05-26 Globalfoundries Inc. Insulative structure with diffusion break integral with isolation layer and methods to form same
US10916627B2 (en) * 2019-03-22 2021-02-09 International Business Machines Corporation Nanosheet transistor with fully isolated source and drain regions and spacer pinch off
US10998234B2 (en) * 2019-05-14 2021-05-04 International Business Machines Corporation Nanosheet bottom isolation and source or drain epitaxial growth

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201607039A (zh) * 2014-06-11 2016-02-16 三星電子股份有限公司 結晶多奈米片應變通道場效電晶體
US20170170294A1 (en) * 2015-12-15 2017-06-15 International Business Machines Corporation Structure and method to minimize junction capacitance in nano sheets
US20180175163A1 (en) * 2016-12-15 2018-06-21 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for making a semiconductor device with nanowire and aligned external and internal spacers
TW201919108A (zh) * 2017-10-31 2019-05-16 台灣積體電路製造股份有限公司 半導體結構及其製造方法

Also Published As

Publication number Publication date
US11348803B2 (en) 2022-05-31
WO2020236354A1 (en) 2020-11-26
US20220254647A1 (en) 2022-08-11
TWI819802B (zh) 2023-10-21
TW202044375A (zh) 2020-12-01
TW202307946A (zh) 2023-02-16
US20200373168A1 (en) 2020-11-26

Similar Documents

Publication Publication Date Title
US20210217668A1 (en) Replacement contact process
US11004689B2 (en) Thermal silicon etch
US20180261686A1 (en) Transistor sidewall formation process
TWI796358B (zh) 選擇性蝕刻的自對準通孔製程
TWI783222B (zh) 底部隔離之形成
US11735467B2 (en) Airgap formation processes
TW201826386A (zh) 用於高深寬比結構之移除方法
TWI790265B (zh) 改良之金屬接觸定位結構
TWI775839B (zh) 具有選擇性阻隔層的結構
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
TW202244981A (zh) 用於移除含氮化物膜的系統及方法
TWI758464B (zh) 含矽間隔物的選擇性形成
US10256112B1 (en) Selective tungsten removal
US11715780B2 (en) High performance and low power semiconductor device
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
TWI782981B (zh) 子鰭片至絕緣體矽之轉換
TWI798215B (zh) 選擇性側壁間隔物
TW202412087A (zh) 高選擇性氧化矽移除之方法