TWI778649B - 蝕刻方法及半導體元件的製造方法 - Google Patents

蝕刻方法及半導體元件的製造方法 Download PDF

Info

Publication number
TWI778649B
TWI778649B TW110120562A TW110120562A TWI778649B TW I778649 B TWI778649 B TW I778649B TW 110120562 A TW110120562 A TW 110120562A TW 110120562 A TW110120562 A TW 110120562A TW I778649 B TWI778649 B TW I778649B
Authority
TW
Taiwan
Prior art keywords
etching
gas
etched
fluorine compound
silicon nitride
Prior art date
Application number
TW110120562A
Other languages
English (en)
Other versions
TW202213501A (zh
Inventor
Kazuma Matsui
Original Assignee
日商昭和電工股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商昭和電工股份有限公司 filed Critical 日商昭和電工股份有限公司
Publication of TW202213501A publication Critical patent/TW202213501A/zh
Application granted granted Critical
Publication of TWI778649B publication Critical patent/TWI778649B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

提供一種能夠將具有氮化矽的蝕刻對象物相較於非蝕刻對象物選擇性地蝕刻之蝕刻方法。蝕刻方法,具備:蝕刻工程,於電漿的存在下,令含有在分子內具有碳-氧雙鍵及醚鍵的至少一方的鍵結之碳數3以下的氟化合物的蝕刻氣體,接觸具有蝕刻對象物與非蝕刻對象物之被蝕刻構件,而相較於非蝕刻對象物將蝕刻對象物選擇性地蝕刻。蝕刻氣體中的氟化合物的濃度為0.5體積%以上40體積%以下,蝕刻對象物具有氮化矽。

Description

蝕刻方法及半導體元件的製造方法
本發明有關蝕刻方法及半導體元件的製造方法。
半導體的製造工程中,作為乾蝕刻裝置的蝕刻氣體或化學蒸鍍裝置(CVD裝置)的腔室清潔氣體等,一直以來使用四氟化碳(CF 4)、六氟化硫(SF 6)等的全氟化合物的氣體。該些全氟化合物為穩定的化合物而對地球暖化的影響很大(地球暖化係數高),因此當放出至大氣的情形下有對環境造成不良影響的疑慮。因此,盼望開發地球暖化係數低的替代氣體。例如專利文獻1及專利文獻2中,提出具有至少一個不飽和鍵結的碳氟化物的氣體,作為地球暖化係數相對較低的氣體。 [先前技術文獻] [專利文獻]
[專利文獻1]日本國專利公開公報 平成10年第223614號 [專利文獻2]日本國專利公報第6079649號
[發明所欲解決之問題]
運用專利文獻1及專利文獻2中揭示之碳氟化物的氣體,雖能蝕刻矽膜、二氧化矽膜、氮化矽膜、金屬矽化物等的含矽膜,但能否選擇性地蝕刻氮化矽膜,專利文獻1及專利文獻2中並未言及。 本發明所欲解決之問題,在於提供一種能夠將具有氮化矽的蝕刻對象物相較於非蝕刻對象物選擇性地蝕刻之蝕刻方法及半導體元件的製造方法。 [解決問題之技術手段]
為解決前述待解問題,本發明的一態樣如以下的[1]~[11]所述。 [1]一種蝕刻方法,具備:蝕刻工程,於電漿的存在下,令含有在分子內具有碳-氧雙鍵及醚鍵的至少一方的鍵結之碳數3以下的氟化合物的蝕刻氣體,接觸具有前述蝕刻氣體所致之蝕刻的對象亦即蝕刻對象物與非前述蝕刻氣體所致之蝕刻的對象的非蝕刻對象物之被蝕刻構件,而相較於前述非蝕刻對象物將前述蝕刻對象物選擇性地蝕刻, 前述蝕刻氣體中的前述氟化合物的濃度為0.5體積%以上40體積%以下,前述蝕刻對象物具有氮化矽。
[2]如[1]所述之蝕刻方法,其中,前述非蝕刻對象物,具有從氧化矽、光阻劑、及非晶碳中選擇的至少一種。 [3]如[1]或[2]所述之蝕刻方法,其中,將前述蝕刻工程在1Pa以上3kPa以下的壓力條件下進行。
[4]如[1]~[3]中任一項所述之蝕刻方法,其中,將前述蝕刻工程在0℃以上200℃以下的溫度條件下進行。 [5]如[1]~[4]中任一項所述之蝕刻方法,其中,前述蝕刻氣體中的前述氟化合物的濃度為1體積%以上30體積%以下。
[6]如[1]~[5]中任一項所述之蝕刻方法,其中,前述蝕刻氣體,為含有前述氟化合物與稀釋氣體之混合氣體。 [7]如[6]所述之蝕刻方法,其中,前述稀釋氣體,為從氮氣體、氦、氬、氖、氪、及氙中選擇的至少一種。 [8]如[1]~[5]中任一項所述之蝕刻方法,其中,前述蝕刻氣體,為含有前述氟化合物與稀有氣體與氮氣體之混合氣體,前述蝕刻氣體中的前述氮氣體的濃度為10體積%以下。
[9]如[8]所述之蝕刻方法,其中,前述蝕刻氣體,為除前述氟化合物與稀有氣體與氮氣體外,更含有前述氟化合物以外的含氧氣體之混合氣體。 [10]如[1]~[9]中任一項所述之蝕刻方法,其中,前述氟化合物,為從碳醯氟、草醯氟、及六氟環氧丙烷中選擇的至少一種。
[11]一種半導體元件的製造方法,係運用如[1]~[10]中任一項所述之蝕刻方法而製造半導體元件之半導體元件的製造方法,其中, 前述被蝕刻構件,為具有前述蝕刻對象物及前述非蝕刻對象物的半導體基板, 具有從前述半導體基板藉由前述蝕刻除去前述蝕刻對象物的至少一部分之處理工程。 [發明之功效]
按照本發明,能夠將具有氮化矽的蝕刻對象物相較於非蝕刻對象物選擇性地蝕刻。
以下說明本發明的一實施形態。另,本實施形態為示意本發明的一例者,本發明不限定於本實施形態。此外,對於本實施形態可施加種種變更或改良,施加了該些變更或改良之形態亦可被包含於本發明。
本實施形態之蝕刻方法,具備:蝕刻工程,於電漿的存在下,令含有氟化合物的蝕刻氣體,接觸具有蝕刻氣體所致之蝕刻的對象亦即蝕刻對象物與非蝕刻氣體所致之蝕刻的對象的非蝕刻對象物之被蝕刻構件,而相較於非蝕刻對象物將蝕刻對象物選擇性地蝕刻。
上述氟化合物,為碳數3以下,且在分子內具有碳-氧雙鍵(羰基)及醚鍵的至少一方的鍵結之氟化合物。此外,蝕刻氣體中的氟化合物的濃度為0.5體積%以上40體積%以下。又,蝕刻對象物具有氮化矽。
若令蝕刻氣體接觸被蝕刻構件,則蝕刻氣體中的氟化合物會與蝕刻對象物中的氮化矽反應,因此蝕刻對象物的蝕刻會進展。相對於此,非蝕刻對象物幾乎不與氟化合物反應,故非蝕刻對象物的蝕刻幾乎不會進展。故,按照本實施形態之蝕刻方法,相較於非蝕刻對象物能夠將蝕刻對象物選擇性地蝕刻。
此外,按照本實施形態之蝕刻方法,不須運用地球暖化係數高的四氟化碳、六氟化硫等的全氟化合物的氣體,便能將具有氮化矽的蝕刻對象物選擇性地蝕刻。故,本實施形態之蝕刻方法,能夠減低蝕刻氣體的使用所造成之環境負荷,抑制對於地球暖化的不良影響。
另,本發明中所謂蝕刻,意指將被蝕刻構件所具有的蝕刻對象物的一部分或全部除去而將被蝕刻構件加工成規定的形狀(例如三維形狀)(例如將被蝕刻構件所具有的由氮化矽所成之膜狀的蝕刻對象物加工成規定的膜厚),並且意指從被蝕刻構件除去由蝕刻對象物所成之殘留物、堆積物而予以清潔等。
本實施形態之蝕刻方法,能夠利用於半導體元件的製造。亦即,本實施形態之半導體元件的製造方法,係運用本實施形態之蝕刻方法而製造半導體元件之半導體元件的製造方法,其中,被蝕刻構件為具有蝕刻對象物及非蝕刻對象物的半導體基板,具備從半導體基板藉由蝕刻而除去蝕刻對象物的至少一部分之處理工程。
例如,本實施形態之蝕刻方法中,比起氧化矽(例如SiO 2),氮化矽(例如Si 3N 4)的蝕刻會進展較快。利用此特性,能夠將本實施形態之蝕刻方法對於3D-NAND型快閃記憶體、邏輯元件等的半導體元件的製造使用。
例如,對於在由氧化矽膜與氮化矽膜交互層積而成之層積物形成有沿著層積方向延伸且貫通層積物的貫通孔而成之物(參照圖3),藉由適用本實施形態之蝕刻方法,在貫通孔的內面露出的氮化矽膜會選擇性地且等方性地被蝕刻,因此能夠形成氧化矽膜的端部在貫通孔內突出之構造。形成具有這樣的構造的構造體之製程,能夠將該構造體利用作為半導體元件的構造體,故被利用於3D-NAND型快閃記憶體、邏輯元件等的半導體元件的製造。
藉由蝕刻而形成上述構造之製程,習知一直是運用含有磷酸等的藥液來進行,但比起運用藥液之蝕刻,運用蝕刻氣體之蝕刻其微細加工性優良。因此,本實施形態之蝕刻方法中,對於半導體元件的進一步的微細化或高度積體化可望有所貢獻。
此外,同樣地,當將非蝕刻對象物本身利用作為半導體元件的構造體的情形下,作為非蝕刻對象物,係運用實質上不與氟化合物反應的材料或與氟化合物之反應極慢的材料。具體而言,例如能夠使用從氧化矽(例如SiO 2)、光阻劑、及非晶碳(C)中選擇的至少一種的材料。
又,本實施形態之蝕刻方法,如前述般亦能利用於清潔。例如,在腔室內進行了將由含有氮化矽的材料所成之膜成膜於基板上之工程、或將形成於基板上的含有氮化矽的材料的膜予以蝕刻之工程後,能夠將附著於腔室的內面的含有氮化矽的附著物藉由本實施形態之蝕刻方法而予以除去並清潔。另,這樣的清潔中,腔室相當於本發明的構成要件之被蝕刻構件,附著物相當於本發明的構成要件之蝕刻對象物。
以下,進一步詳細說明本實施形態之蝕刻方法及半導體元件的製造方法。 本實施形態之蝕刻,能夠藉由電漿蝕刻而達成。電漿蝕刻中的電漿源的種類無特別限定,可運用市售的裝置。例如,可舉出感應耦合電漿(ICP:Inductively Coupled Plasma)、電容耦合電漿(CCP:Capacitively Coupled Plasma)等的高頻放電電漿,或電子迴旋共振電漿(ECRP:Electron Cyclotron Resonance Plasma)等的微波放電電漿。
此外,亦可將電漿產生室與設置被蝕刻構件的腔室分開,而使電漿在電漿產生室產生(亦即亦可運用遠距電漿)。藉由運用遠距電漿之蝕刻,有時能夠將具有氮化矽的蝕刻對象物以高選擇性蝕刻。另,有時將以藉由電漿產生源在腔室外產生的蝕刻氣體的電漿而在腔室內進行蝕刻之蝕刻方法,記為「遠距電漿蝕刻」。
[蝕刻氣體] 蝕刻氣體,為含有在分子內具有碳-氧雙鍵及醚鍵的至少一方的鍵結之碳數3以下的氟化合物的氣體。作為具有碳-氧雙鍵的官能基,可舉出羰基(-(C=O)-)、甲醯基(-(C=O)H)。醚鍵(-O-)亦可為環狀醚鍵。氟化合物的種類,只要滿足前述要件則無特別限定,但例如可舉出甲醯氟、碳醯氟、草醯氟、2,2,2-三氟乙醯氟、2,2-二氟乙醯氟、2-氟乙醯氟、乙醯氟、2,2,3,3,3-五氟丙醯氟、2,2,3,3-四氟丙醯氟、2,3,3,3-四氟丙醯氟、3,3,3-三氟丙醯氟、2,3,3-三氟丙醯氟、2,2,3-三氟丙醯氟、2,2-二氟丙醯氟、2,3-二氟丙醯氟、3,3-二氟丙醯氟、2-氟丙醯氟、3-氟丙醯氟、丙醯氟、全氟異丙醯氟、六氟丙酮、六氟環氧丙烷、四氟環氧乙烷、三氟甲基醚等。該些氟化合物可單獨運用1種類,亦可併用2種類以上。該些氟化合物當中,由取用性(handling)及入手容易性的觀點看來,更佳為從碳醯氟(COF 2)、草醯氟((COF) 2)、六氟環氧丙烷(C 3F 6O)中選擇的至少一種。另,在分子內具有碳-氧雙鍵及醚鍵的至少一方的鍵結之碳數4以上的氟化合物的大多數,其揮發性低,難以取用作為蝕刻氣體,因此不佳。
蝕刻氣體,為含有氟化合物的氣體與其他種類氣體之混合氣體,但蝕刻氣體中的氟化合物的濃度必須為0.5體積%以上40體積%以下,較佳為1體積%以上30體積%以下,更佳為2體積%以上30體積%以下。
若將蝕刻氣體中的氟化合物的濃度訂為上述的範圍內而進行電漿蝕刻,則能夠將具有氮化矽的蝕刻對象物相較於非蝕刻對象物選擇性地蝕刻。例如,相對於非蝕刻對象物的蝕刻速度之蝕刻對象物的蝕刻速度的比亦即蝕刻選擇比,容易成為5以上。蝕刻選擇比較佳為5以上,更佳為7以上,再佳為10以上。
作為和氟化合物的氣體一起構成蝕刻氣體之其他種類氣體,能夠運用不活潑的稀釋氣體。亦即,能夠將蝕刻氣體訂為含有氟化合物與稀釋氣體之混合氣體。 作為稀釋氣體,能夠運用從氮氣體(N 2)、氦(He)、氖(Ne)、氬(Ar)、氪(Kr)、及氙(Xe)中選擇的至少一種。
特別是,若蝕刻氣體含有少量的氮氣體,亦即蝕刻氣體為含有氟化合物與稀有氣體與氮氣體之混合氣體,則可能讓氮化矽的蝕刻速度提升。蝕刻氣體中的氮氣體的濃度例如較佳為10體積%以下,更佳為5體積%以下,再佳為3體積%以下。另,所謂稀有氣體,為從氦、氖、氬、氪、及氙中選擇的至少一種。
此外,當蝕刻氣體含有稀有氣體與少量的氮氣體的情形下,若更添加含氧氣體,則可能讓氮化矽的蝕刻速度提升,蝕刻選擇比提升。此處,所謂含氧氣體,為標準狀態是氣體的化合物,且除上述氟化合物以外,在分子內具有氧原子的化合物。作為含氧氣體的例子,可舉出氧氣體(O 2)、臭氧(O 3)、一氧化氮(NO)、二氧化氮(NO 2)、一氧化二氮(N 2O)、二氧化硫(SO 2)、三氧化硫(SO 3)、一氧化碳(CO)、二氧化碳(CO 2)等。特別是,由取用容易性的觀點看來,較佳為氧氣體、一氧化氮、二氧化氮、一氧化二氮、二氧化硫、二氧化碳,更佳為氧、一氧化氮、一氧化二氮。
蝕刻氣體中的相對於氮氣體之含氧氣體的體積比(含氧氣體/氮氣體)較佳0.1以上2以下,更佳為0.15以上1以下,再佳為0.2以上0.6以下。若相對於含氧氣體之氮氣體的體積比為上述範圍內,則容易得到氮化矽的蝕刻速度的提升效果或蝕刻選擇比的提升效果。
[蝕刻工程的壓力條件] 本實施形態之蝕刻方法中的蝕刻工程的壓力條件無特別限定,但較佳是訂為1Pa以上3kPa以下,更佳是訂為3Pa以上2kPa以下,再佳是訂為10Pa以上1.5kPa以下。若壓力條件為上述的範圍內,則容易使電漿穩定地產生。
例如,能夠在腔室內配置被蝕刻構件,一面使蝕刻氣體流通至腔室一面進行蝕刻,惟蝕刻氣體的流通時的腔室內的壓力能夠訂為1Pa以上3kpa以下。蝕刻氣體的流量,可根據腔室的大小或將腔室內減壓的排氣設備的能力而適宜設定,使得腔室內的壓力保持一定。
[蝕刻工程的溫度條件] 本實施形態之蝕刻方法中的蝕刻工程的溫度條件無特別限定,但較佳是訂為0℃以上200℃以下,更佳是訂為5℃以上170℃以下,再佳是訂為20℃以上150℃以下。若溫度條件為上述的範圍內,則氟化合物能夠以氣體狀存在,並且氮化矽的蝕刻速度容易變得更高。此處,所謂溫度條件的溫度,為被蝕刻構件的溫度,但亦能使用設定於蝕刻裝置的腔室內之支撐被蝕刻構件的平台的溫度。
氟化合物,在200℃以下的溫度下幾乎不與氧化矽、光阻劑、非晶碳等的非蝕刻對象物反應。因此,若藉由本實施形態之蝕刻方法蝕刻被蝕刻構件,則幾乎不會蝕刻非蝕刻對象物而能將具有氮化矽的蝕刻對象物選擇性地蝕刻。故,本實施形態之蝕刻方法,可利用於將圖樣化的非蝕刻對象物利用作為阻劑或遮罩,而將具有氮化矽的蝕刻對象物加工成規定的形狀之方法等。
又,若蝕刻對象物及非蝕刻對象物的溫度為200℃以下,則蝕刻選擇性容易變高。例如,相對於非蝕刻對象物的蝕刻速度之具有氮化矽的蝕刻對象物的蝕刻速度的比亦即蝕刻選擇比,容易成為5以上。
[被蝕刻構件] 藉由本實施形態之蝕刻方法而蝕刻的被蝕刻構件,係具有蝕刻對象物與非蝕刻對象物,惟亦可為具有由蝕刻對象物形成的部分與由非蝕刻對象物形成的部分之構件,亦可為由蝕刻對象物與非蝕刻對象物的混合物形成之構件。此外,被蝕刻構件亦可具有蝕刻對象物、非蝕刻對象物以外之物。 此外,被蝕刻構件的形狀無特別限定,例如可為板狀、箔狀、膜狀、粉末狀、塊狀。作為被蝕刻構件的例子,可舉出前述的半導體基板。
[蝕刻對象物] 蝕刻對象物具有氮化矽,惟亦可為僅由氮化矽形成之物,亦可為具有僅由氮化矽形成的部分與由其他材質形成的部分之物,亦可為由氮化矽與其他材質的混合物形成之物。
所謂氮化矽,指以任意比例具有矽及氮之化合物,作為例子可舉出Si 3N 4。氮化矽的純度無特別限定,惟較佳為30質量%以上,更佳為60質量%以上,再佳為90質量%以上。 此外,蝕刻對象物的形狀無特別限定,例如可為板狀、箔狀、膜狀、粉末狀、塊狀。
[非蝕刻對象物] 非蝕刻對象物,係實質上不與氟化合物反應,或與氟化合物之反應極慢,因此即使藉由本實施形態之蝕刻方法進行蝕刻,蝕刻也幾乎不進展。非蝕刻對象物,只要是具有上述這樣的性質則無特別限定,惟例如可舉出氧化矽、光阻劑、非晶碳、氮化鈦,或銅、鎳、鈷等的金屬,或該些金屬的氧化物、氮化物。它們當中,由取用性及入手容易性的觀點看來,更佳為氧化矽、光阻劑、非晶碳。
光阻劑,意指以溶解性為首之物性會因光或電子線等而變化之感光性的組成物。例如可舉出g線用、h線用、i線用、KrF用、ArF用、F 2用、EUV用等的光阻劑。光阻劑的組成,只要是半導體製造工程中一般使用之物則無特別限定,惟例如可舉出含有從鏈狀烯烴、環狀烯烴、苯乙烯、乙烯苯酚、丙烯酸、甲基丙烯酸酯、環氧基、三聚氰胺、及乙二醇中選擇的至少一種的單體中合成的聚合物之組成物。
此外,非蝕刻對象物,能夠使用作為用來抑制蝕刻氣體所致之蝕刻對象物的蝕刻之阻劑或遮罩。故,本實施形態之蝕刻方法,可利用於將圖樣化的非蝕刻對象物利用作為阻劑或遮罩,而將蝕刻對象物加工成規定的形狀(例如將被蝕刻構件所具有的膜狀的蝕刻對象物加工成規定的膜厚)等方法,故對於半導體元件之製造可優先地使用。此外,非蝕刻對象物幾乎不會被蝕刻,故能夠抑制半導體元件當中原本不應被蝕刻的部分受到蝕刻,能夠防止因蝕刻而喪失半導體元件的特性。
另,圖樣化後殘留的非蝕刻對象物,可藉由半導體元件製造工程中一般運用的除去方法而除去。例如,可舉出氧電漿或臭氧等氧化性氣體所致之灰化,或運用APM(氨水與過氧化氫水的混合液)、SPM(硫酸與過氧化氫水的混合液)或有機溶劑等的藥液之溶解除去。
接著參照圖1,說明可實施本實施形態之蝕刻方法的蝕刻裝置的構成的一例,及運用該蝕刻裝置之蝕刻方法的一例。圖1的蝕刻裝置,為進行遠距電漿蝕刻之遠距電漿蝕刻裝置。首先,說明圖1的蝕刻裝置。
圖1的蝕刻裝置,具備:在內部進行蝕刻之腔室10;及電漿產生源亦即遠距電漿產生裝置20;及在腔室10的內部支撐蝕刻的被蝕刻構件12之平台11;及測定被蝕刻構件12的溫度之溫度計14;及用來將腔室10的內部的氣體排出之排氣用配管13;及設於排氣用配管13而將腔室10的內部減壓之真空泵15;及測定腔室10的內部的壓力之壓力計16。
此外,圖1的蝕刻裝置,具備對腔室10的內部供給蝕刻氣體之蝕刻氣體供給部。此蝕刻氣體供給部,具有:供給氟化合物的氣體之氟化合物氣體供給部1;及供給稀有氣體之稀有氣體供給部2;及供給氮氣體之氮氣體供給部3;及連接氟化合物氣體供給部1與腔室10之氟化合物氣體供給用配管7;及將稀有氣體供給部2連接至氟化合物氣體供給用配管7的中間部之稀有氣體供給用配管8;及將氮氣體供給部3連接至稀有氣體供給用配管8的中間部之氮氣體供給用配管9。
另,圖1的蝕刻裝置,在腔室10的外部具有遠距電漿產生裝置20。詳言之,圖1的蝕刻裝置,在氟化合物氣體供給用配管7中的和稀有氣體供給用配管8的連接部與腔室10之間的位置,具有遠距電漿產生裝置20。
又,在氟化合物氣體供給用配管7,設有控制氟化合物的氣體的壓力之氟化合物氣體壓力控制裝置17、及控制氟化合物的氣體的流量之氟化合物氣體流量控制裝置4。又,在稀有氣體供給用配管8,設有控制稀有氣體的壓力之稀有氣體壓力控制裝置18、及控制稀有氣體的流量之稀有氣體流量控制裝置5。又,在氮氣體供給用配管9,設有控制氮氣體的壓力之氮氣體壓力控制裝置19、及控制氮氣體的流量之氮氣體流量控制裝置6。
又,當對腔室10供給氟化合物的氣體作為蝕刻氣體的情形下,從氟化合物氣體供給部1對氟化合物氣體供給用配管7送出氟化合物的氣體,藉此,氟化合物的氣體透過氟化合物氣體供給用配管7被供給至遠距電漿產生裝置20。
此外,當供給氟化合物氣體、稀有氣體、及氮氣體的混合氣體作為蝕刻氣體的情形下,從氟化合物氣體供給部1對氟化合物氣體供給用配管7送出氟化合物的氣體,並且從稀有氣體供給部2及氮氣體供給部3對氟化合物氣體供給用配管7透過稀有氣體供給用配管8及氮氣體供給用配管9而分別送出稀有氣體及氮氣體,藉此,混合氣體透過氟化合物氣體供給用配管7被供給至遠距電漿產生裝置20。
然後,氟化合物的氣體或混合氣體在遠距電漿產生裝置20被電漿化,而被供給至腔室10的內部。另,遠距電漿產生裝置20與腔室10可直通,亦可藉由配管連接。 氟化合物氣體供給部1、稀有氣體供給部2、及氮氣體供給部3的構成無特別限定,例如可為鋼瓶或氣缸等。此外,作為氟化合物氣體流量控制裝置4、稀有氣體流量控制裝置5、及氮氣體流量控制裝置6,例如能夠利用質流控制器或流量計等。
當將蝕刻氣體往腔室10供給時,較佳是將蝕刻氣體的壓力(亦即圖1中的氟化合物氣體壓力控制裝置17的值)保持規定值而供給。亦即,蝕刻氣體的供給壓力較佳為1Pa以上0.2MPa以下,更佳為10Pa以上0.1MPa以下,再佳為50Pa以上50kPa以下。若蝕刻氣體的供給壓力為上述範圍內,則蝕刻氣體往腔室10的供給可平順地進行,並且對於圖1的蝕刻裝置所具有的零件(例如前述各種裝置或前述配管)之負荷小。
此外,被供給至腔室10內的蝕刻氣體的壓力,從將被蝕刻構件12的表面均一地蝕刻的觀點看來,較佳為1Pa以上80kPa以下,更佳為10Pa以上50kPa以下,再佳為100Pa以上20kPa以下。若腔室10內的蝕刻氣體的壓力為上述範圍內,則可得到足夠的蝕刻速度,並且蝕刻選擇比容易變高。
供給蝕刻氣體以前的腔室10內的壓力,只要是蝕刻氣體的供給壓力以下、或比蝕刻氣體的供給壓力還低壓則無特別限定,惟例如較佳為10 -5Pa以上未滿10kPa,更佳為1Pa以上2kPa以下。
蝕刻氣體的供給壓力與供給蝕刻氣體以前的腔室10內的壓力之差壓,較佳為0.5MPa以下,更佳為0.3MPa以下,再佳為0.1MPa以下。若差壓為上述範圍內,則蝕刻氣體往腔室10的供給容易平順地進行。
當將蝕刻氣體往腔室10供給時,較佳是將蝕刻氣體的溫度保持規定值而供給。亦即,蝕刻氣體的供給溫度較佳為0℃以上150℃以下。 進行蝕刻時的被蝕刻構件12的溫度較佳是訂為0℃以上200℃以下,更佳是訂為5℃以上170℃以下,再佳是訂為20℃以上150℃以下。若為此溫度範圍內,則蝕刻構件12所具有的蝕刻對象物(特別是氮化矽)的蝕刻會平順地進展,並且對於蝕刻裝置的負荷小,蝕刻裝置的壽命容易變長。
蝕刻的處理時間(以下或記為「蝕刻時間」),能夠依據欲將被蝕刻構件12所具有的蝕刻對象物蝕刻到什麼程度而任意設定,惟若考量半導體元件製造程序的生產效率,較佳為60分鐘以內,更佳為40分鐘以內,再佳為20分鐘以內。另,所謂蝕刻的處理時間,係指在腔室10的內部令電漿化的蝕刻氣體接觸被蝕刻構件12的時間。
本實施形態之蝕刻方法,如圖1的蝕刻裝置般,能夠運用半導體元件製造工程中使用的一般性的電漿蝕刻裝置而進行,可使用的蝕刻裝置的構成無特別限定。 例如,氟化合物氣體供給用配管7與被蝕刻構件12之位置關係,只要是能夠令蝕刻氣體接觸被蝕刻構件12則無特別限定。此外,有關腔室10的溫度調節機構的構成,亦是只要能夠將被蝕刻構件12的溫度調節成任意的溫度即可,可為在平台11上直接具備溫度調節機構之構成,亦可藉由外接的溫度調節器而從腔室10的外側對腔室10進行加溫或冷卻。
此外,圖1的蝕刻裝置的材質,只要是具有對於使用的氟化合物之耐腐蝕性,且能夠減壓至規定的壓力者則無特別限定。例如,在接觸蝕刻氣體的部分,能夠使用鎳、鎳基合金、鋁、不鏽鋼、白金、銅、鈷等的金屬,或氧化鋁等的陶瓷,或氟樹脂等。
作為鎳基合金的具體例,可舉出英高鎳(Inconel) (註冊商標)、赫史特(Hastelloy)(註冊商標)、蒙乃爾(Monel) (註冊商標)等。此外,作為氟樹脂,例如可舉出聚四氟乙烯(PTFE)、聚三氟氯乙烯(PCTFE)、四氟化乙烯-全氟烷氧基乙烯共聚物(PFA)、聚偏二氟乙烯(PVDF)、鐵氟龍(註冊商標)、Viton(註冊商標)、Kalrez(註冊商標)等。 [實施例]
以下示意實施例及比較例,更詳細地說明本發明。 (實施例1) 運用和圖1的蝕刻裝置具有略同樣的構成之蝕刻裝置,進行了被蝕刻構件的蝕刻。參照圖2說明實施例1中運用的被蝕刻構件。
備妥在每邊2英吋的正方形狀的矽基板21上將膜厚1μm的氮化矽膜22成膜而成之物(KST World股份有限公司製),在該氮化矽膜22上,運用潤滑脂(大金工業股份有限公司製之DEMNUM潤滑脂L-200)而接著尺寸1英吋×2英吋的長方形狀的二氧化矽基板23,將依此方式製作出的層積物訂為被蝕刻構件。二氧化矽基板23,如圖2所示,以覆蓋氮化矽膜22的大略一半的部分之方式接著。另,氮化矽膜22為蝕刻對象物,將非蝕刻對象物亦即二氧化矽基板23使用作為阻劑。
此外,分別製作出把上述被蝕刻構件中蝕刻對象物亦即氮化矽膜22置換成非蝕刻對象物亦即二氧化矽、光阻劑、及非晶碳的其中一者的膜而成之對比用層積物。 將上述被蝕刻構件與該些3個對比用層積物並排載置於蝕刻裝置的腔室的內部的平台上,將平台的溫度訂為20℃。
接著,將流量30mL/min的碳醯氟氣體與流量970mL/min的氬混合做成混合氣體,將此混合氣體訂為蝕刻氣體。然後,將此蝕刻氣體對腔室的內部以流量1000mL/min供給,令其流通3分鐘而進行遠距電漿蝕刻。蝕刻氣體流通時的腔室的內部的壓力訂為500Pa。此外,作為遠距電漿產生裝置,使用日本MKS股份有限公司製之智慧遠距電漿源ASTRON Paragon(註冊商標),電漿源功率訂為100W。藉此,上述被蝕刻構件的氮化矽膜22當中未被二氧化矽基板23覆蓋的露出部分受到蝕刻。一旦蝕刻氣體的流通結束,將腔室的內部以氬置換。
一旦蝕刻結束則開放腔室而取出被蝕刻構件,從取出的被蝕刻構件卸下二氧化矽基板23,將接著面以乙醇洗淨而除去潤滑脂。然後,運用KEYENCE股份有限公司製之原子力顯微鏡VN-8010,測定被二氧化矽基板23覆蓋而未被蝕刻的氮化矽膜22的保護面22a、與未被二氧化矽基板23覆蓋而受到蝕刻的氮化矽膜22的蝕刻面22b之高低差的大小。將測定出的高低差的大小(nm)除以蝕刻時間(min),算出氮化矽的蝕刻速度(nm/min)。結果示於表1。
此外,針對3個對比用層積物亦進行和被蝕刻構件同樣的操作,將高低差的大小(nm)除以蝕刻時間(min),藉此分別算出二氧化矽、光阻劑、及非晶碳的蝕刻速度(nm/min)。又,分別算出相對於氮化矽的蝕刻速度之非蝕刻對象物的蝕刻速度的比(蝕刻選擇比)。結果示於表1。
另,原子力顯微鏡所做的高低差的大小的測定條件如下。 測定壓力:大氣壓(101.3kPa) 測定溫度:28℃ 測定環境:大氣中 掃描範圍:寬80.0μm,高20.0μm,角度0°
Figure 02_image001
(實施例2~15) 將蝕刻對象物訂為氮化矽膜,將非蝕刻對象物訂為二氧化矽、光阻劑、及非晶碳,並且將蝕刻的條件(蝕刻氣體的組成、平台的溫度、腔室內的壓力、蝕刻時間、遠距電漿產生裝置的電漿源功率(source power))如表1所示般設定,如同實施例1般進行遠距電漿蝕刻。然後,分別算出蝕刻對象物、非蝕刻對象物的蝕刻速度,從該數值算出蝕刻選擇比。結果示於表1、2。
Figure 02_image003
(比較例1) 將蝕刻氣體訂為六氟化硫氣體與氬之混合氣體,將遠距電漿產生裝置的電漿源功率訂為400W,將蝕刻時間訂為1分鐘,除這幾點以外如同實施例1般進行遠距電漿蝕刻。然後,分別算出蝕刻對象物、非蝕刻對象物的蝕刻速度,從該數值算出蝕刻選擇比。結果示於表2。
(比較例2) 將蝕刻氣體訂為流量300mL/分的碳醯氟氣體與流量700mL/分的氬之混合氣體,將平台溫度訂為150℃,將遠距電漿產生裝置的電漿源功率訂為0W(亦即不使電漿產生),將蝕刻時間訂為30分鐘,除這幾點以外如同實施例1般進行蝕刻。然後,分別算出蝕刻對象物、非蝕刻對象物的蝕刻速度,從該數值算出蝕刻選擇比。結果示於表2。
(比較例3) 將蝕刻氣體訂為流量800mL/分的碳醯氟氣體與流量200mL/分的氬之混合氣體,將遠距電漿產生裝置的電漿源功率訂為400W,除這幾點以外如同實施例1般進行遠距電漿蝕刻。然後,分別算出蝕刻對象物、非蝕刻對象物的蝕刻速度,從該數值算出蝕刻選擇比。結果示於表2。
(實施例16~27) 運用SAMCO股份有限公司製之ICP蝕刻裝置RIE-200iP使蝕刻氣體的電漿在腔室內產生,藉由蝕刻氣體的電漿在腔室內進行蝕刻亦即進行通常的電漿蝕刻,將蝕刻的條件(蝕刻氣體的組成、平台的溫度、腔室內的壓力、蝕刻時間、電漿產生裝置的電漿源功率(source power)及偏壓源功率(bias power))如表3所示般設定,除這幾點以外如同實施例1般對被蝕刻構件與對比用層積物進行蝕刻。然後,如同實施例1,分別算出蝕刻對象物、非蝕刻對象物的蝕刻速度,從該數值算出蝕刻選擇比。結果示於表3。
Figure 02_image005
(比較例4) 將蝕刻氣體訂為六氟化硫氣體與氬之混合氣體,將電漿產生裝置的偏壓源功率訂為80W,除這幾點以外如同實施例16般進行電漿蝕刻。然後,分別算出蝕刻對象物、非蝕刻對象物的蝕刻速度,從該數值算出蝕刻選擇比。結果示於表3。
(比較例5) 將蝕刻氣體訂為流量40mL/分的碳醯氟氣體與流量10mL/分的氬之混合氣體,除這點以外如同實施例16般進行電漿蝕刻。然後,分別算出蝕刻對象物、非蝕刻對象物的蝕刻速度,從該數值算出蝕刻選擇比。結果示於表3。
(實施例28) 參照圖3說明實施例28中運用的被蝕刻構件。圖3的被蝕刻構件,具有在矽基板31上將膜厚35nm的氮化矽膜32與膜厚35nm的氧化矽膜33交互各層積30層而成之構造(圖3中為求簡便,示意交互各層積5層而成之構造)。此外,圖3的被蝕刻構件,具有在最上層的氧化矽膜33的上方更層積膜厚300nm的非晶碳膜35而成之構造。此處,氮化矽膜32與氧化矽膜33為蝕刻對象物,非晶碳膜35為非蝕刻對象物。又,圖3的被蝕刻構件,具有將30層的氮化矽膜32與30層的氧化矽膜33與1層的非晶碳膜35於層積方向貫通之直徑100nm的貫通孔34。
將此被蝕刻構件載置於和圖1的蝕刻裝置具有略同樣的構成之蝕刻裝置的平台上,將平台的溫度訂為20℃。接著,將流量30mL/min的碳醯氟氣體與流量970mL/min的氬混合做成混合氣體,將此混合氣體訂為蝕刻氣體。然後,把將此蝕刻氣體藉由遠距電漿而電漿化而成之物供給至腔室的內部,令其流通3分鐘而進行遠距電漿蝕刻。蝕刻氣體流通時的腔室的內部的壓力訂為500Pa。一旦蝕刻氣體的流通結束,將腔室的內部以氬置換。
將腔室開放而取出被蝕刻構件。受到蝕刻的被蝕刻構件,係氮化矽膜32當中露出於貫通孔34的內面之部分受到蝕刻,特別是氮化矽膜32相較於氧化矽膜33優先地受到蝕刻,故貫通孔34的內面的一部往徑方向外方擴張。
氧化矽膜33當中露出於貫通孔34的內面之部分,相較於氮化矽膜32不易受到蝕刻,此外非晶碳膜35幾乎未受到蝕刻,因此形成了氧化矽膜33及非晶碳膜35的端部在貫通孔34內突出之構造。
將取出的被蝕刻構件切斷,藉由掃描型電子顯微鏡分別分析30層的氮化矽膜32的截面及30層的氧化矽膜33的截面。詳言之,針對30層的氮化矽膜32各者,測定氮化矽膜32當中露出於貫通孔34的內面之部分、與非晶碳膜35當中露出於貫通孔34的內面之部分之間的徑方向距離。此外,針對30層的氧化矽膜33各者,測定氧化矽膜33當中露出於貫通孔34的內面之部分、與非晶碳膜35當中露出於貫通孔34的內面之部分之間的徑方向距離。
亦即,貫通孔34的內面藉由蝕刻而朝徑方向外方擴張而貫通孔34的半徑變大,測定該半徑的差分。然後,將其除以蝕刻時間,藉此算出相對於非晶碳之氮化矽及氧化矽的相對的蝕刻速度。另,非晶碳的蝕刻速度,是藉由比較蝕刻前後的貫通孔34的直徑而算出,惟幾乎看不出直徑的變化。
然後,算出30層的氮化矽膜32及氧化矽膜33的蝕刻速度的平均值及標準差,評估面內方向(平行於膜的表面的方向)的相對的蝕刻速度是否因膜的層積方向位置而變化,亦即評估相對的蝕刻速度的均一性。結果示於表4。
Figure 02_image007
(實施例29~31) 將蝕刻的條件(蝕刻氣體的組成、平台的溫度、腔室內的壓力、蝕刻時間、電漿產生裝置的電漿源功率)如表4所示般設定,如同實施例28般進行電漿蝕刻。然後,如同實施例28,算出相對於非晶碳之氮化矽及氧化矽的相對的蝕刻速度,算出各蝕刻速度的平均值及標準差。結果示於表4。
(實施例32~35) 對於如同實施例28的被蝕刻構件,運用SAMCO股份有限公司製之ICP蝕刻裝置RIE-200iP進行蝕刻。電漿產生裝置的偏壓源功率訂為0W,其他的蝕刻的條件(蝕刻氣體的組成、平台的溫度、腔室內的壓力、蝕刻時間、電漿產生裝置的電漿源功率)如表5所示。然後,如同實施例28,算出相對於非晶碳之氮化矽及氧化矽的相對的蝕刻速度,算出各蝕刻速度的平均值及標準差。結果示於表5。
Figure 02_image009
由實施例1~3之結果,將電漿源功率訂為400W之情形,相較於將電漿源功率訂為100W、800W之情形,氮化矽的蝕刻速度較大。另一方面,非蝕刻對象物的蝕刻,和電漿源功率無關而幾乎未進展。 由實施例4~6之結果教示,蝕刻氣體中的碳醯氟的濃度中,存在一讓氮化矽的蝕刻速度成為極大的點。另一方面,非蝕刻對象物的蝕刻,和蝕刻氣體中的碳醯氟的濃度無關而幾乎未進展。
由實施例7、8之結果可知,即使將腔室內的壓力訂為100Pa、1500Pa的情形下,氮化矽的蝕刻仍無問題地進展。另一方面,非蝕刻對象物的蝕刻幾乎未進展。 由實施例9、15之結果可知,若將平台的溫度升高,則蝕刻對象物之氮化矽及非蝕刻對象物之氧化矽、光阻劑、非晶碳的蝕刻速度會提升。
由實施例10、11之結果可知,即使運用草醯氟、六氟環氧丙烷作為蝕刻氣體的情形下,氮化矽的蝕刻仍未進展,非蝕刻對象物的蝕刻仍幾乎不進展。 由實施例12、13之結果可知,當運用碳醯氟、氬、及氮氣體之混合氣體作為蝕刻氣體的情形下,氮化矽的蝕刻速度會提升。此外,非蝕刻對象物之蝕刻亦有稍微進展。就氮化矽的蝕刻速度提升的理由而言,可以認為藉由添加氮氣體而促進了氟原子從碳醯氟的脫離。
由實施例14之結果可知,當運用除碳醯氟、氬、及氮氣體外更含有氧氣體之混合氣體作為蝕刻氣體的情形下,氮化矽的蝕刻速度及蝕刻選擇比會更加提升。可以認為藉由添加氧氣體,更加促進了氟原子從碳醯氟的脫離。
由比較例1之結果可知,若運用六氟化硫作為蝕刻氣體,則氮化矽與非蝕刻對象物雙方會受到蝕刻。 由比較例2之結果可知,在不使電漿產生的條件下,氧化矽、光阻劑、非晶碳的蝕刻會和氮化矽以同等的速度進展,因此難以達到氮化矽的選擇性蝕刻。 由比較例3之結果可知,當蝕刻氣體中的碳醯氟的濃度過高的情形下,氧化矽、光阻劑、非晶碳的蝕刻也會和氮化矽一起進展。
由實施例16~27之結果可知,即使運用ICP蝕刻裝置,相較於非蝕刻對象物仍可將氮化矽選擇性地蝕刻。此外可知,當提高偏壓源功率的情形下,或使用了添加氮氣體之混合氣體作為蝕刻氣體的情形下,氮化矽的蝕刻速度會提升。此外可知,當使用了添加氮氣體及氧氣體之混合氣體作為蝕刻氣體的情形下,氮化矽的蝕刻速度會更加提升。另一方面,提高電漿源功率的情形下、降低蝕刻氣體中的碳醯氟的濃度的情形下、及運用草醯氟、六氟環氧丙烷作為蝕刻氣體的情形下,對於氮化矽及非蝕刻對象物的蝕刻速度看不出顯著的影響。
由比較例4之結果可知,若運用六氟化硫作為蝕刻氣體則非蝕刻對象物之氧化矽、光阻劑、非晶碳會和氮化矽一起受到蝕刻。 由比較例5之結果可知,當蝕刻氣體中的碳醯氟的濃度過高的情形下,非蝕刻對象物之氧化矽、光阻劑、非晶碳也會和氮化矽一起受到蝕刻。
由實施例28~35之結果可知,若對於非晶碳、氮化矽、及氧化矽之層積膜進行蝕刻,則能夠將氮化矽的層選擇性地蝕刻。 相對於氮化矽的蝕刻速度的平均值之蝕刻速度的標準差的比約為2~7%,因此可知30層的氮化矽膜32的蝕刻幾乎均一地進展,而無關乎氮化矽膜32的層積方向位置。相對於此,氧化矽及非晶碳的蝕刻速度在任一條件下皆比氮化矽的蝕刻速度還小。此外,氧化矽的蝕刻速度的標準差在任一條件下皆為3以下。
1:氟化合物氣體供給部 2:稀有氣體供給部 3:氮氣體供給部 4:氟化合物氣體流量控制裝置 5:稀有氣體流量控制裝置 6:氮氣體流量控制裝置 7:氟化合物氣體供給用配管 8:稀有氣體供給用配管 9:氮氣體供給用配管 10:腔室 11:平台 12:被蝕刻構件 13:排氣用配管 14:溫度計 15:真空泵 16:壓力計 17:氟化合物氣體壓力控制裝置 18:稀有氣體壓力控制裝置 19:氮氣體壓力控制裝置 20:遠距電漿產生裝置 21:矽基板 22:氮化矽膜 23:二氧化矽基板 31:矽基板 32:氮化矽膜 33:氧化矽膜 34:貫通孔 35:非晶碳膜
[圖1]說明本發明之蝕刻方法的一實施形態的蝕刻裝置的一例的概略圖。 [圖2]說明實施例1~27及比較例1~5中運用的被蝕刻構件的圖。 [圖3]說明實施例28~35中運用的被蝕刻構件的圖。
1:氟化合物氣體供給部
2:稀有氣體供給部
3:氮氣體供給部
4:氟化合物氣體流量控制裝置
5:稀有氣體流量控制裝置
6:氮氣體流量控制裝置
7:氟化合物氣體供給用配管
8:稀有氣體供給用配管
9:氮氣體供給用配管
10:腔室
11:平台
12:被蝕刻構件
13:排氣用配管
14:溫度計
15:真空泵
16:壓力計
17:氟化合物氣體壓力控制裝置
18:稀有氣體壓力控制裝置
19:氮氣體壓力控制裝置

Claims (10)

  1. 一種蝕刻方法,具備:蝕刻工程,於電漿的存在下,令含有在分子內具有碳-氧雙鍵及醚鍵的至少一方的鍵結之碳數3以下的氟化合物的蝕刻氣體,接觸具有前述蝕刻氣體所致之蝕刻的對象亦即蝕刻對象物與非前述蝕刻氣體所致之蝕刻的對象的非蝕刻對象物之被蝕刻構件,而相較於前述非蝕刻對象物將前述蝕刻對象物選擇性地蝕刻,前述蝕刻氣體中的前述氟化合物的濃度為0.5體積%以上40體積%以下,前述蝕刻對象物具有氮化矽,前述蝕刻氣體,為含有前述氟化合物與稀有氣體與氮氣體之混合氣體,前述蝕刻氣體中的前述氮氣體的濃度為10體積%以下。
  2. 如請求項1所述之蝕刻方法,其中,前述非蝕刻對象物,具有從氧化矽、光阻劑、及非晶碳中選擇的至少一種。
  3. 如請求項1或請求項2所述之蝕刻方法,其中,將前述蝕刻工程在1Pa以上3kPa以下的壓力條件下進行。
  4. 如請求項1或請求項2所述之蝕刻方法,其中,將前述蝕刻工程在0℃以上200℃以下的溫度條件下進行。
  5. 如請求項1或請求項2所述之蝕刻方法,其中,前述蝕刻氣體中的前述氟化合物的濃度為1體積% 以上30體積%以下。
  6. 如請求項1或請求項2所述之蝕刻方法,其中,前述蝕刻氣體,為含有前述氟化合物與稀釋氣體之混合氣體。
  7. 如請求項6所述之蝕刻方法,其中,前述稀釋氣體,為從氮氣體、氦、氬、氖、氪、及氙中選擇的至少一種。
  8. 如請求項1所述之蝕刻方法,其中,前述蝕刻氣體,為除前述氟化合物與稀有氣體與氮氣體外,更含有前述氟化合物以外的含氧氣體之混合氣體。
  9. 如請求項1或請求項2所述之蝕刻方法,其中,前述氟化合物,為從碳醯氟、草醯氟、及六氟環氧丙烷中選擇的至少一種。
  10. 一種半導體元件的製造方法,係運用如請求項1~9中任一項所述之蝕刻方法而製造半導體元件之半導體元件的製造方法,其中,前述被蝕刻構件,為具有前述蝕刻對象物及前述非蝕刻對象物的半導體基板,具有從前述半導體基板藉由前述蝕刻除去前述蝕刻對象物的至少一部分之處理工程。
TW110120562A 2020-07-09 2021-06-07 蝕刻方法及半導體元件的製造方法 TWI778649B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020118784 2020-07-09
JP2020-118784 2020-07-09

Publications (2)

Publication Number Publication Date
TW202213501A TW202213501A (zh) 2022-04-01
TWI778649B true TWI778649B (zh) 2022-09-21

Family

ID=79552317

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110120562A TWI778649B (zh) 2020-07-09 2021-06-07 蝕刻方法及半導體元件的製造方法

Country Status (8)

Country Link
US (1) US20230290643A1 (zh)
EP (1) EP4181176A4 (zh)
JP (1) JPWO2022009553A1 (zh)
KR (1) KR20230006007A (zh)
CN (1) CN115868011A (zh)
IL (1) IL298826A (zh)
TW (1) TWI778649B (zh)
WO (1) WO2022009553A1 (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US20100075491A1 (en) * 2008-09-19 2010-03-25 Casio Computer Co., Ltd. Dry etching method of silicon compound film
WO2011051251A1 (en) * 2009-10-26 2011-05-05 Solvay Fluor Gmbh Etching process for producing a tft matrix
TW201342466A (zh) * 2011-12-21 2013-10-16 Solvay 蝕刻薄晶圓上之SiO2層的方法
US20130323932A1 (en) * 2012-06-01 2013-12-05 Lam Research Corporation Layer-layer etch of non volatile materials using plasma

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3109253B2 (ja) * 1992-06-29 2000-11-13 ソニー株式会社 ドライエッチング方法
KR100223614B1 (ko) 1996-11-12 1999-10-15 윤종용 불휘발성 반도체 메모리 장치
JP5310409B2 (ja) * 2009-09-04 2013-10-09 東京エレクトロン株式会社 プラズマエッチング方法
JP5691357B2 (ja) * 2010-09-30 2015-04-01 カシオ計算機株式会社 半導体デバイス形成用基板の製造方法及びドライエッチング方法
JP6079649B2 (ja) 2014-01-08 2017-02-15 豊田合成株式会社 ドライエッチング装置およびドライエッチング方法
KR102303686B1 (ko) * 2017-02-28 2021-09-17 샌트랄 글래스 컴퍼니 리미티드 드라이 에칭제, 드라이 에칭 방법 및 반도체 장치의 제조방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US20100075491A1 (en) * 2008-09-19 2010-03-25 Casio Computer Co., Ltd. Dry etching method of silicon compound film
WO2011051251A1 (en) * 2009-10-26 2011-05-05 Solvay Fluor Gmbh Etching process for producing a tft matrix
TW201342466A (zh) * 2011-12-21 2013-10-16 Solvay 蝕刻薄晶圓上之SiO2層的方法
US20130323932A1 (en) * 2012-06-01 2013-12-05 Lam Research Corporation Layer-layer etch of non volatile materials using plasma

Also Published As

Publication number Publication date
KR20230006007A (ko) 2023-01-10
CN115868011A (zh) 2023-03-28
EP4181176A1 (en) 2023-05-17
JPWO2022009553A1 (zh) 2022-01-13
TW202213501A (zh) 2022-04-01
EP4181176A4 (en) 2024-02-21
US20230290643A1 (en) 2023-09-14
IL298826A (en) 2023-02-01
WO2022009553A1 (ja) 2022-01-13

Similar Documents

Publication Publication Date Title
TWI778649B (zh) 蝕刻方法及半導體元件的製造方法
US20220230888A1 (en) Dry etching method, production method for semiconductor element, and cleaning method
TWI778566B (zh) 蝕刻方法及半導體元件的製造方法
TWI783736B (zh) 蝕刻方法及半導體元件的製造方法
US11972955B2 (en) Dry etching method, method for manufacturing semiconductor element, and cleaning method
TWI828964B (zh) 蝕刻方法
WO2021079780A1 (ja) 窒化ケイ素のエッチング方法及び半導体素子の製造方法
WO2022259953A1 (ja) ドライエッチング方法、半導体素子の製造方法、及びクリーニング方法
WO2023017696A1 (ja) エッチング方法及び半導体素子の製造方法
WO2022190809A1 (ja) エッチングガス及びエッチング方法
TW202310027A (zh) 蝕刻方法及半導體元件的製造方法
TW202229631A (zh) 蝕刻氣體、蝕刻方法,及半導體元件之製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent