US20130323932A1 - Layer-layer etch of non volatile materials using plasma - Google Patents

Layer-layer etch of non volatile materials using plasma Download PDF

Info

Publication number
US20130323932A1
US20130323932A1 US13/486,765 US201213486765A US2013323932A1 US 20130323932 A1 US20130323932 A1 US 20130323932A1 US 201213486765 A US201213486765 A US 201213486765A US 2013323932 A1 US2013323932 A1 US 2013323932A1
Authority
US
United States
Prior art keywords
etch
gas
phase
recited
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/486,765
Other versions
US8608973B1 (en
Inventor
Joydeep Guha
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US13/486,765 priority Critical patent/US8608973B1/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GUHA, Joydeep
Priority to SG2013038393A priority patent/SG195493A1/en
Priority to TW102119224A priority patent/TWI579914B/en
Priority to KR1020130061712A priority patent/KR20130135767A/en
Publication of US20130323932A1 publication Critical patent/US20130323932A1/en
Application granted granted Critical
Publication of US8608973B1 publication Critical patent/US8608973B1/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/161Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect details concerning the memory cell structure, e.g. the layers of the ferromagnetic memory cell

Definitions

  • the present invention relates to etching a layer of non volatile materials through a mask during the production of a semiconductor device. More specifically, the present invention relates to etching a metal containing layer.
  • features may be etched through a metal containing layer.
  • MRAM magnetoresistive random-access memory
  • RRAM resistive random-access memory
  • MRAM etching processes are very challenging due to extremely low vapor pressure of the etch by products formed.
  • Some MRAM etch processes are sputter dominated processes, which cause the loss of control of profile angle and sidewall polymer buildup. These results prevent scaling down feature size and reduce device reliability.
  • a method for etching a metal layer comprising plurality of cycles.
  • an etch gas comprising PF 3 , CO and NO, or COF 2 is flowed into a process chamber.
  • the etch gas is formed into a plasma.
  • the flow of the etch gas is stopped.
  • An activation phase comprises flowing an activation gas comprising He, H 2 , or Ar into a process chamber, forming the activation gas into a plasma, and stopping the flow of the activation gas into the process chamber.
  • An etch phase comprises flowing an etch gas comprising PF 3 or CO and NO into the process chamber, wherein the etch gas is not transformed into a plasma, and stopping the flow of the etch gas into the process chamber.
  • FIG. 1 is a high level flow chart of an embodiment of the invention.
  • FIGS. 2A-C are schematic views of a stack processed according to an embodiment of the invention.
  • FIG. 3 is a schematic view of an etch reactor that may be used for etching.
  • FIG. 4 illustrates a computer system, which is suitable for implementing a controller used in embodiments of the present invention.
  • FIG. 5 is more detailed flow chart of an etch phase.
  • FIG. 6 is a more detailed flow chart of a cleaning phase.
  • FIG. 7 is a high level flow chart of another embodiment of the invention.
  • FIGS. 8A-C are schematic views of a stack processed according to an embodiment of the invention.
  • FIG. 9 is more detailed flow chart of an activation phase.
  • FIG. 10 is a more detailed flow chart of an etch phase.
  • FIG. 11 is a more detailed flow chart of an etch phase in another embodiment of the invention.
  • FIG. 1 is a high level flow chart of a process used in an embodiment of the invention.
  • a substrate with a metal layer is placed in a chamber (step 104 ).
  • An etch process is performed comprising a plurality of cycles, wherein each cycle comprises an etch phase (step 108 ) and a clean phase (step 112 ).
  • a determination is made of whether the etch is complete or should continue, such as whether the etch depth is equal to a target etch depth (step 116 ). If the etch is not complete, then process goes back to step 108 . If the etch is complete, then the substrate and remaining metal layer are removed from the chamber (step 120 ).
  • FIG. 2A is a cross-sectional view of a stack 200 with a substrate 204 over which a metal layer 208 has been formed.
  • a patterned thermal hardmask layer 212 is placed over the metal layer 208 .
  • an intermediate layer 216 is placed between the substrate 204 and the metal layer 208 .
  • One or more layers may be placed between the substrate 204 and the metal layer 208 .
  • one or more layers may be placed between the patterned thermal hardmask layer 212 and the metal layer 208 .
  • the patterned thermal hardmask layer 212 has features 236 , 240 with different aspect ratios.
  • a patterned photoresist mask may be placed above the patterned thermal hardmask layer 212 .
  • the substrate 204 is a silicon wafer
  • the metal layer 208 is iron (Fe).
  • Additional metal layers may be used to form magnetoresistive random-access memory (MRAM) or a resistive random-access memory (RRAM) device.
  • MRAM magnetoresistive random-access memory
  • RRAM resistive random-access memory
  • Such metal layers may be but are not limited to Co, Fe, Pd, Pt, Mn, Mg, Ru, Ta, Al, Cr, and Zr.
  • the intermediate layer 216 may be one or more additional layers used to form MRAM or RRAM devices.
  • the patterned thermal hardmask layer 212 may be a conventional hardmask material such as SiN or may be another material.
  • the patterned thermal hardmask layer 212 is resistant to ashing or melting at temperatures at which a precursor metal complex would vaporize. Because of this, the patterned thermal hardmask layer 212 would not be formed from a photoresist material, which melts at a temperature below 300° C.
  • FIG. 3 is a schematic view of an etch reactor that may be used in practicing the invention.
  • an etch reactor 300 comprises an antenna electrode 314 , a gas distribution plate 306 providing a gas inlet, and an electrostatic chuck (ESC) 308 , within an etch process chamber 349 , enclosed by a chamber wall 350 .
  • the substrate 204 is positioned on top of the electrostatic chuck 308 .
  • the electrostatic chuck 308 provides a bias from the bias RF source 348 as an electrostatic chuck (ESC) for holding the substrate 204 or may use another chucking force to hold the substrate 204 .
  • a heat source 310 such as heat lamps, is provided to heat the metal layer.
  • a gas source 324 is connected to the etch chamber 349 through the distribution plate 306 .
  • a bias RF source 348 and an excitation RF source 352 are electrically connected to the etch process chamber 349 through a controller 335 to provide power to the antenna electrode 314 and the ESC 308 .
  • the bias RF source 348 generates bias RF power and supplies the bias RF power to the etch process chamber 349 .
  • the bias RF power has a frequency of 2 MHz.
  • the excitation RF source 352 generates source RF power and supplies the source RF power to the etch process chamber 349 . In this example, this source RF power has a frequency of 13.56 MHz.
  • the different RF signals may be supplied to various combinations of the top and bottom electrodes.
  • the lowest frequency of the RF should be applied through the ESC 308 on which the material being etched is placed.
  • the excitation RF source 352 provides power to the antenna electrode 314 .
  • the controller 335 is also connected to the gas source 324 .
  • the controller 335 controls the flow of the etch gas into the etch process chamber 349 , the chamber pressure, as well as the generation of the RF power from the RF sources 348 and 352 , the ESC 308 , the antenna electrode 314 , and the exhaust pump 320 .
  • the gas distribution plate 306 is connected to the gas source 324 , and serves as a gas inlet for gas from the gas source 324 .
  • the exhaust pump 320 serves as a gas outlet removing gas, which passes from the gas distribution plate 306 through the plasma region 340 to the exhaust pump 320 .
  • the exhaust pump 320 may help to control pressure.
  • a conductor etch tool such as a Kiyo etch system made by Lam Research CorporationTM of Fremont, Calif., may be used in a preferred embodiment of the invention.
  • FIG. 4 is a high level block diagram showing a computer system 400 , which is suitable for implementing a controller 335 used in embodiments of the present invention.
  • the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer.
  • the computer system 400 includes one or more processors 402 , and further can include an electronic display device 404 (for displaying graphics, text, and other data), a main memory 406 (e.g., random access memory (RAM)), storage device 408 (e.g., hard disk drive), removable storage device 410 (e.g., optical disk drive), user interface devices 412 (e.g., keyboards, touch screens, keypads, mice or other pointing devices, etc.), and a communication interface 414 (e.g., wireless network interface).
  • the communication interface 414 allows software and data to be transferred between the computer system 400 and external devices via a link.
  • the system may also include a communications infrastructure 416 (e.g., a communications bus, cross-over bar, or network) to which the aforementioned devices/modules are connected.
  • a communications infrastructure 416 e.g., a communications bus, cross-over bar, or network
  • Information transferred via communications interface 414 may be in the form of signals such as electronic, electromagnetic, optical, or other signals capable of being received by communications interface 414 , via a communication link that carries signals and may be implemented using wire or cable, fiber optics, a phone line, a cellular phone link, a radio frequency link, and/or other communication channels.
  • the one or more processors 402 might receive information from a network, or might output information to the network in the course of performing the above-described method steps.
  • method embodiments of the present invention may execute solely upon the processors or may execute over a network such as the Internet in conjunction with remote processors that shares a portion of the processing.
  • non-transient computer readable medium is used generally to refer to media such as main memory, secondary memory, removable storage, and storage devices, such as hard disks, flash memory, disk drive memory, CD-ROM and other forms of persistent memory and shall not be construed to cover transitory subject matter, such as carrier waves or signals.
  • Examples of computer code include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter.
  • Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • FIG. 5 is a more detailed flow chart of the etch phase step (step 108 ).
  • An etch gas is flowed from the gas source 324 into the process chamber 349 (step 504 ).
  • the etch gas comprises PF 3 , CO and NO, or COF 2 .
  • the etch gas is oxidizer free.
  • the etch gas consist essentially of PF 3 or CO and NO.
  • the etch gas is then formed into a plasma (step 508 ). After the plasma is used to etch the metal layer, the flow of the etch gas is stopped (step 512 ).
  • An example of a recipe for the etch phase provides a pressure of 5-30 mTorr.
  • a flow of 50 to 200 sccm of PF 3 is flowed from the gas source into the process chamber (step 504 ).
  • a bias RF at a frequency of 2 MHz is used to provide a 100-1000 volt bias to chuck the wafer to the ESC 308 .
  • An excitation RF with a power of 500 to 1,000 Watts is provided at a frequency of 13.56 MHz through the antenna electrode 314 to form a plasma from the etch gas (step 508 ).
  • the process chamber temperature is maintained at a temperature between 20° to 120° C.
  • the wafer is maintained at temperature between 0-120° C.
  • the flow of the etch gas is stopped (step 512 ).
  • the bias RF may have a frequency of 400 kHz or 13.56 MHz.
  • FIG. 2B is a cross sectional view of the stack 200 after the etch phase is completed in a cycle.
  • Features 244 are partially etched into the metal layer 208 .
  • the etch gas causes carbon or phosphorous sidewall deposits 248 on the sidewalls and bottoms of the features 244 . These deposits may become an etch stop or in other ways may compromise the etch process.
  • FIG. 6 is a more detailed flow chart of the clean phase step (step 112 ).
  • a cleaning gas is flowed from the gas source 324 into the process chamber 349 (step 604 ).
  • the cleaning gas comprises at least one of H 2 , O 2 , CO, Cl 2 , NH 3 , NF 3 , or NO.
  • the cleaning gas consists essentially of one or more of H 2 , O 2 , CO, Cl 2 , NH 3 , NF 3 , or NO. More preferably, the cleaning gas consists essentially of H 2 .
  • the cleaning gas is then formed into a plasma (step 608 ). After the plasma is used to clean the metal layer, the flow of the cleaning gas is stopped (step 612 ).
  • An example of a recipe for the clean phase provides a pressure of 5-100 mTorr.
  • a flow of 100-500 sccm of H 2 is flowed from the gas source 324 into the process chamber 349 (step 604 ).
  • a bias RF at a frequency of 2 MHz is used to provide a 100-400 volt bias to chuck the wafer to the ESC 308 .
  • An excitation RF with a power of 500 to 1,000 Watts is provided at a frequency of 13.56 MHz through the antenna electrode 314 to form a plasma from the cleaning gas (step 608 ).
  • the process chamber temperature is maintained at a temperature between 20° to 120° C. After 2 to 10 seconds, the flow of the cleaning gas is stopped (step 612 ).
  • the bias RF may have a frequency of 400 kHz or 13.56 MHz.
  • FIG. 2C is a cross sectional view of the stack 200 after the clean phase is completed in a cycle.
  • the carbon or phosphorous sidewall deposits on the sidewalls and bottoms of the features 244 have been removed to allow for further etching.
  • cycling between the etch phase and clean phase may be performed rapidly.
  • the cycle between the etch phase and clean phase are repeated until the etch reaches the target depth (step 116 ). Additional etches and processes may be performed on the metal layer or other layers.
  • the metal layer is then removed from the chamber (step 120 ).
  • FIG. 7 is a high level flow chart of a process used in an embodiment of the invention that provides an activation phase and an etch phase.
  • a substrate with a metal layer is placed in a chamber (step 704 ).
  • An etch process is performed comprising a plurality of cycles, wherein each cycle comprises an activation phase (step 708 ) and an etch phase (step 712 ).
  • a determination is made of whether the etch is complete or should continue, such as whether the etch depth is equal to a target etch depth (step 716 ). If the etch is not complete, then the process goes back to step 708 . If the etch is complete, then the substrate and remaining metal layer are removed from the chamber (step 720 ).
  • a substrate with a metal layer is placed in a plasma processing chamber (step 704 ), such as the etch reactor 300 shown in FIG. 3 .
  • FIG. 8A is a cross-sectional view of a stack 800 with a substrate 804 over which a metal layer 808 has been formed.
  • a patterned thermal hardmask layer 812 is placed over the metal layer 808 .
  • an intermediate layer 816 is placed between the substrate 804 and the metal layer 808 .
  • One or more layers may be placed between the substrate 804 and the metal layer 808 .
  • one or more layers may be placed between the patterned thermal hardmask layer 812 and the metal layer 808 .
  • the patterned thermal hardmask layer 812 has features 836 , 840 with different aspect ratios.
  • a patterned photoresist mask may be placed above the patterned thermal hardmask layer 812 .
  • the substrate 804 is a silicon wafer
  • the metal layer 808 is iron (Fe). Additional metal layers may be used to form magnetoresistive random-access memory (MRAM) or a resistive random-access memory (RRAM) device.
  • the intermediate layer 816 may be one or more additional layers used to form MRAM or RRAM devices.
  • the patterned thermal hardmask layer 812 may be a conventional hardmask material such as SiN, SiO 2 , TiN, W, Ta, TaN or may be another material.
  • the patterned thermal hardmask layer 812 is resistant to ashing or melting at temperatures at which a precursor metal complex would vaporize. Because of this, the patterned thermal hardmask layer 812 would not be formed from a photoresist material, which melts at a temperature below 300° C.
  • FIG. 9 is a more detailed flow chart of the activation phase step (step 708 ).
  • An activation gas is flowed from the gas source 324 into the process chamber 349 (step 904 ).
  • the activation gas comprises at least one of He, H 2 , or Ar. More preferably, the activation gas consists essentially of at least one of He, H 2 , or Ar.
  • the activation gas is then formed into a plasma (step 908 ). After the plasma is used to activate the metal layer, the flow of the activation gas is stopped (step 912 ).
  • the activation step provides ion bombardment of the metal layer to create a rough intermixed layer a few monolayers deep, which will make it easier for the etch step to act on the surface.
  • An example of a recipe for the activation phase provides a pressure of 5-30 mTorr.
  • a flow of 100-500 sccm of Ar or He is flowed from the gas source into the process chamber (step 904 ).
  • a bias RF at a frequency of 2 MHz is used to provide a 100-400 volt bias to chuck the wafer to the ESC 308 .
  • An excitation RF with a power of 500 to 1,000 Watts is provided at a frequency of 13.56 MHz through the antenna electrode 314 to form a plasma from the etch gas (step 908 ).
  • the process chamber temperature is maintained at a temperature between 20° to 120° C. After 2 to 10 seconds, the flow of the activation gas is stopped (step 912 ).
  • the bias RF may have a frequency of 400 kHz or 13.56 MHz.
  • FIG. 8B is a cross sectional view of the stack 800 after the etch phase is completed in a cycle. Exposed portions of the metal layer 808 are activated becoming activated regions 844 of the metal layer 808 .
  • FIG. 10 is a more detailed flow chart of the etch phase step (step 712 ).
  • An etch gas is flowed from the gas source 324 into the process chamber 349 (step 1004 ).
  • the etch gas comprises PF 3 , CO and NO, or COF 2 . More preferably, the etch gas is oxidizer free. Most preferably, the etch gas consist essentially of PF 3 or CO and NO.
  • the etch gas is then formed into a plasma (step 1008 ). After the plasma is used to etch the metal layer, the flow of the etch gas is stopped (step 1012 ).
  • An example of a recipe for the etch phase provides a pressure of 5-30 mTorr.
  • a flow of 50 to 200 sccm of PF 3 is flowed from the gas source into the process chamber (step 1004 ).
  • a bias RF at a frequency of 2 MHz is used to provide a 100-400 volt bias to chuck the wafer to the ESC 308 .
  • An excitation RF with a power of 500 to 1,000 Watts is provided at a frequency of 13.56 MHz through the antenna electrode 314 to form a plasma from the etch gas (step 1008 ).
  • the process chamber temperature is maintained at a temperature between 20° to 120° C. After 2 to 10 seconds, the flow of the etch gas is stopped (step 1012 ).
  • the bias RF may have a frequency of 400 kHz or 13.56 MHz.
  • the resulting volatile compounds may be Pd(PF 3 ) 4 , Pt(PF 3 ) 4 , or other similar compounds for Fe, Co, Ni, Jr, or other metals. In such embodiments, dissociation of PF 3 is minimized.
  • volatile byproducts may be Co(CO) 3 NO or similar volatile compounds.
  • the addition of chlorine may further enhance the etching process by providing volatile compounds such as Ni(PF 2 Cl)) 2 .
  • FIG. 8C is a cross sectional view of the stack 800 after the etch phase is completed in a cycle.
  • Features 848 are partially etched into the metal layer 808 removing the activated regions.
  • the etch gas causes carbon or phosphorous sidewall deposits 852 on the sidewalls and bottoms of the features 848 .
  • the clean step is targeted towards cleaning this deposition.
  • cycling between the etch phase and clean phase may be performed rapidly.
  • the cycle between the etch phase and clean phase is repeated until the etch reaches the target depth (step 716 ). Additional etches and processes may be performed on the metal layer or other layers.
  • the metal layer is then removed from the chamber (step 720 ).
  • FIG. 11 is a more detailed flow chart for an etch phase (step 712 ) used in another embodiment of the invention that uses the process shown in FIG. 7 , but with a different etch phase.
  • an etch gas is flowed into the process chamber (step 1104 ).
  • the etch gas is then stopped (step 1108 ).
  • the etch gas is not transformed into a plasma.
  • the etch gas comprises PF 3 or CO and NO. More preferably, the etch gas is oxidizer free. Most preferably the etch gas consists essentially of at least one of PF 3 or CO and NO.
  • An example a recipe for the etch phase provides a pressure of 5-30 mTorr.
  • a flow of 50 to 200 sccm of PF 3 is flowed from the gas source into the process chamber.
  • a bias RF at a frequency of 2 MHz is used to provide a 100-400 volt bias to chuck the wafer to the ESC 308 .
  • An excitation RF with a power of 500 to 1,000 Watts is provided at a frequency of 13.56 MHz through the antenna electrode 314 to form a plasma from the etch gas.
  • the process chamber temperature is maintained at a temperature between 20° to 120° C. After 2 to 10 seconds, the flow of the etch gas is stopped.
  • the bias RF may have a frequency of 400 kHz or 13.56 MHz.
  • embodiments provide improved methods for etching metal layers without sputtering the metals.
  • Various embodiments provide atomic layer etch control for improved etch control.
  • embodiments of the invention provide more controlled etches to selectively etch different metal layers with respect to the mask.
  • Embodiments of the invention may also selectively etch one metal layer with respect to another metal layer.
  • Embodiments provide improved feature profile angle control and sidewall polymer control.
  • Embodiments also reduce the amount of metallic byproducts deposited on chamber walls and thus help in resolving many productivity issues like particles and RF power coupling.
  • Embodiments of the invention provide a plurality of cycles that is at least 3 cycles. Other embodiments of the invention provide a plurality of cycles that is at least 10 cycles.

Abstract

A method for etching a metal layer, comprising plurality of cycles is provided. In each cycle, an etch gas comprising PF3, CO and NO, or COF2 is flowed into a process chamber. In each cycle, the etch gas is formed into a plasma. In each cycle, the flow of the etch gas is stopped.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates to etching a layer of non volatile materials through a mask during the production of a semiconductor device. More specifically, the present invention relates to etching a metal containing layer.
  • During semiconductor wafer processing, features may be etched through a metal containing layer. In the formation of magnetoresistive random-access memory (MRAM) or resistive random-access memory (RRAM) devices, a plurality of thin metal layers or films may be sequentially etched.
  • The etching of such MRAM layers is very challenging due to extremely low vapor pressure of the etch by products formed. Some MRAM etch processes are sputter dominated processes, which cause the loss of control of profile angle and sidewall polymer buildup. These results prevent scaling down feature size and reduce device reliability.
  • SUMMARY OF THE INVENTION
  • To achieve the foregoing and in accordance with the purpose of the present invention, a method for etching a metal layer comprising plurality of cycles is provided. In each cycle, an etch gas comprising PF3, CO and NO, or COF2 is flowed into a process chamber. In each cycle, the etch gas is formed into a plasma. In each cycle, the flow of the etch gas is stopped.
  • In another manifestation of the invention, a method for etching a metal layer comprising plurality of cycles is provided. An activation phase comprises flowing an activation gas comprising He, H2, or Ar into a process chamber, forming the activation gas into a plasma, and stopping the flow of the activation gas into the process chamber. An etch phase comprises flowing an etch gas comprising PF3 or CO and NO into the process chamber, wherein the etch gas is not transformed into a plasma, and stopping the flow of the etch gas into the process chamber.
  • These and other features of the present invention will be described in more detail below in the detailed description of the invention and in conjunction with the following figures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
  • FIG. 1 is a high level flow chart of an embodiment of the invention.
  • FIGS. 2A-C are schematic views of a stack processed according to an embodiment of the invention.
  • FIG. 3 is a schematic view of an etch reactor that may be used for etching.
  • FIG. 4 illustrates a computer system, which is suitable for implementing a controller used in embodiments of the present invention.
  • FIG. 5 is more detailed flow chart of an etch phase.
  • FIG. 6 is a more detailed flow chart of a cleaning phase.
  • FIG. 7 is a high level flow chart of another embodiment of the invention.
  • FIGS. 8A-C are schematic views of a stack processed according to an embodiment of the invention.
  • FIG. 9 is more detailed flow chart of an activation phase.
  • FIG. 10 is a more detailed flow chart of an etch phase.
  • FIG. 11 is a more detailed flow chart of an etch phase in another embodiment of the invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.
  • Etch Phase and Clean Phase
  • To facilitate understanding, FIG. 1 is a high level flow chart of a process used in an embodiment of the invention. A substrate with a metal layer is placed in a chamber (step 104). An etch process is performed comprising a plurality of cycles, wherein each cycle comprises an etch phase (step 108) and a clean phase (step 112). A determination is made of whether the etch is complete or should continue, such as whether the etch depth is equal to a target etch depth (step 116). If the etch is not complete, then process goes back to step 108. If the etch is complete, then the substrate and remaining metal layer are removed from the chamber (step 120).
  • EXAMPLES
  • In an example of the invention, a substrate with a metal layer is placed in a plasma processing chamber (step 104). FIG. 2A is a cross-sectional view of a stack 200 with a substrate 204 over which a metal layer 208 has been formed. A patterned thermal hardmask layer 212 is placed over the metal layer 208. In this example, an intermediate layer 216 is placed between the substrate 204 and the metal layer 208. One or more layers may be placed between the substrate 204 and the metal layer 208. In addition, one or more layers may be placed between the patterned thermal hardmask layer 212 and the metal layer 208. In this example, the patterned thermal hardmask layer 212 has features 236, 240 with different aspect ratios. A patterned photoresist mask may be placed above the patterned thermal hardmask layer 212. In this example, the substrate 204 is a silicon wafer, and the metal layer 208 is iron (Fe). Additional metal layers may be used to form magnetoresistive random-access memory (MRAM) or a resistive random-access memory (RRAM) device. Such metal layers may be but are not limited to Co, Fe, Pd, Pt, Mn, Mg, Ru, Ta, Al, Cr, and Zr. The intermediate layer 216 may be one or more additional layers used to form MRAM or RRAM devices. The patterned thermal hardmask layer 212 may be a conventional hardmask material such as SiN or may be another material. In this embodiment, the patterned thermal hardmask layer 212 is resistant to ashing or melting at temperatures at which a precursor metal complex would vaporize. Because of this, the patterned thermal hardmask layer 212 would not be formed from a photoresist material, which melts at a temperature below 300° C.
  • FIG. 3 is a schematic view of an etch reactor that may be used in practicing the invention. In one or more embodiments of the invention, an etch reactor 300 comprises an antenna electrode 314, a gas distribution plate 306 providing a gas inlet, and an electrostatic chuck (ESC) 308, within an etch process chamber 349, enclosed by a chamber wall 350. Within the etch process chamber 349, the substrate 204 is positioned on top of the electrostatic chuck 308. The electrostatic chuck 308 provides a bias from the bias RF source 348 as an electrostatic chuck (ESC) for holding the substrate 204 or may use another chucking force to hold the substrate 204. A heat source 310, such as heat lamps, is provided to heat the metal layer. A gas source 324 is connected to the etch chamber 349 through the distribution plate 306.
  • A bias RF source 348 and an excitation RF source 352 are electrically connected to the etch process chamber 349 through a controller 335 to provide power to the antenna electrode 314 and the ESC 308. The bias RF source 348 generates bias RF power and supplies the bias RF power to the etch process chamber 349. In this example, the bias RF power has a frequency of 2 MHz. The excitation RF source 352 generates source RF power and supplies the source RF power to the etch process chamber 349. In this example, this source RF power has a frequency of 13.56 MHz.
  • The different RF signals may be supplied to various combinations of the top and bottom electrodes. Preferably, the lowest frequency of the RF should be applied through the ESC 308 on which the material being etched is placed. In this example, the excitation RF source 352 provides power to the antenna electrode 314.
  • The controller 335 is also connected to the gas source 324. The controller 335 controls the flow of the etch gas into the etch process chamber 349, the chamber pressure, as well as the generation of the RF power from the RF sources 348 and 352, the ESC 308, the antenna electrode 314, and the exhaust pump 320.
  • The gas distribution plate 306 is connected to the gas source 324, and serves as a gas inlet for gas from the gas source 324. The exhaust pump 320 serves as a gas outlet removing gas, which passes from the gas distribution plate 306 through the plasma region 340 to the exhaust pump 320. The exhaust pump 320 may help to control pressure.
  • A conductor etch tool, such as a Kiyo etch system made by Lam Research Corporation™ of Fremont, Calif., may be used in a preferred embodiment of the invention.
  • FIG. 4 is a high level block diagram showing a computer system 400, which is suitable for implementing a controller 335 used in embodiments of the present invention. The computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer. The computer system 400 includes one or more processors 402, and further can include an electronic display device 404 (for displaying graphics, text, and other data), a main memory 406 (e.g., random access memory (RAM)), storage device 408 (e.g., hard disk drive), removable storage device 410 (e.g., optical disk drive), user interface devices 412 (e.g., keyboards, touch screens, keypads, mice or other pointing devices, etc.), and a communication interface 414 (e.g., wireless network interface). The communication interface 414 allows software and data to be transferred between the computer system 400 and external devices via a link. The system may also include a communications infrastructure 416 (e.g., a communications bus, cross-over bar, or network) to which the aforementioned devices/modules are connected.
  • Information transferred via communications interface 414 may be in the form of signals such as electronic, electromagnetic, optical, or other signals capable of being received by communications interface 414, via a communication link that carries signals and may be implemented using wire or cable, fiber optics, a phone line, a cellular phone link, a radio frequency link, and/or other communication channels. With such a communications interface, it is contemplated that the one or more processors 402 might receive information from a network, or might output information to the network in the course of performing the above-described method steps. Furthermore, method embodiments of the present invention may execute solely upon the processors or may execute over a network such as the Internet in conjunction with remote processors that shares a portion of the processing.
  • The term “non-transient computer readable medium” is used generally to refer to media such as main memory, secondary memory, removable storage, and storage devices, such as hard disks, flash memory, disk drive memory, CD-ROM and other forms of persistent memory and shall not be construed to cover transitory subject matter, such as carrier waves or signals. Examples of computer code include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter. Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • An etch is performed comprising a plurality of cycles, wherein each cycle comprises an etch phase step (step 108) and a clean phase step (step 112). FIG. 5 is a more detailed flow chart of the etch phase step (step 108). An etch gas is flowed from the gas source 324 into the process chamber 349 (step 504). Preferably, the etch gas comprises PF3, CO and NO, or COF2. More preferably, the etch gas is oxidizer free. Most preferably, the etch gas consist essentially of PF3or CO and NO. The etch gas is then formed into a plasma (step 508). After the plasma is used to etch the metal layer, the flow of the etch gas is stopped (step 512).
  • An example of a recipe for the etch phase provides a pressure of 5-30 mTorr. A flow of 50 to 200 sccm of PF3 is flowed from the gas source into the process chamber (step 504). A bias RF at a frequency of 2 MHz is used to provide a 100-1000 volt bias to chuck the wafer to the ESC 308. An excitation RF with a power of 500 to 1,000 Watts is provided at a frequency of 13.56 MHz through the antenna electrode 314 to form a plasma from the etch gas (step 508). The process chamber temperature is maintained at a temperature between 20° to 120° C. The wafer is maintained at temperature between 0-120° C. After 2 to 10 seconds, the flow of the etch gas is stopped (step 512). In other embodiments, the bias RF may have a frequency of 400 kHz or 13.56 MHz.
  • FIG. 2B is a cross sectional view of the stack 200 after the etch phase is completed in a cycle. Features 244 are partially etched into the metal layer 208. The etch gas causes carbon or phosphorous sidewall deposits 248 on the sidewalls and bottoms of the features 244. These deposits may become an etch stop or in other ways may compromise the etch process.
  • FIG. 6 is a more detailed flow chart of the clean phase step (step 112). A cleaning gas is flowed from the gas source 324 into the process chamber 349 (step 604). Preferably, the cleaning gas comprises at least one of H2, O2, CO, Cl2, NH3, NF3, or NO. Preferably, the cleaning gas consists essentially of one or more of H2, O2, CO, Cl2, NH3, NF3, or NO. More preferably, the cleaning gas consists essentially of H2. The cleaning gas is then formed into a plasma (step 608). After the plasma is used to clean the metal layer, the flow of the cleaning gas is stopped (step 612).
  • An example of a recipe for the clean phase provides a pressure of 5-100 mTorr. A flow of 100-500 sccm of H2 is flowed from the gas source 324 into the process chamber 349 (step 604). A bias RF at a frequency of 2 MHz is used to provide a 100-400 volt bias to chuck the wafer to the ESC 308. An excitation RF with a power of 500 to 1,000 Watts is provided at a frequency of 13.56 MHz through the antenna electrode 314 to form a plasma from the cleaning gas (step 608). The process chamber temperature is maintained at a temperature between 20° to 120° C. After 2 to 10 seconds, the flow of the cleaning gas is stopped (step 612). In other embodiments, the bias RF may have a frequency of 400 kHz or 13.56 MHz.
  • FIG. 2C is a cross sectional view of the stack 200 after the clean phase is completed in a cycle. The carbon or phosphorous sidewall deposits on the sidewalls and bottoms of the features 244 have been removed to allow for further etching.
  • Because many of the parameters between the etch phase and the clean phase are kept constant, cycling between the etch phase and clean phase may be performed rapidly. The cycle between the etch phase and clean phase are repeated until the etch reaches the target depth (step 116). Additional etches and processes may be performed on the metal layer or other layers. The metal layer is then removed from the chamber (step 120).
  • Activation Phase and Etch Phase
  • To facilitate understanding, FIG. 7 is a high level flow chart of a process used in an embodiment of the invention that provides an activation phase and an etch phase. A substrate with a metal layer is placed in a chamber (step 704). An etch process is performed comprising a plurality of cycles, wherein each cycle comprises an activation phase (step 708) and an etch phase (step 712). A determination is made of whether the etch is complete or should continue, such as whether the etch depth is equal to a target etch depth (step 716). If the etch is not complete, then the process goes back to step 708. If the etch is complete, then the substrate and remaining metal layer are removed from the chamber (step 720).
  • EXAMPLES
  • In an example of the invention, a substrate with a metal layer is placed in a plasma processing chamber (step 704), such as the etch reactor 300 shown in FIG. 3. FIG. 8A is a cross-sectional view of a stack 800 with a substrate 804 over which a metal layer 808 has been formed. A patterned thermal hardmask layer 812 is placed over the metal layer 808. In this example, an intermediate layer 816 is placed between the substrate 804 and the metal layer 808. One or more layers may be placed between the substrate 804 and the metal layer 808. In addition, one or more layers may be placed between the patterned thermal hardmask layer 812 and the metal layer 808. In this example, the patterned thermal hardmask layer 812 has features 836, 840 with different aspect ratios. A patterned photoresist mask may be placed above the patterned thermal hardmask layer 812. In this example, the substrate 804 is a silicon wafer, and the metal layer 808 is iron (Fe). Additional metal layers may be used to form magnetoresistive random-access memory (MRAM) or a resistive random-access memory (RRAM) device. The intermediate layer 816 may be one or more additional layers used to form MRAM or RRAM devices. The patterned thermal hardmask layer 812 may be a conventional hardmask material such as SiN, SiO2, TiN, W, Ta, TaN or may be another material. In this embodiment the patterned thermal hardmask layer 812 is resistant to ashing or melting at temperatures at which a precursor metal complex would vaporize. Because of this, the patterned thermal hardmask layer 812 would not be formed from a photoresist material, which melts at a temperature below 300° C.
  • An etch is performed comprising a plurality of cycles, wherein each cycle comprises an activation phase step (step 708) and an etch phase step (step 712). FIG. 9 is a more detailed flow chart of the activation phase step (step 708). An activation gas is flowed from the gas source 324 into the process chamber 349 (step 904). Preferably, the activation gas comprises at least one of He, H2, or Ar. More preferably, the activation gas consists essentially of at least one of He, H2, or Ar. The activation gas is then formed into a plasma (step 908). After the plasma is used to activate the metal layer, the flow of the activation gas is stopped (step 912).
  • Without being bound by theory, it is believed that the activation step provides ion bombardment of the metal layer to create a rough intermixed layer a few monolayers deep, which will make it easier for the etch step to act on the surface.
  • An example of a recipe for the activation phase provides a pressure of 5-30 mTorr. A flow of 100-500 sccm of Ar or He is flowed from the gas source into the process chamber (step 904). A bias RF at a frequency of 2 MHz is used to provide a 100-400 volt bias to chuck the wafer to the ESC 308. An excitation RF with a power of 500 to 1,000 Watts is provided at a frequency of 13.56 MHz through the antenna electrode 314 to form a plasma from the etch gas (step 908). The process chamber temperature is maintained at a temperature between 20° to 120° C. After 2 to 10 seconds, the flow of the activation gas is stopped (step 912). In other embodiments, the bias RF may have a frequency of 400 kHz or 13.56 MHz.
  • FIG. 8B is a cross sectional view of the stack 800 after the etch phase is completed in a cycle. Exposed portions of the metal layer 808 are activated becoming activated regions 844 of the metal layer 808.
  • FIG. 10 is a more detailed flow chart of the etch phase step (step 712). An etch gas is flowed from the gas source 324 into the process chamber 349 (step 1004). Preferably, the etch gas comprises PF3, CO and NO, or COF2. More preferably, the etch gas is oxidizer free. Most preferably, the etch gas consist essentially of PF3or CO and NO. The etch gas is then formed into a plasma (step 1008). After the plasma is used to etch the metal layer, the flow of the etch gas is stopped (step 1012).
  • An example of a recipe for the etch phase provides a pressure of 5-30 mTorr. A flow of 50 to 200 sccm of PF3 is flowed from the gas source into the process chamber (step 1004). A bias RF at a frequency of 2 MHz is used to provide a 100-400 volt bias to chuck the wafer to the ESC 308. An excitation RF with a power of 500 to 1,000 Watts is provided at a frequency of 13.56 MHz through the antenna electrode 314 to form a plasma from the etch gas (step 1008). The process chamber temperature is maintained at a temperature between 20° to 120° C. After 2 to 10 seconds, the flow of the etch gas is stopped (step 1012). In other embodiments, the bias RF may have a frequency of 400 kHz or 13.56 MHz.
  • If the etch gas is PF3 the resulting volatile compounds may be Pd(PF3)4, Pt(PF3)4, or other similar compounds for Fe, Co, Ni, Jr, or other metals. In such embodiments, dissociation of PF3 is minimized. For embodiments with a CO and NO etch gas, volatile byproducts may be Co(CO)3NO or similar volatile compounds. The addition of chlorine may further enhance the etching process by providing volatile compounds such as Ni(PF2Cl))2.
  • FIG. 8C is a cross sectional view of the stack 800 after the etch phase is completed in a cycle. Features 848 are partially etched into the metal layer 808 removing the activated regions. The etch gas causes carbon or phosphorous sidewall deposits 852 on the sidewalls and bottoms of the features 848. The clean step is targeted towards cleaning this deposition.
  • Because many of the parameters between the etch phase and the clean phase are kept constant, cycling between the etch phase and clean phase may be performed rapidly. The cycle between the etch phase and clean phase is repeated until the etch reaches the target depth (step 716). Additional etches and processes may be performed on the metal layer or other layers. The metal layer is then removed from the chamber (step 720).
  • FIG. 11 is a more detailed flow chart for an etch phase (step 712) used in another embodiment of the invention that uses the process shown in FIG. 7, but with a different etch phase. In this embodiment, an etch gas is flowed into the process chamber (step 1104). The etch gas is then stopped (step 1108). In this embodiment, the etch gas is not transformed into a plasma. Preferably, the etch gas comprises PF3 or CO and NO. More preferably, the etch gas is oxidizer free. Most preferably the etch gas consists essentially of at least one of PF3 or CO and NO.
  • An example a recipe for the etch phase provides a pressure of 5-30 mTorr. A flow of 50 to 200 sccm of PF3 is flowed from the gas source into the process chamber. A bias RF at a frequency of 2 MHz is used to provide a 100-400 volt bias to chuck the wafer to the ESC 308. An excitation RF with a power of 500 to 1,000 Watts is provided at a frequency of 13.56 MHz through the antenna electrode 314 to form a plasma from the etch gas. The process chamber temperature is maintained at a temperature between 20° to 120° C. After 2 to 10 seconds, the flow of the etch gas is stopped. In other embodiments, the bias RF may have a frequency of 400 kHz or 13.56 MHz.
  • These embodiments provide improved methods for etching metal layers without sputtering the metals. Various embodiments provide atomic layer etch control for improved etch control. For MRAM devices that have a plurality of different thin metal layers, embodiments of the invention provide more controlled etches to selectively etch different metal layers with respect to the mask. Embodiments of the invention may also selectively etch one metal layer with respect to another metal layer. Embodiments provide improved feature profile angle control and sidewall polymer control. Embodiments also reduce the amount of metallic byproducts deposited on chamber walls and thus help in resolving many productivity issues like particles and RF power coupling. Embodiments of the invention provide a plurality of cycles that is at least 3 cycles. Other embodiments of the invention provide a plurality of cycles that is at least 10 cycles.
  • While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, modifications, and various substitute equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, and various substitute equivalents as fall within the true spirit and scope of the present invention.

Claims (18)

What is claimed is:
1. A method for etching a metal layer, comprising plurality of cycles, wherein each cycle comprises an etch phase, comprising:
flowing an etch gas comprising PF3, CO and NO, or COF2 into a process chamber;
forming the etch gas into a plasma; and
stopping the flow of the etch gas.
2. The method, as recited in claim 1, wherein each cycle further comprises an activation phase, comprising:
flowing an activation gas comprising He, H2, or Ar into the process chamber;
forming the activation gas into plasma; and
stopping the flow of the activation gas.
3. The method, as recited in claim 1, wherein each cycle further comprises a clean phase comprising:
flowing cleaning gas comprising at least one of H2, O2, CO, Cl2, NH3, NF3, or NO into the process chamber;
forming the cleaning gas into a plasma; and
stopping the flow of the cleaning gas.
4. The method, as recited in claim 3, wherein the etch gas consists essentially of CO and NO.
5. The method, as recited in claim 3, wherein the etch gas consists essentially of PF3.
6. The method, as recited in claim 5, wherein a period from the flowing the etch gas to stopping the flow of the etch gas is between 2 to 10 seconds.
7. The method, as recited in claim 6, wherein a period from flowing the cleaning gas to stopping the flow of the cleaning gas is between 2 to 10 seconds.
8. The method, as recited in claim 7, wherein the plurality of cycles is at least 10 cycles.
9. The method, as recited in claim 3, wherein a period from flowing the cleaning gas to stopping the flow of the cleaning gas is between 2 to 10 seconds.
10. The method, as recited in claim 1, wherein the etch gas consists essentially of CO and NO.
11. The method, as recited in claim 1, wherein the etch gas consists essentially of PF3.
12. The method, as recited in claim 1, wherein a period from the flowing the etch gas to stopping the flow of the etch gas is between 2 to 10 seconds.
13. The method, as recited in claim 1, wherein the plurality of cycles is at least 10 cycles.
14. A method for etching a metal layer, comprising plurality of cycles, wherein each cycle comprises:
an activation phase, comprising:
flowing an activation gas comprising He, H2, or Ar into a process chamber;
forming the activation gas into a plasma; and
stopping the flow of the activation gas into the process chamber; and
an etch phase, comprising:
flowing an etch gas comprising PF3 or CO and NO into the process chamber, wherein the etch gas is not transformed into a plasma; and
stopping the flow of the etch gas into the process chamber.
15. The method, as recited in claim 14, wherein the etch gas is oxidizer free.
16. The method, as recited in claim 15, wherein the etch gas consists essentially of PF3 or CO and NO.
17. The method, as recited in claim 14, wherein a period from the flowing the etch gas to stopping the flow of the etch gas is between 2 to 10 seconds.
18. The method, as recited in claim 14, wherein the plurality of cycles is at least 10 cycles.
US13/486,765 2012-06-01 2012-06-01 Layer-layer etch of non volatile materials using plasma Active 2032-07-06 US8608973B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US13/486,765 US8608973B1 (en) 2012-06-01 2012-06-01 Layer-layer etch of non volatile materials using plasma
SG2013038393A SG195493A1 (en) 2012-06-01 2013-05-15 Layer-layer etch of non volatile materials using plasma
TW102119224A TWI579914B (en) 2012-06-01 2013-05-30 Layer-layer etch of non volatile materials using plasma
KR1020130061712A KR20130135767A (en) 2012-06-01 2013-05-30 Layer-layer etch of non volatile materials using plasma

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/486,765 US8608973B1 (en) 2012-06-01 2012-06-01 Layer-layer etch of non volatile materials using plasma

Publications (2)

Publication Number Publication Date
US20130323932A1 true US20130323932A1 (en) 2013-12-05
US8608973B1 US8608973B1 (en) 2013-12-17

Family

ID=49670743

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/486,765 Active 2032-07-06 US8608973B1 (en) 2012-06-01 2012-06-01 Layer-layer etch of non volatile materials using plasma

Country Status (4)

Country Link
US (1) US8608973B1 (en)
KR (1) KR20130135767A (en)
SG (1) SG195493A1 (en)
TW (1) TWI579914B (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140024138A1 (en) * 2012-07-20 2014-01-23 Hyungjoon Kwon Method for etching metal layer and method for manufacturing a semiconductor device using the same
KR20200003941A (en) * 2017-05-31 2020-01-10 램 리써치 코포레이션 Porous low-k dielectric etch
US20200243759A1 (en) * 2017-10-27 2020-07-30 Tokyo Electron Limited Method of etching
CN114175214A (en) * 2019-11-08 2022-03-11 东京毅力科创株式会社 Etching method
US11417535B2 (en) * 2019-11-08 2022-08-16 Tokyo Electron Limited Etching method and plasma processing apparatus
TWI778649B (en) * 2020-07-09 2022-09-21 日商昭和電工股份有限公司 Etching method and manufacturing method of semiconductor element
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
US11600501B2 (en) 2019-11-08 2023-03-07 Tokyo Electron Limited Etching method and plasma processing apparatus

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014049466A (en) * 2012-08-29 2014-03-17 Tokyo Electron Ltd Etching processing method and substrate processing apparatus
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US9984923B2 (en) 2016-06-30 2018-05-29 International Business Machines Corporation Barrier layers in trenches and vias
WO2019007871A1 (en) 2017-07-05 2019-01-10 Basf Se Process for the etching metal- or semimetal-containing materials
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10766057B2 (en) * 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US11177162B2 (en) 2019-09-17 2021-11-16 International Business Machines Corporation Trapezoidal interconnect at tight BEOL pitch

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070193973A1 (en) * 2006-02-17 2007-08-23 Lam Research Corporation Infinitely selective photoresist mask etch
US20100075491A1 (en) * 2008-09-19 2010-03-25 Casio Computer Co., Ltd. Dry etching method of silicon compound film

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8124541B2 (en) 2007-04-04 2012-02-28 Micron Technology, Inc. Etchant gas and a method for removing material from a late transition metal structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070193973A1 (en) * 2006-02-17 2007-08-23 Lam Research Corporation Infinitely selective photoresist mask etch
US20100075491A1 (en) * 2008-09-19 2010-03-25 Casio Computer Co., Ltd. Dry etching method of silicon compound film

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140024138A1 (en) * 2012-07-20 2014-01-23 Hyungjoon Kwon Method for etching metal layer and method for manufacturing a semiconductor device using the same
KR20200003941A (en) * 2017-05-31 2020-01-10 램 리써치 코포레이션 Porous low-k dielectric etch
KR102615854B1 (en) * 2017-05-31 2023-12-19 램 리써치 코포레이션 Porous low-k dielectric etch
US20200243759A1 (en) * 2017-10-27 2020-07-30 Tokyo Electron Limited Method of etching
CN114175214A (en) * 2019-11-08 2022-03-11 东京毅力科创株式会社 Etching method
US11417535B2 (en) * 2019-11-08 2022-08-16 Tokyo Electron Limited Etching method and plasma processing apparatus
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
US11551937B2 (en) 2019-11-08 2023-01-10 Tokyo Electron Limited Etching method
US11600501B2 (en) 2019-11-08 2023-03-07 Tokyo Electron Limited Etching method and plasma processing apparatus
US11615964B2 (en) 2019-11-08 2023-03-28 Tokyo Electron Limited Etching method
TWI778649B (en) * 2020-07-09 2022-09-21 日商昭和電工股份有限公司 Etching method and manufacturing method of semiconductor element

Also Published As

Publication number Publication date
SG195493A1 (en) 2013-12-30
US8608973B1 (en) 2013-12-17
TWI579914B (en) 2017-04-21
KR20130135767A (en) 2013-12-11
TW201407682A (en) 2014-02-16

Similar Documents

Publication Publication Date Title
US8608973B1 (en) Layer-layer etch of non volatile materials using plasma
US9391267B2 (en) Method to etch non-volatile metal materials
US9659783B2 (en) High aspect ratio etch with combination mask
TWI774742B (en) Atomic layer etching of silicon nitride
US9257300B2 (en) Fluorocarbon based aspect-ratio independent etching
US9673058B1 (en) Method for etching features in dielectric layers
US20140051256A1 (en) Etch with mixed mode pulsing
US10535531B2 (en) Method of cyclic plasma etching of organic film using carbon-based chemistry
US20180315615A1 (en) Method of cyclic plasma etching of organic film using sulfur-based chemistry
US20140179106A1 (en) In-situ metal residue clean
TWI809086B (en) Etching method and plasma processing apparatus
US10002773B2 (en) Method for selectively etching silicon oxide with respect to an organic mask
TWI806871B (en) Porous low-k dielectric etch
KR102412439B1 (en) Selective oxide etching method for self-aligned multiple patterning
US20130270227A1 (en) Layer-layer etch of non volatile materials
US20150037979A1 (en) Conformal sidewall passivation
US9418869B2 (en) Method to etch a tungsten containing layer
KR20180120118A (en) Method of cyclic plasma etching of organic film using sulfur and/or carbon-based chemistry
KR102448699B1 (en) Selective nitride etching method for self-aligned multiple patterning
WO2022154901A1 (en) Metal etch

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GUHA, JOYDEEP;REEL/FRAME:028395/0073

Effective date: 20120601

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8