TWI771061B - 封裝結構及封裝方法 - Google Patents

封裝結構及封裝方法 Download PDF

Info

Publication number
TWI771061B
TWI771061B TW110121644A TW110121644A TWI771061B TW I771061 B TWI771061 B TW I771061B TW 110121644 A TW110121644 A TW 110121644A TW 110121644 A TW110121644 A TW 110121644A TW I771061 B TWI771061 B TW I771061B
Authority
TW
Taiwan
Prior art keywords
redistribution structure
package
conductive
semiconductor device
passive
Prior art date
Application number
TW110121644A
Other languages
English (en)
Other versions
TW202201668A (zh
Inventor
鄭心圃
翁得期
莊博堯
陳碩懋
周孟緯
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202201668A publication Critical patent/TW202201668A/zh
Application granted granted Critical
Publication of TWI771061B publication Critical patent/TWI771061B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/071Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3142Sealing arrangements between parts, e.g. adhesion promotors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/01Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate comprising only passive thin-film or thick-film elements formed on a common insulating substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS
    • H01L29/945Trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/33Thin- or thick-film capacitors 
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/3205Shape
    • H01L2224/32057Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32137Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/8101Cleaning the bump connector, e.g. oxide removal step, desmearing
    • H01L2224/81011Chemical cleaning, e.g. etching, flux
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/831Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
    • H01L2224/83102Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus using surface energy, e.g. capillary forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • H01L2924/15321Connection portion the connection portion being formed on the die mounting surface of the substrate being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/19011Structure including integrated passive components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Abstract

在一實施例中的一種封裝結構包括:一第一半導體裝置及一第二半導體裝置。第一半導體裝置藉由複數個第一導電連接件而結合至一第一重分佈結構之一第一側,上述第一半導體裝置包括形成在一第一基板上的複數個第一被動元件,上述第一重分佈結構包括複數個介電層,上述介電層中包含複數個金屬化圖樣,上述第一重分佈結構的金屬化圖樣係電性耦接至上述數個第一被動元件。第二半導體裝置藉由複數個第二導電連接件而結合至上述第一重分佈結構之一第二側,第一重分佈結構之第二側係與第一重分佈結構之第一側相對,上述第二半導體裝置包括形成在一第二基板上的複數個第二被動元件,上述第一重分佈結構的金屬化圖樣係電性耦接至上述數個第二被動元件。

Description

封裝結構及封裝方法
本揭露實施例係有關於一種封裝結構,特別係有關於一種包含半導體裝置的封裝結構。
半導體裝置被用在各式電子產品中,例如:個人電腦、手機、數位相機、及其他電子設備。通常藉由依序沉積數個絕緣或介電層、數個導電層、及數個半導體層之材料在半導體基板上,且利用微影及蝕刻製程來圖樣化各式材料層,以在其上形成電路構件及元件來製造半導體裝置。
半導體產業持續地改良各式電子構件(例如:電晶體、二極體、電阻器、電容器等)之積體密度,藉由持續縮減最小特徵尺寸,以容許將更多構件整合在既定區域中。然而,隨著最小特徵尺寸的縮減,在所使用的各自製程中產生了額外的問題,且這些額外的問題應被處理。
本揭露實施例提供一種封裝結構,包括:一第一半導體裝置、一第一電連接件、一第一密封劑、一第二半導體裝置、一第二電連接件、以及一第二密封劑。第一半導體裝置藉由複數個第一導電連接件而結合至一第一重分佈結構之一第一側,第一半導體裝置包括形成在一第一基板上的複數個第一被動元件,第一重分佈結構包括複數個介電層,上述介電層中包含複數個金屬化圖樣,第一重分佈結構的金屬化圖樣係電性耦接至第一被動元件。第一電連接件在第一重分佈結構之第一側上,第一電連接件係與第一半導體裝置間隔分開。第一密封劑在第一半導體裝置及第一電連接件的側壁上。第二半導體裝置藉由複數個第二導電連接件而結合至第一重分佈結構之一第二側,第一重分佈結構之第二側係與第一重分佈結構之第一側相對,第二半導體裝置包括形成在一第二基板上的複數個第二被動元件,第一重分佈結構的金屬化圖樣係電性耦接至第二被動元件。第二電連接件在第一重分佈結構之第二側上,第二電連接件係與第二半導體裝置間隔分開。第二密封劑在第二半導體裝置及第二電連接件的側壁上。
本揭露實施例提供一種封裝結構,包括:一第一被動封裝、一第一積體電路封裝、一第一密封劑、以及一第四重分佈結構。第一被動封裝包括:一第一封裝構件、一第二封裝構件、以及一第三封裝構件。第一封裝構件包括一第一被動晶粒、一第二被動晶粒、一第一重分佈結構、以及一第一電連接件。第一被動晶粒及第二被動晶粒係結合至第一重分佈結構之兩側。第二封裝構件結合至第一封裝構件,第二封裝構件包括一第三被動晶粒、一第四被動晶粒、一第二重分佈結構、一第二電連接件、以及一第三電連接件。第三被動晶粒及第四被動晶粒係結合至第二重分佈結構之兩側,第二電連接件藉由一第一焊料凸塊而結合至第一電連接件。第三封裝構件結合至第二封裝構件,第三封裝構件包括一第五被動晶粒、一第三重分佈結構、以及一第四電連接件。第五被動晶粒係結合至第三重分佈結構之一第一側,第四電連接件藉由一第二焊料凸塊而結合至第三電連接件。第一重分佈結構、第二重分佈結構及第三重分佈結構之每一者包括複數個介電層,上述介電層中包含複數個金屬化圖樣。第一被動晶粒、第二被動晶粒、第三被動晶粒、第四被動晶粒及第五被動晶粒之每一者包括複數個被動裝置。第一積體電路封裝包括至少一積體電路晶粒,上述至少一積體電路晶粒包括複數個主動裝置。第一密封劑至少橫向地密封第一被動封裝及第一積體電路封裝。第四重分佈結構在第一密封劑、第一積體電路封裝、以及第一被動封裝上,第四重分佈結構包括複數個介電層,上述介電層中包含複數個金屬化圖樣,第四重分佈結構之金屬化圖樣係電性耦接至第一積體電路封裝及第一被動封裝。
本揭露實施例提供一種封裝方法,包括:形成一第一封裝構件;形成一第二封裝構件;以一第一組導電連接件將第一封裝構件結合至第二封裝構件,第一組導電連接件之至少一者電性接觸第一電連接件及第二電連接件;將一第一底部填充料形成在第一封裝構件與第二封裝構件之間,第一底部填充料圍繞第一組導電連接件;移除第三載體基板;以及將一第二組導電連接件形成在第二重分佈結構上方,第二組導電連接件係在第二重分佈結構之一相反側,與第三半導體裝置相同側。形成一第一封裝構件包括:將一第一重分佈結構形成在一第一載體基板上方,第一重分佈結構包括複數個介電層,上述介電層中包含複數個金屬化圖樣;將一第一半導體裝置結合至第一重分佈結構,第一半導體裝置包括複數個第一被動元件;將一第一電連接件形成在第一重分佈結構上方;以一第一密封劑密封第一半導體裝置及第一電連接件;移除第一載體基板;將第一密封劑附接至一第二載體基板;將一第二半導體裝置結合至第一重分佈結構,第二半導體裝置包括複數個第二被動元件,第二半導體裝置及第一半導體裝置係結合至第一重分佈結構之兩側;將一第二電連接件形成在第一重分佈結構上方;以一第二密封劑密封第二半導體裝置及第二電連接件;以及移除第二載體基板。形成一第二封裝構件包括:將一第二重分佈結構形成在一第三載體基板上方,第二重分佈結構包括複數個介電層,上述介電層中包含複數個金屬化圖樣;將一第三半導體裝置結合至第二重分佈結構,第三半導體裝置包括複數個第三被動元件;將一第三電連接件形成在第二重分佈結構上方;以及以一第三密封劑密封第三半導體裝置及第三電連接件。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,與空間相關用詞,例如「在…下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
本文所討論的實施例可在一特定背景下討論,亦即一封裝結構(例如:整合扇出型(integrated fan-out,InFO)封裝結構或基板晶圓晶片疊合(chip-on-wafer-on-substrate,CoWoS)封裝結構),具有一或多個半導體裝置垂直地堆疊且連接,以有效地形成較大的半導體裝置。在一些實施例中,半導體裝置可為一積體被動裝置(integrated passive devices,IPD),包括複數個電容器,例如:深槽電容(deep trench capacitors,DTCs)、金屬氧化層金屬(metal-oxide-metal,MOM)電容、金屬絕緣層金屬(metal-insulator-metal,MIM)電容等、或上述之組合。垂直堆疊的半導體裝置可藉由焊料連接及/或通孔連接而電性耦接在一起。藉由具有垂直堆疊的積體被動裝置(IPD),可形成高效率電容器,可作為去耦電容器。並且,包括垂直堆疊且耦接的一或多個電容器之封裝結構可提供較低等效串聯電阻(equivalent series resistance,ESR)之電容器。
進一步地,本揭露之教示可應用於任何積體被動裝置(IPD)封裝結構。其他實施例考慮了其他應用,例如:本技術領域中具有通常知識者可在閱讀本揭露之後輕易地聯想到不同的封裝種類或不同的配置。應被強調的是,本文所討論的實施例未必繪示出可呈現在結構中的每一構件或特徵。舉例來說,當其中一個構件之討論已足夠傳達實施例的樣態時,圖式可省略其他多個相同的構件。進一步地,本文所討論的方法實施例可以特定順序執行,然而,可以任何合理的順序來執行其他方法實施例。
第1圖至第5圖繪示根據一些實施例之半導體裝置100製造的各個中間階段的剖面圖。第1圖繪示半導體裝置100之邊緣部的剖面圖,其中側壁101為半導體裝置100的邊緣。在一些實施例中,半導體裝置100包括一基板102。基板102可包括例如:塊體矽(bulk silicon)、摻雜或無摻雜、或絕緣層上覆半導體(semiconductor-on-insulator,SOI)基板之主動層。一般而言,絕緣層上覆半導體(SOI)基板包括一層半導體材料,例如:矽,形成在一絕緣層上。上述絕緣層可為例如:埋入式氧化物(buried oxide,BOX)層或氧化矽層。絕緣層係提供在一基板上,例如:矽或玻璃基板。替代地,基板102可包括其他元素的半導體(例如:鍺)、化合物半導體(包括:碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、合金半導體(包括:矽鍺、磷化砷化鎵、砷化鋁銦、砷化鎵鋁、砷化鎵銦、磷化鎵銦、及/或砷磷化鎵銦)、或上述之組合。亦可使用其他基板,例如:多層或梯度基板。
在一些實施例中,一或多個凹部104(在第1圖中以兩個凹部104繪示)形成在基板102中。在一些實施例中,基板102可利用適合的光微影或蝕刻方法來圖樣化(圖案化),以形成凹部104。舉例來說,一光阻劑(圖未示)可在基板102上方形成且圖樣化,且可運用一或多個蝕刻製程(例如:乾蝕刻製程)以在所需凹部104之處移除基板102之對應部分。在一些實施例中,凹部104可具有寬度W1 ,介於約20奈米至約2000奈米之間。在一些實施例中,凹部104可具有深度D1 ,介於約500奈米至約10000奈米之間。在一些實施例中,W1 / D1 的比例介於約0.002至約4之間。如以下將更詳述的,深槽電容(deep trench capacitors,DTCs)形成在凹部104中。
請參照第2圖,深槽電容(DTCs)係形成在凹部104中(請見第1圖)。在一些實施例中,襯墊層110係形成在基板102上方,且沿著凹部104的側壁及底部。在一些實施例中,襯墊層110可包括介電材料,例如:氧化矽、氮氧化矽(SiON)、碳氮氧化矽(SiCON)、上述之組合等,且可利用原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)、上述之組合等而形成。在一些實施例中,襯墊層110具有介於約5奈米至約100奈米之間的厚度。在一些實施例中,襯墊層110被圖樣化以顯露基板102之頂部表面。在一些實施例中,上述圖樣化製程可包括適合的光微影及蝕刻方法。
在一些實施例中,在形成襯墊層110之後,以交替方式在凹部104中(請見第1圖)形成導電層112A-112D及介電層114A-114D。導電層112A-112D亦可稱為電容電極112A-112D。在一些實施例中,導電層112A至導電層112D之每一者可包括導電材料,例如:摻雜矽、多晶矽、銅、鎢、鋁或銅合金、鈦、氮化鈦、鉭、氮化鉭、上述之組合等,且可利用電鍍、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(ALD)、化學氣相沉積(CVD)、上述之組合等而形成。在一些實施例中,導電層112A至導電層112D之每一者具有介於約10奈米至約100奈米之間的厚度。在一些實施例中,介電層114A至介電層114D之每一者可包括高介電常數介電材料,例如:氧化鋁、氧化鋯、上述之組合、上述之多層等。在一實施例中,介電層114A至介電層114D之每一者包括多層,包含兩層氧化鋯及一層氧化鋁,氧化鋁插入在兩層氧化鋯之間。在一些實施例中,介電層114A至介電層114D之每一者具有介於約0.3奈米至約50奈米之間的厚度。
在一些實施例中,在襯墊層110上方形成導電層112A之後,導電層112A被圖樣化以顯露襯墊層110之部分頂部表面。在一些實施例中,上述圖樣化製程可包括適合的光微影及蝕刻方法。接著,複數個間隔件116A沿著導電層112A之兩側側壁而形成。間隔件116A之每一者可包括介電材料,例如:氧化矽、氮化矽、氮氧化矽、上述之組合、上述之多層等。在一些實施例中,間隔件116A係藉由利用原子層沉積(ALD)、化學氣相沉積(CVD)、上述之組合等來層狀(blanket)沉積介電材料,且非等向地蝕刻介電材料以移除介電材料的水平部分而形成。剩餘的介電材料之垂直部分則形成間隔件116A。在一些實施例中,間隔件116A之每一者具有介於約5奈米至約50奈米之間的寬度。接著,介電層114A係形成在導電層112A及間隔件116A上方。在一些實施例中,介電層114A被圖樣化以移除介電層114A延伸超過間隔件116A的部分。在一些實施例中,上述圖樣化製程可包括適合的光微影及蝕刻方法。
接下來,導電層112B係層狀形成在介電層114A及基板102上方。然後,導電層112B係被圖樣化以顯露介電層114A之部分頂部表面。在一些實施例中,上述圖樣化製程可包括適合的光微影及蝕刻方法。接著,複數個間隔件116B沿著導電層112B之兩側側壁而形成。在一些實施例中,間隔件116B可利用與間隔件116A相似的材料及方法形成,在此不再重複敘述。在一些實施例中,間隔件116B之每一者具有介於約5奈米至約50奈米之間的寬度。接著,介電層114B係形成在導電層112B及間隔件116B上方。在一些實施例中,介電層114B被圖樣化以移除介電層114B延伸超過間隔件116B的部分。在一些實施例中,上述圖樣化製程可包括適合的光微影及蝕刻方法。
接下來,重複上述關於形成導電層112B、間隔件116B及介電層114B的製程步驟,以在介電層114B上方形成導電層112C、間隔件116C及介電層114C,且形成導電層112D、間隔件116D及介電層114D。在一些實施例中,間隔件116C及間隔件116D可利用與間隔件116A相似的材料及方法形成,在此不再重複敘述。在一些實施例中,間隔件116C之每一者具有介於約5奈米至約50奈米之間的寬度。在一些實施例中,間隔件116D之每一者具有介於約5奈米至約50奈米之間的寬度。在第2圖繪示的實施例中,深槽電容121具有四個電容電極。進一步地,在第2圖繪示的實施例中,深槽電容121係形成在基板102中兩個分開的凹部104中。在其他實施例中,基於對深槽電容121之設計需求,深槽電容121可具有多於或少於四個的電容電極,及/或可僅形成在單一凹部104中。如本技術領域中具有通常知識者可瞭解的,上述用於形成深槽電容之製程僅為形成深槽電容之一種方法,且其他方法亦欲包含在實施例之範疇內。
再參照第2圖,在基板102中形成深槽電容121之後,凹部104(請見第1圖)的剩餘部分係以介電材料118填充。在一些實施例中,介電材料118可包括氧化物例如:氧化矽、氮化物例如:氮化矽、上述之組合、上述之多層等。在一些實施例中,介電材料118被圖樣化以移除介電材料118延伸超過間隔件116D的部分。在一些實施例中,上述圖樣化製程可包括適合的光微影及蝕刻方法。
在一些實施例中,在形成及圖樣化介電材料118之後,蝕刻終止層120係形成在深槽電容121上方。在一些實施例中,蝕刻終止層120可包括一或多層介電材料。適合的介電材料可包括氧化物(例如:氧化矽、氧化鋁等)、氮化物(例如:氮化矽等)、氮氧化物(例如:氮氧化矽等)、碳氧化物(例如:碳氧化矽等)、碳氮化物(例如:碳氮化矽等)、碳化物(例如:碳化矽等)、上述之組合等,且可利用旋轉塗布、化學氣相沉積(CVD)、電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD)、原子層沉積(ALD)、上述之組合等而形成。在一些實施例中,蝕刻終止層120具有介於約3奈米至約30奈米之間的厚度。在一些實施例中,蝕刻終止層120係用以協助形成導電貫孔(conductive vias),提供深槽電容121之導電層112A至導電層112D的電性連接。蝕刻終止層120亦可稱為接觸蝕刻終止層(contact etch stop layer,CESL)。
請參照第3圖至第5圖,在形成深槽電容121之後,互連結構係形成在基板102及深槽電容121上方。在一些實施例中,互連結構包括複數個介電層,包含複數個導電特徵埋設在複數個介電層中。在第3圖繪示的實施例中,互連結構包括介電層122及介電層128,介電層122包含埋設在介電層122中的導電貫孔124A至導電貫孔124E,介電層128包含埋設在介電層128內的導電線130A至導電線130C。
在一些實施例中,介電層122及介電層128可包括低介電常數介電材料,例如:磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,PBSG)、氟矽酸鹽玻璃(fluorosilicate glass,FSG)、碳氧化矽、旋塗玻璃、旋塗聚合物、矽碳材料、上述之化合物、上述之複合物、上述之組合等,且可藉由任何適合的方法例如:旋轉塗布、化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、上述之組合等而形成。上述導電特徵(例如:導電貫孔124A至導電貫孔124E及導電線130A至導電線130C)可利用任何適合的方法形成,例如:金屬鑲嵌法等。在一些實施例中,形成導電特徵的步驟包括在各自的介電層中形成複數個開口、在開口中沉積一或多個障壁/接著層(圖未示)、在一或多個障壁/接著層上方沉積複數個種晶層(圖未示)、以及用導電材料填充開口。然後執行化學機械研磨(chemical mechanical polishing,CMP)以移除過度填充開口之一或多個障壁/接著層、種晶層、及導電材料的多餘材料。
在一些實施例中,上述一或多個障壁/接著層可包括鈦、氮化鈦、鉭、氮化鉭、上述之組合等,且可利用物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、上述之組合等而形成。種晶層可包括銅、鈦、鎳、金、錳、上述之組合等,且可利用電鍍、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、濺鍍、上述之組合等而形成。導電材料可包括銅、鋁、鎢、上述之組合、上述之合金等,且可利用例如:電鍍或其他適合的方法而形成。
在一些實施例中,互連結構更包括蝕刻終止層126,形成在介電層122與介電層128之間。選擇蝕刻終止層126之材料使得蝕刻終止層126之蝕刻速率小於對應的介電層122及介電層128之蝕刻速率。在一些實施例中,蝕刻終止層126之蝕刻速率小於介電層128之蝕刻速率。在一些實施例中,蝕刻終止層126之蝕刻速率小於介電層122之蝕刻速率。在一些實施例中,蝕刻終止層126可包括與以上參照第2圖所述之蝕刻終止層120相似的材料,在此不再重複敘述。
在一些實施例中,導電貫孔124A延伸通過介電層122、蝕刻終止層120及襯墊層110,且物理性接觸基板102。導電貫孔124A將基板102電性耦接至導電線130A。導電貫孔124B延伸通過介電層122、蝕刻終止層120及介電層114A,且物理性接觸導電層112A。導電貫孔124B將導電層112A電性耦接至導電線130B。導電貫孔124C延伸通過介電層122、蝕刻終止層120及介電層114C,且物理性接觸導電層112C。導電貫孔124C將導電層112C電性耦接至導電線130B。導電貫孔124D延伸通過介電層122、蝕刻終止層120、介電材料118及介電層114D,且物理性接觸導電層112D。導電貫孔124D將導電層112D電性耦接至導電線130C。導電貫孔124E延伸通過介電層122、蝕刻終止層120、介電材料118、介電層114B至介電層114D及導電層112C及導電層112D,且物理性接觸導電層112B。導電貫孔124E將導電層112B電性耦接至導電線130C。在第3圖繪示的實施例中,導電貫孔124A至導電貫孔124E部分地延伸入相應的一個導電層112A至導電層112D。在其他實施例中,一或多個導電貫孔124A至導電貫孔124E可完全延伸通過相應的一個導電層112A至導電層112D。
在一些實施例中,導電線130B可代表深槽電容121之底部電極(例如:較低電位的電容電極),且導電線130C可代表深槽電容121之頂部電極(例如:較高電位的電容電極)。然而,在一些實施例中,這些方位可顛倒。
再參照第3圖,在一些實施例中,靠近半導體裝置100邊緣101的互連結構之一些導電特徵形成一密封環結構132。在第3圖繪示的實施例中,密封環結構132包括導電貫孔124A及導電線130A。在一些實施例中,密封環結構132沿著半導體裝置100之邊緣101延伸,且在平面視角中環繞半導體裝置100之一內部部分。
第4圖繪示包含多個深槽電容121在基板102中的實施例,且繪示深槽電容121之間的示例性電性連接。在第4圖中,在第一區600中有一深槽電容121,且在第二區602中有另一深槽電容121。每一深槽電容121係如上述而形成,且可同時形成。
在一些實施例中,兩相鄰深槽電容121係電性耦接,使得兩深槽電容121之導電線130B(例如:底部電極)係藉由線134B耦接在一起,且兩深槽電容121之導電線130C(例如:頂部電極)係藉由線134A耦接在一起。因此,在此配置中,兩深槽電容係並聯耦接,且可提供較大的有效電容,滿足設計需求。在一些實施例中,線134A及線134B可藉由在第3圖及第4圖繪示的互連結構中形成包含更多埋設的導電特徵之更多介電層來實現。
第5圖繪示在第3圖及第4圖之結構上進一步的製程,以完成互連結構。在第5圖中,包含更多埋設的導電特徵之一或多個介電層係形成在介電層128及導電線130A至導電線130C上方,以將導電線130A至導電線130C連接至所需配置。在第5圖中,介電層140形成在這些一或多個介電層上方,且具有導電線142埋設其中。導電線142可電性耦接至下方的導電特徵,以達成所需的電性配置。這些覆蓋在上方的介電層及導電特徵可相似於上述的介電層122、介電層126及介電層128及導電線130A至導電線130C,在此不再重複敘述。
再參照第5圖,複數個接觸墊144形成在互連結構上方。接觸墊144電性接觸一或多個各自的導電線142。在一些實施例中,接觸墊144可包括導電材料,例如:鋁、銅、鎢、銀、金、上述之組合等。在一些實施例中,導電材料可利用例如:物理氣相沉積(PVD)、原子層沉積(ALD)、電化電鍍、無電電鍍、上述之組合等而形成在互連結構上方。接著,導電材料被圖樣化以形成接觸墊144。在一些實施例中,導電材料可利用適合的光微影及蝕刻方法來圖樣化。
再參照第5圖,鈍化層146形成在互連結構及接觸墊144上方。在一些實施例中,鈍化層146可包括一或多層非光圖案化(non-photo-patternable)絕緣材料、一或多層光圖案化絕緣材料、上述之組合等。上述非光圖案化絕緣材料可包括氮化矽、氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸玻璃(borosilicate glass,BSG)、摻硼磷矽玻璃(boron-doped phosphosilicate glass,BPSG)、上述之組合等,且可利用化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、旋轉塗布製程、上述之組合等而形成。上述光圖案化絕緣材料可包括聚苯噁唑(PBO)、聚亞醯胺(PI)、苯環丁烯(BCB)、上述之組合等,且可利用旋轉塗布製程等而形成。在一些實施例中,鈍化層146具有介於約5奈米至約50奈米之間的厚度。
在一些實施例中,複數個開口形成在鈍化層146中,以各自顯露接觸墊144之部分。在一些實施例中,鈍化層146可利用適合的光微影及蝕刻方法來圖樣化。在一些實施例中,上述開口具有介於約500奈米至約5000奈米之間的寬度。
第5圖亦繪示凸塊下金屬(underbump metallizations,UBMs)148形成在接觸墊144上方。在一些實施例中,每一凸塊下金屬148可包括多層導電材料,例如:一層鈦、一層銅、及一層鎳。然而,本技術領域中具有通常知識者可瞭解到許多適合的材料及層之排列,例如:鉻/鉻銅合金/銅/金之排列、鈦/鈦鎢/銅之排列、銅/鎳/金之排列,皆適合用於形成凸塊下金屬148。任何適合用於凸塊下金屬148之材料或材料層皆完整包含在本案之範疇內。
凸塊下金屬148之形成可包括形成在鈍化層146上方的遮罩層(圖未示)。在一些實施例中,遮罩層包括一光阻劑等,且被圖樣化以在遮罩層中形成複數個開口。在一些遮罩層包括光阻劑的實施例中,圖樣化製程可包括適合的光微影方法。上述開口顯露鈍化層146中的開口。
在遮罩層中形成開口之後,一導電層形成在遮罩層及遮罩層與鈍化層146中的結合開口的側壁及底部上方。在一些實施例中,導電層包括鈦、銅、鎳、鉻、金、鎢、上述之合金、上述之多層等,且可利用物理氣相沉積(PVD)、原子層沉積(ALD)、化學氣相沉積(CVD)、電化電鍍、無電電鍍、上述之組合等而形成。在一些實施例中,導電層具有介於約5奈米至約100奈米之間的厚度。
在形成導電層之後,遮罩層及形成在其上的部分導電層被移除。導電層之剩餘部分在接觸墊144上方形成凸塊下金屬148。在一些遮罩層包括光阻劑的實施例中,上述移除製程可包括一灰化製程以及後續的一濕清潔製程。
在第5圖中,複數個導電連接件150形成在凸塊下金屬148上方且電性耦接至凸塊下金屬148。在一些實施例中,連接件150每一者可為焊料球、可控塌陷晶片連接(controlled collapse chip connection,C4)凸塊、球柵陣列封裝(ball grid array,BGA)球、微凸塊、化學鍍鎳鈀浸金(electroless nickel-electroless palladium-immersion gold)技術形成的凸塊、銅柱、上述之組合等。在一些連接件150由焊料材料形成的實施例中,可執行一回焊製程,以將焊料材料成形為所需的凸塊形狀。在一些實施例中,在形成連接件150之後,半導體裝置100被切割以形成獨立的數個半導體裝置。上述切割製程可包括鋸切、雷射燒熔方法、蝕刻製程、上述之組合等。接著,個別半導體裝置之每一者可被測試,以識別良裸晶粒(known good dies,KGDs),用於進一步的製程。
第6圖繪示根據一些實施例之半導體裝置100之剖面圖。除了此實施例包括通孔160之外,此實施例相似於第1圖至第5圖繪示的實施例。關於此實施例相似於前述實施例的細節在此不再重複敘述。
在此實施例中,通孔160形成通過基板102及/或互連結構,以提供此半導體裝置100電性耦接至相鄰裝置(例如:此半導體裝置100上方及下方的數個裝置)的能力。在一些實施例中,通孔160僅形成通過基板102且耦接至互連結構,並可運用互連結構之導電特徵及連接件150以耦接至其他裝置。在一些實施例中,通孔160形成通過基板102及互連結構,並可運用連接件150以耦接至其他裝置。通孔160可藉由在基板102及/或互連結構中圖樣化一孔且在孔中形成導電材料而形成。上述導電材料可藉由與上述互連結構中導電特徵相似的製程而形成,在此不再重複敘述。
第7圖至第22圖繪示根據一些實施例之半導體封裝250製造的各個中間階段的剖面圖。半導體封裝250將併入一或多個半導體裝置100。複數個半導體裝置100包括複數個深槽電容121在每一半導體裝置100中。半導體封裝250之導電連接件190及重分佈結構180(請見例如:第22圖)係用以並聯方式耦接不同半導體裝置100之深槽電容121,且相較於單一半導體裝置100,提供較大的有效電容給半導體封裝250。
第7圖繪示載體基板170、在載體基板170上方的重分佈結構180、結合至重分佈結構180之半導體裝置100、以及在重分佈結構180上方的導電連接件190。載體基板170可為玻璃載體基板、陶瓷載體基板等。載體基板170可為晶圓,使得多個半導體裝置100可同時結合至載體基板170的不同區域。在一些實施例中,一接著層(圖未示)例如:一釋放層係形成在載體基板170之表面上,且重分佈結構180係形成在釋放層上。上述釋放層可由以聚合物為基底的材料形成,可與載體基板170一起被移除離開覆蓋在上方的結構,上述覆蓋在上方的結構將在後續步驟中形成。在一些實施例中,釋放層為以環氧化物為基底的熱釋放材料,此種材料在加熱時喪失其黏著性,例如:光熱轉換(light-to-heat-conversion,LTHC)釋放塗層。在其他實施例中,釋放層可為紫外線(ultro-violet,UV)膠,在暴露至紫外光時喪失其黏著性。釋放層可以液體形式分配且固化、可為積層至載體基板170上的一積層膜、或可為類似者。釋放層之頂部表面可為平坦的,且可具有高度的共面性。
重分佈結構180形成在載體170(以及釋放層,若有的話)上方。重分佈結構180包括介電質172及介電質176以及金屬化圖樣(金屬化圖案)174及金屬化圖樣178。金屬化圖樣亦可稱為重分佈層或重分佈線。作為範例,所呈現的重分佈結構180具有兩層金屬化圖樣及兩個介電層。在重分佈結構180中可形成更多或更少介電層及金屬化圖樣。若形成較少介電層及金屬化圖樣,可省略下述的步驟及製程。若形成較多介電層及金屬化圖樣,可重複下述的步驟及製程。
作為形成重分佈結構180的範例,金屬化圖樣174形成在載體170(以及釋放層或其他介電層,若有的話)上方。金屬化圖樣174包括線部分(亦稱為導電線)在載體170(以及釋放層或其他介電層,若有的話)之主要表面上且沿著主要表面延伸。在一些實施例中,介電層(圖未示)形成在金屬化圖樣174下方且金屬化圖樣174更包括貫孔部(亦稱為導電貫孔)延伸通過介電層。作為形成金屬化圖樣174的範例,一種晶層形成在載體170(以及釋放層或其他介電層,若有的話)上方。在一些實施例中,上述種晶層為一金屬層,可為單一層或包括複數個由不同材料形成之次要層的複合層。在一些實施例中,種晶層包括一鈦層及在鈦層上方的銅層。種晶層可利用例如:物理氣相沉積(PVD)等而形成。然後,光阻劑在種晶層上形成及圖樣化。光阻劑可藉由旋轉塗布等而形成,且可暴露至光源以圖樣化。光阻劑之圖樣對應至金屬化圖樣174。上述圖樣化形成通過光阻劑的開口以顯露種晶層。一導電材料然後形成在光阻劑之開口中及種晶層顯露的部分上。導電材料可藉由電鍍例如:電化電鍍或無電電鍍等而形成。導電材料可包括金屬如:銅、鈦、鎢、鋁等。導電材料及下方種晶層的部分之結合形成了金屬化圖樣174。導電材料沒有形成在其上的光阻劑及種晶層之部分被移除。光阻劑可藉由一可接受的灰化或去除(stripping)製程而移除,例如:利用氧電漿等。一旦光阻劑被移除,種晶層顯露的部分係被移除,例如:藉由可接受的蝕刻製程,例如:藉由濕或乾蝕刻。
然後形成介電層172。介電層172可沉積在金屬化圖樣174及載體170(以及釋放層或其他介電層,若有的話)上。在一些實施例中,介電層172係由光敏性材料形成,例如:聚苯噁唑(PBO)、聚亞醯胺、苯環丁烯(BCB)等,可利用微影遮罩來圖樣化。介電層172可藉由旋轉塗布、積層、化學氣相沉積(CVD)等、或上述之組合而形成。然後,介電層172被圖樣化。上述圖樣化形成複數個開口,顯露金屬化圖樣174之部分。圖樣化可藉由可接受的製程例如:當介電層172為光敏性材料時將介電層172暴露至光源,或者藉由蝕刻,利用例如:異向性蝕刻。若介電層172為光敏性材料,介電層172可在暴露之後顯影。
然後形成金屬化圖樣178。金屬化圖樣178包括線部分(亦稱為導電線)在介電層172之主要表面上且沿著主要表面延伸。金屬化圖樣178更包括貫孔部(亦稱為導電貫孔)延伸通過介電層172,以與金屬化圖樣174連接。作為形成金屬化圖樣178的範例,一種晶層形成在介電層172上方,且在延伸通過介電層172之複數個開口中。在一些實施例中,上述種晶層為一金屬層,可為單一層或包括複數個由不同材料形成之次要層的複合層。在一些實施例中,種晶層包括一鈦層及在鈦層上方的銅層。種晶層可利用例如:物理氣相沉積(PVD)等而形成。然後,光阻劑在種晶層上形成及圖樣化。光阻劑可藉由旋轉塗布等而形成,且可暴露至光源以圖樣化。光阻劑之圖樣對應至金屬化圖樣178。上述圖樣化形成通過光阻劑的開口以顯露種晶層。一導電材料然後形成在光阻劑之開口中及種晶層顯露的部分上。導電材料可藉由電鍍例如:電化電鍍或無電電鍍等而形成。導電材料可包括金屬如:銅、鈦、鎢、鋁等。導電材料及下方種晶層的部分之結合形成了金屬化圖樣178。導電材料沒有形成在其上的光阻劑及種晶層之部分被移除。光阻劑可藉由一可接受的灰化或去除(stripping)製程而移除,例如:利用氧電漿等。一旦光阻劑被移除,種晶層顯露的部分係被移除,例如:藉由利用可接受的蝕刻製程,例如:藉由濕或乾蝕刻。
在一些實施例中,金屬化圖樣178具有與金屬化圖樣174不同的尺寸。舉例來說,金屬化圖樣178之導電線及/或貫孔可相較於金屬化圖樣174之導電線及/或貫孔更寬或更厚。進一步地,金屬化圖樣178相較於金屬化圖樣174可形成有較大的節距。
然後,介電層176沉積在金屬化圖樣178及介電層172上。介電層176可以與介電層172相似的方式及相似的材料形成。
再參照第7圖,導電連接件190形成在重分佈結構180上方且電性耦接至重分佈結構180。導電連接件190容許重分佈結構180機械性及電性耦接至其他封裝結構(例如:第10圖中的其他重分佈結構)。複數個凸塊下金屬(圖未示)亦可在導電連接件190之前形成,以將導電連接件190電性耦接至重分佈結構180之金屬化圖樣。這些凸塊下金屬類似於上述的凸塊下金屬148,在此不再重複敘述。導電連接件190可相似於上述的導電連接件150,在此不再重複敘述。在一些實施例中,導電連接件190大於導電連接件150。
第7圖更繪示結合至重分佈結構180的半導體裝置100。半導體裝置100可利用例如:取放型(pick-and-place)工具而被放置在重分佈結構180上方。在一些實施例中,介電層176之部分可被圖樣化以顯露金屬化圖樣178,且凸塊下金屬或複數個結合墊(圖未示)可形成在金屬化圖樣178之顯露部分上。這些凸塊下金屬或結合墊係用以將導電連接件150電性耦接至重分佈結構180之金屬化圖樣178。
在半導體裝置100被放置到重分佈結構180上方之後,半導體裝置100係藉由導電連接件150而機械性且電性結合至重分佈結構180之金屬化圖樣178(及/或凸塊下金屬或結合墊,若有的話)。導電連接件190及重分佈結構180使得半導體裝置100之深槽電容121可以電性耦接至其他裝置。舉例來說,重分佈結構180係電性耦接至半導體裝置100之深槽電容121,且導電連接件190係電性耦接至重分佈結構180。如後續的圖式及製程所繪示,導電連接件190將作為通孔,藉由一或多個導電連接件來將重分佈結構180連接至另一重分佈結構。半導體封裝的導電連接件及重分佈結構(請見例如:第22圖)容許半導體封裝中的多個半導體裝置100可以耦接(例如:並聯)在一起。
在一些實施例中,在結合導電連接件150之前,導電連接件150塗布有一助焊劑(圖未示),例如:免洗式助焊劑(no-clean flux)。導電連接件150可被浸泡在助焊劑中或者助焊劑可被噴射至導電連接件150上。在另一實施例中,助焊劑可被施加至金屬化圖樣178(及/或凸塊下金屬或結合墊,若有的話)。
在一些實施例中,在導電連接件150回焊(reflow)之前,導電連接件150可具有選擇性的環氧樹脂助焊劑(圖未示)形成在其上,環氧樹脂助焊劑之至少一些環氧樹脂部份在半導體裝置100附接至下方半導體裝置100之後被保留。
半導體裝置100與重分佈結構180之間的結合可為焊料結合。在一實施例中,半導體裝置100係藉由回焊製程而結合至重分佈結構180。在此回焊製程期間,導電連接件150接觸金屬化圖樣178(及/或凸塊下金屬或結合墊,若有的話),以將半導體裝置100物理性及電性耦接至重分佈結構180。在結合製程之後,一介金屬化合物(intermetallic compound,IMC)(圖未示)可形成在金屬化圖樣178(及/或凸塊下金屬或結合墊,若有的話)與導電連接件150之介面。在一些實施例中,導電連接件150及導電連接件190係在相同製程期間回焊。
在第8圖中,底部填充料192形成在重分佈結構180與結合的半導體裝置100之間。底部填充料192可由液態環氧樹脂、聚合物、聚苯噁唑(PBO)、聚醯亞胺、阻焊劑、或上述之組合而形成。底部填充料可減少應力且保護由導電連接件150之回焊產生的接點。底部填充料可在半導體裝置100附接之後,藉由毛細流動製程而形成,或在半導體裝置100附接之前,藉由適合的沉積方法形成。在形成有環氧樹脂助焊劑的實施例中,環氧樹脂助焊劑可作為底部填充料。
在第9圖中,密封劑194形成在半導體裝置100、導電連接件190、及重分佈結構180上。密封劑194可為模製(molding)化合物、環氧樹脂等,且可藉由壓縮模製、轉注模製等來施加。密封劑194可形成在重分佈結構180上方,使得導電連接件190及/或半導體裝置100被掩埋或覆蓋。然後固化密封劑194。
在一些實施例中,一平坦化製程係在密封劑194上執行。上述平坦化製程可為例如:化學機械研磨(CMP)、磨光製程等。
被密封的半導體裝置100及導電連接件190形成一半導體結構200-1(有時稱為半導體層200-1)在重分佈結構180上。
在第10圖中,執行一載體基板脫離(de-bonding)以將載體基板170從重分佈結構180分離(脫離)。根據一些實施例,上述脫離包括投射一光源例如:雷射光或紫外光在釋放層(若有的話)上,使得釋放層在光源的熱之下分解,而載體基板170可被移除。分離的結構然後被翻面(flipped)且接著至另一載體基板196。半導體結構200-1可藉由接著劑198而接著至載體基板196。接著劑198可為任何適合的接著劑、環氧樹脂、晶粒黏結薄膜(die attach film,DAF)等。接著劑198可施加至半導體結構200-1或可施加在載體基板196之表面上方。
再參照第10圖,平坦化製程可在被翻面的結構上執行,以顯露重分佈結構180之金屬化圖樣174。上述平坦化製程亦可磨光重分佈結構之介電層172。金屬化圖樣174及介電層172之頂部表面在平坦化製程後為共平面。上述平坦化製程可為例如:化學機械研磨(CMP)、磨光製程等。在一些實施例中,可省略平坦化,例如:若金屬化圖樣174已顯露。
在第11圖中,半導體結構200-2形成在重分佈結構180上方且結合至重分佈結構180,以形成半導體封裝211。半導體封裝211包括半導體結構200-2、重分佈結構180、及半導體結構200-1。此半導體結構200-2之形成類似於上述半導體結構200-1,在此不再重複敘述。如第11圖所繪示,半導體裝置100係以面對面(face-to-face,F2F)的配置而結合至相同的重分佈結構。
在第12圖中,執行一載體基板脫離以將載體基板196從半導體封裝211分離(脫離)。根據一些實施例,上述脫離包括投射一光源例如:雷射光或紫外光在釋放層(若有的話)上,使得釋放層在光源的熱之下分解,而載體基板196可被移除。接著層198被移除,且被分離的結構然後被放置在膠帶210上。
第13圖繪示另一重分佈結構180及一半導體結構200-3在接著層214及載體基板212上方。此半導體結構200-3之形成類似於上述半導體結構200-1,在此不再重複敘述。第13圖繪示相似於上述第10圖所述的製程之中間階段,在此不再重複敘述形成此中間階段的製程。
在第14圖中,半導體結構200-4形成在重分佈結構180及第13圖之半導體結構200-3上方,以形成半導體封裝213。半導體封裝213包括半導體結構200-3、重分佈結構180、以及半導體結構200-4。此半導體結構200-4之形成類似於上述半導體結構200-1(除了半導體結構200-4不包括導電連接件190),在此不再重複敘述。
在第15圖中,執行一載體基板脫離以將載體基板212從半導體封裝213分離(脫離)。根據一些實施例,上述脫離包括投射一光源例如:雷射光或紫外光在釋放層(若有的話)上,使得釋放層在光源的熱之下分解,而載體基板212可被移除。接著層214被移除,且被分離的結構然後被翻面且放置在膠帶220上。
亦在第15圖中繪示,導電連接件222形成在半導體封裝213之導電連接件190上。導電連接件222將容許半導體封裝213電性且機械性耦接至另一半導體結構。在一些實施例中,藉由蒸發、電鍍、印刷、焊料轉移、球放置等而形成一層焊料來形成導電連接件222。一旦在結構上形成一層焊料,可執行回焊以將材料成形為所需的凸塊形狀。在另一實施例中,導電連接件222包括藉由濺鍍、印刷、電鍍、無電電鍍、化學氣相沉積(CVD)等而形成的複數個金屬柱(例如:銅柱)。上述金屬柱可為無焊料且具有實質上垂直的側壁。在一些實施例中,一金屬蓋層形成在金屬柱的頂部。上述金屬蓋層可包括鎳、錫、錫鉛、金、銀、鈀、銦、鎳鈀金、鎳金等、或上述之組合,且可藉由電鍍製程形成。
第16圖繪示另一重分佈結構180及一半導體結構200-5在載體基板226上方,以形成半導體封裝215。半導體封裝215包括半導體結構200-5及重分佈結構180。此半導體結構200-5之形成類似於上述半導體結構200-1,在此不再重複敘述。第16圖繪示相似於上述第9圖所述的製程之中間階段,在此不再重複敘述形成此中間階段的製程。
第17圖繪示導電連接件228形成在半導體封裝215之導電連接件190上。導電連接件228將容許半導體封裝215電性且機械性耦接至另一半導體結構。導電連接件228之形成類似於上述導電連接件222,在此不再重複敘述。
在第18圖中,半導體封裝211係利用例如:取放型工具而放置在半導體封裝215上方。
在半導體封裝211放置在半導體封裝215上方之後,上述結構係藉由導電連接件228及導電連接件190而機械性且電性結合在一起。
在一些實施例中,在結合導電連接件228及導電連接件190之前,導電連接件228及導電連接件190塗布有一助焊劑(圖未示),例如:免洗式助焊劑。導電連接件228及導電連接件190可被浸泡在助焊劑中或者助焊劑可被噴射至導電連接件228及導電連接件190上。
在一些實施例中,在導電連接件228及導電連接件190回焊之前,導電連接件228及導電連接件190可具有選擇性的環氧樹脂助焊劑(圖未示)形成在其上,環氧樹脂助焊劑之至少一些環氧樹脂部份在上述結構附接在一起之後被保留。
半導體封裝211及半導體封裝215之間的結合可為焊料結合。在一實施例中,導電連接件228及導電連接件190藉由回焊製程而彼此結合。在此回焊製程期間,導電連接件228接觸導電連接件190,以物理性及電性耦接半導體結構。在結合製程之後,一介金屬化合物(IMC)(圖未示)可形成在導電連接件228及導電連接件190之介面。
在第19圖中,半導體封裝213係利用例如:取放型工具而放置在第18圖之結構上方。
在半導體封裝213放置在半導體封裝211上方之後,上述結構係藉由導電連接件222及導電連接件190而機械性且電性結合在一起。
導電連接件222及導電連接件190之結合製程可相似於上述導電連接件228及導電連接件190之結合製程,在此不再重複敘述。
在第20圖中,底部填充料230形成圍繞導電連接件222及導電連接件228,且形成在半導體封裝215、半導體封裝211及半導體封裝213之間。底部填充料230可減少應力且保護由導電連接件222及導電連接件228之回焊產生的接點。底部填充料230可相似於上述的底部填充料192,在此不再重複敘述。
在第21圖中,執行一載體基板脫離以將載體基板226從重分佈結構180分離(脫離)。根據一些實施例,上述脫離包括投射一光源例如:雷射光或紫外光在釋放層(若有的話)上,使得釋放層在光源的熱之下分解,而載體基板226可被移除。被分離的結構然後被翻面且放置在膠帶240上。
雖然以上敘述係將導電連接件222及導電連接件228分別結合,但在一些實施例中,整個半導體結構200之堆疊可在單一結合製程中結合。
再參照第21圖,複數個導電連接件242形成在半導體封裝215之重分佈結構180上方,以形成一半導體封裝250。半導體封裝250包括半導體封裝211、半導體封裝213、半導體封裝215以及導電連接件242。這些導電連接件242使得半導體封裝250可以機械性及電性耦接至另一封裝結構。導電連接件242可相似於上述的導電連接件150及導電連接件190,在此不再重複敘述。
第22圖繪示半導體封裝250從膠帶240移除且被翻面。雖然半導體封裝250包括五個堆疊的半導體結構200(例如:半導體結構200-1至半導體結構200-5),但半導體封裝250可基於對半導體封裝的設計需求,具有多於或少於五個的半導體結構200。在一特定實施例中,每一半導體裝置100可具有約0.1至約100微法拉(microFarads,µF)的有效電容,使得具有七個堆疊的半導體裝置100之半導體封裝250可具有約0.7至約700微法拉(µF)的有效電容。
雖然每一半導體結構200繪示為具有單一半導體裝置100,應理解的是,每一半導體結構200中可有更多半導體裝置100。舉例來說,每一半導體結構可包括二至四個半導體裝置100。
第23圖至第35圖繪示根據一些實施例之半導體封裝350之製程期間的中間階段的剖面圖。第23圖至第35圖中的實施例係相似於第1圖至第22圖繪示的實施例,除了此實施例之半導體封裝350包括一些延伸通過密封劑的通孔。關於此實施例之相似於前述實施例的細節在此不再重複敘述。
在第23圖中,提供一載體基板260,且一介電層262形成在載體基板260上。載體基板260可為玻璃載體基板、陶瓷載體基板等。載體基板260可為一晶圓,使得多個封裝可同時形成在載體基板260上。
介電層262可包括一或多層非光圖案化絕緣材料、一或多層光圖案化絕緣材料、上述之組合等。上述非光圖案化絕緣材料可包括氮化矽、氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸玻璃(BSG)、摻硼磷矽玻璃(BPSG)、上述之組合等,且可利用化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、旋轉塗布製程、上述之組合等而形成。上述光圖案化絕緣材料可包括聚苯噁唑(PBO)、聚亞醯胺(PI)、苯環丁烯(BCB)、上述之組合等,且可利用旋轉塗布製程等而形成。介電層262可形成在一釋放層(圖未示)上方。
介電層262可由以聚合物為基底的材料形成,可與載體基板260一起被移除離開覆蓋在上方的結構,上述覆蓋在上方的結構將在後續步驟中形成。在一些實施例中,介電層262為以環氧化物為基底的熱釋放材料,此種材料在加熱時喪失其黏著性,例如:光熱轉換(LTHC)釋放塗層。在其他實施例中,釋放層可為紫外線(UV)膠,在暴露至紫外光時喪失其黏著性。釋放層可以液體形式分配且固化、可為積層至載體積板260上的一積層膜、或可為類似者。釋放層之頂部表面可為平坦的,且可具有高度的平面性。
再參照第23圖,半導體裝置100係藉由一接著劑263而接著至介電層262,且通孔264係形成在載體基板260上方。接著劑263可為任何適合的接著劑、環氧樹脂、晶粒黏結薄膜(DAF)等。
在一些實施例中,在半導體裝置100接著之前,一背側重分佈結構可形成在介電層262上,使得半導體裝置100係接著至背側重分佈結構。在一實施例中,背側重分佈結構包括一或多個介電層,在介電層中包含一或多個金屬化圖樣(有時稱為重分佈層或重分佈線)。在一些實施例中,在半導體裝置100接著至介電層262之前,不含金屬化圖樣的一介電層形成在介電層262上。
通孔264(有時稱為導電柱264)形成為延伸遠離介電層262(或者背側重分佈結構之最頂部的介電層,若有的話)。作為形成通孔264之範例,一種晶層(圖未示)形成在介電層262(或者背側重分佈結構之最頂部的介電層,若有的話)上方。在一些實施例中,上述種晶層為一金屬層,可為單一層或包括複數個由不同材料形成之次要層的複合層。在一特定實施例中,種晶層包括一鈦層及在鈦層上方的銅層。種晶層可利用例如:物理氣相沉積(PVD)等而形成。光阻劑在種晶層上形成及圖樣化。光阻劑可藉由旋轉塗布等而形成,且可暴露至光源以圖樣化。光阻劑之圖樣對應至導電貫孔。上述圖樣化形成通過光阻劑的開口以顯露種晶層。一導電材料形成在光阻劑之開口中及種晶層顯露的部分上。導電材料可藉由電鍍例如:電化電鍍或無電電鍍等而形成。導電材料可包括金屬如:銅、鈦、鎢、鋁等。導電材料沒有形成在其上的光阻劑及種晶層之部分被移除。光阻劑可藉由一可接受的灰化或去除(stripping)製程而移除,例如:利用氧電漿等。一旦光阻劑被移除,種晶層顯露的部分係被移除,例如:藉由可接受的蝕刻製程,例如:藉由濕或乾蝕刻。種晶層剩餘的部分及導電材料形成通孔264。
半導體裝置100可利用例如:取放型工具而被放置在介電層262(或者背側重分佈結構之最頂部的介電層,若有的話)上方。半導體裝置100具有導電連接件266(有時稱為晶粒連接件266),在半導體裝置之主動側上。
在第24圖中,密封劑268形成在半導體裝置100及通孔264上,且圍繞半導體裝置100及通孔264。在形成之後,密封劑268將半導體裝置100及通孔264密封。密封劑268可為模製(molding)化合物、環氧樹脂等。密封劑268可藉由壓縮模製、轉注模製等來施加,且可形成在載體基板260上方,使得半導體裝置100及通孔264被掩埋或覆蓋。密封劑268可以液體或半液體形式被施加,且然後被固化。
被密封的半導體裝置100及通孔264形成一半導體結構300-1(有時稱為半導體層300-1)。
在一些實施例中,平坦化製程可在密封劑268上執行,以顯露晶粒連接件266及通孔264。在平坦化製程後,通孔264、晶粒連接件266及密封劑268之頂部表面可彼此齊平(例如:共平面)。上述平坦化製程可為例如:化學機械研磨(CMP)、磨光製程、深蝕刻製程等。在一些實施例中,可省略平坦化,例如:若晶粒連接件266及通孔264已顯露。
被密封的半導體裝置100及通孔264形成一半導體結構300-1(有時稱為半導體層300-1)。
在第25圖中,重分佈結構280形成在半導體裝置100、通孔264及密封劑268上方。重分佈結構280包括介電質282及介電質286以及金屬化圖樣284及金屬化圖樣288。金屬化圖樣亦可稱為重分佈層或重分佈線。金屬化圖樣284及金屬化圖樣288電性耦接至晶粒連接件266及通孔264,且對晶粒連接件266及通孔264提供電性連接。重分佈結構280作為範例而呈現,具有兩層金屬化圖樣及兩層介電層。更多或更少的介電層及金屬化圖樣可形成在重分佈結構280中。若形成較少介電層及金屬化圖樣,可省略下述的步驟及製程。若形成較多介電層及金屬化圖樣,可重複下述的步驟及製程。重分佈結構280可相似於上述的重分佈結構180,在此不再重複敘述。
再參照第25圖,複數個開口290至少形成在重分佈結構280之介電層286中,以顯露部分金屬化圖樣284及金屬化圖樣288、或通孔264。上述開口290可例如利用雷射鑽孔、蝕刻等而形成。
在第26圖中,半導體結構300-2形成在半導體結構300-1上方,以形成半導體封裝311。半導體封裝311包括半導體結構300-2、重分佈結構280及半導體結構300-1。半導體結構300-2包括被密封的半導體裝置100及導電連接件190。半導體結構300-2之半導體裝置100及導電連接件190電性耦接至重分佈結構280及通孔264。半導體結構300-1可相似於上述的半導體結構200-1,在此不再重複敘述。
在第27圖中,執行一載體基板脫離以將載體基板260從半導體封裝311分離(脫離)。根據一些實施例,上述脫離包括投射一光源例如:雷射光或紫外光在釋放層(若有的話)上,使得釋放層在光源的熱之下分解,而載體基板260可被移除。被分離的結構然後被翻面且放置在膠帶304上。
再參照第27圖,複數個開口302形成在介電層262中,以顯露部分通孔264。上述開口302可例如利用雷射鑽孔、蝕刻等而形成。
在第28圖中,半導體結構300-3及重分佈結構280形成在載體基板305上方。半導體結構300-3及重分佈結構280相似於上述第25圖之半導體結構300-1及重分佈結構280,在此不再重複敘述。
在第29圖中,半導體結構300-4形成在第28圖之重分佈結構上方,以形成半導體封裝313。半導體封裝313包括半導體結構300-3、重分佈結構280、以及半導體結構300-4。半導體結構300-4類似於上述第26圖之半導體結構300-2(除了半導體結構300-4不包括導電連接件190),在此不再重複敘述。
在第30圖中,執行一載體基板脫離以將載體基板305從半導體封裝313分離(脫離)。根據一些實施例,上述脫離包括投射一光源例如:雷射光或紫外光在釋放層(若有的話)上,使得釋放層在光源的熱之下分解,而載體基板305可被移除。被分離的結構然後被翻面且放置在膠帶307上。
再參照第30圖,複數個開口306形成在半導體封裝313之介電層262中,以顯露部分通孔264。上述開口306可例如利用雷射鑽孔、蝕刻等而形成。
在第31圖中,導電連接件308形成在半導體封裝313之通孔264上。導電連接件308將容許半導體封裝313電性及機械性耦接至另一半導體結構。在一些實施例中,藉由蒸發、電鍍、印刷、焊料轉移、球放置等而形成一層焊料來形成導電連接件308。一旦在結構上形成一層焊料,可執行回焊以將材料成形為所需的凸塊形狀。在另一實施例中,導電連接件308包括藉由濺鍍、印刷、電鍍、無電電鍍、化學氣相沉積(CVD)等而形成的複數個金屬柱(例如:銅柱)。上述金屬柱可為無焊料且具有實質上垂直的側壁。在一些實施例中,一金屬蓋層形成在金屬柱的頂部。上述金屬蓋層可包括鎳、錫、錫鉛、金、銀、鈀、銦、鎳鈀金、鎳金等、或上述之組合,且可藉由電鍍製程形成。
在第32圖中,半導體封裝311係利用例如:取放型工具而被放置在半導體封裝315上方(半導體封裝315係在載體基板309上)。半導體封裝315相似於上述的半導體封裝215,在此不再重複敘述。
在半導體封裝311被放置在半導體封裝315上方之後,上述結構藉由導電連接件310、導電連接件190及通孔264而機械性及電性結合在一起。導電連接件310可相似於上述的導電連接件308,在此不再重複敘述。
導電連接件310、導電連接件190及通孔264的結合製程可相似於上述的導電連接件222、導電連接件228及導電連接件190的結合製程,在此不再重複敘述。
在第33圖中,半導體封裝313係利用例如:取放型工具而被放置在第32圖之結構上方。
在半導體封裝313被放置在半導體封裝311上方之後,上述結構藉由導電連接件308、導電連接件190及通孔264而機械性及電性結合在一起。
導電連接件308、導電連接件190及通孔264的結合製程可相似於上述的導電連接件222、導電連接件228及導電連接件190的結合製程,在此不再重複敘述。
在第34圖中,底部填充料314形成圍繞導電連接件308及導電連接件310,且形成在半導體封裝315、半導體封裝311及半導體封裝313之間。底部填充料314可減少應力且保護由導電連接件308及導電連接件310之回焊產生的接點。底部填充料314可相似於上述的底部填充料192,在此不再重複敘述。
雖然以上敘述係將導電連接件308及導電連接件310分別結合,但在一些實施例中,整個半導體結構300之堆疊可在單一結合製程中結合。
在第35圖中,執行一載體基板脫離以將載體基板309從重分佈結構280分離(脫離)。根據一些實施例,上述脫離包括投射一光源例如:雷射光或紫外光在釋放層(若有的話)上,使得釋放層在光源的熱之下分解,而載體基板309可被移除。
再參照第35圖,複數個導電連接件320形成在半導體封裝315之重分佈結構280上,以形成半導體封裝350。半導體封裝350包括半導體封裝311、半導體封裝313、半導體封裝315以及導電連接件320。這些導電連接件320使得半導體封裝350可以機械性及電性耦接至另一封裝結構。導電連接件320可相似於上述的導電連接件150、導電連接件190及導電連接件242,在此不再重複敘述。
雖然半導體封裝350包括五個堆疊的半導體結構300(例如:半導體結構300-1至半導體結構300-5),半導體封裝350可基於對半導體封裝的設計需求,具有多於或少於五個的半導體結構300。在一特定實施例中,每一半導體裝置100可具有約0.1至約100微法拉(µF)的有效電容,使得具有七個堆疊的半導體裝置100之半導體封裝350可具有約0.7至約700微法拉(µF)的有效電容。
雖然每一半導體結構300繪示為具有單一半導體裝置100,應理解的是,每一半導體結構300中可有更多半導體裝置100。舉例來說,每一半導體結構可包括二至四個半導體裝置100。
第36圖至第44圖繪示根據一些實施例之形成封裝700之製程期間的中間階段之剖面圖。關於此實施例相似於前述實施例的細節在此不再重複敘述。
第36圖至第43圖繪示根據一些實施例之形成半導體封裝400之製程期間的中間階段之剖面圖。在第36圖中,提供一載體基板402,且一釋放層404形成在載體基板402上。載體基板402可為玻璃載體基板、陶瓷載體基板等。載體基板402可為一晶圓,使得多個封裝可同時形成在載體基板402上。
釋放層404可由以聚合物為基底的材料形成,可與載體基板402一起被移除離開覆蓋在上方的結構,上述覆蓋在上方的結構將在後續步驟中形成。在一些實施例中,釋放層404為以環氧化物為基底的熱釋放材料,此種材料在加熱時喪失其黏著性,例如:光熱轉換(LTHC)釋放塗層。在其他實施例中,釋放層404可為紫外線(UV)膠,在暴露至紫外光時喪失其黏著性。釋放層404可以液體形式分配且固化、可為積層至載體積板402上的一積層膜、或可為類似者。釋放層404之頂部表面可為平坦的,且可具有高度的平面性。
在第36圖中,模組410及模組412(有時稱為晶粒410及晶粒412)及半導體封裝250係藉由接著劑406而接著至釋放層404。雖然繪示兩個模組410及模組412被接著,但應理解的是,更多或更少模組410及/或模組412可被接著至釋放層404。舉例來說,三個或四個模組410及/或模組412可被接著至釋放層404。在一些實施例中,模組410及/或模組412為積體電路晶粒,且可為邏輯晶粒(例如:中央處理單元、微控制器等)、記憶體晶粒(例如:動態隨機存取記憶體(dynamic random access memory,DRAM)晶粒、靜態隨機存取記憶體(static random access memory,SRAM)晶粒等)、電力管理晶粒(例如:電力管理積體電路(power management integrated circuit,PMIC)晶粒)、射頻(radio frequency,RF)晶粒、感測器晶粒、微機電系統(micro-electro-mechanical-system,MEMS)晶粒、訊號處理晶粒(例如:數位訊號處理(digital signal processing,DSP)晶粒)、前端晶粒(例如:類比前端(analog front-end,AFE)晶粒)等、上述之組合。在一些實施例中,模組410及/或模組412可為被動裝置,例如:積體被動裝置(integrated passive devices,IPDs)或離散被動裝置。在一些實施例中,模組410及/或模組412可為電源供應模組、記憶體模組、電壓調節模組、積體被動裝置(IPD)模組等、或上述之組合。在一些實施例中,模組410為單晶片系統(system-on-a-chip,SoC)且模組412為高帶寬記憶體模組。並且,在一些實施例中,模組410及/或模組412可為不同尺寸(例如:不同高度及/或表面積),且在其他實施例中,模組410及/或模組412可為相同尺寸(例如:相同高度及/或表面積)。模組410及/或模組412在以下參照第37圖進一步詳述。
在一些實施例中,在模組410及模組412以及半導體封裝250接著之前,一背側重分佈結構可形成在釋放層404上,使得模組410及模組412以及半導體封裝250係接著至背側重分佈結構。在一實施例中,背側重分佈結構包括一或多個介電層,在介電層中包含一或多個金屬化圖樣(有時稱為重分佈層或重分佈線)。在一些實施例中,在模組410及模組412以及半導體封裝250接著至釋放層404之前,不含金屬化圖樣的一介電層形成在釋放層404上。
第37圖繪示根據一些實施例之模組410/412之其中一者。模組410/412將在後續製程中被封裝,以形成一積體電路封裝。模組410/412可形成在一晶圓中,上述晶圓可包括不同裝置區,裝置區在後續步驟中被單一化以形成複數個主動裝置晶粒。模組410/412可根據適當的製造製程來處理,以形成積體電路。舉例來說,模組410/412包括一半導體基板413,例如:矽、摻雜或無摻雜、或絕緣層上覆半導體(SOI)基板之主動層。半導體基板413可包括其他半導體材料(例如:鍺)、化合物半導體(包括:碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、合金半導體(包括:矽鍺、磷化砷化鎵、砷化鋁銦、砷化鎵鋁、砷化鎵銦、磷化鎵銦、及/或砷磷化鎵銦)、或上述之組合。亦可使用其他基板,例如:多層或梯度基板。半導體基板413具有一主動表面(例如:第37圖中面朝上的表面),有時稱作前側,以及一非主動表面(例如:第37圖中面朝下的表面),有時稱作背側。
裝置414可形成在半導體基板413的前側。裝置414可為主動裝置(例如:電晶體、二極體等)、電容器、電阻器等。一層間介電質(inter-layer dielectric,ILD)416係形成在半導體基板413之前側。層間介電質413圍繞且可覆蓋裝置414。層間介電質416可包括一或多個介電層,上述介電層由例如:磷矽酸鹽玻璃(PSG)、硼矽酸玻璃(BSG)、摻硼磷矽玻璃(BPSG)、未摻雜矽酸鹽玻璃(undoped silicate galss,USG)等材料形成。
複數個導電栓塞418延伸通過層間介電質416,以電性且物理性耦接裝置414。舉例來說,當裝置414為電晶體時,導電栓塞418可耦接電晶體之閘極及源極/汲極區域。導電栓塞418可由鎢、鈷、鎳、銅、銀、金、鋁等形成。一互連結構419包含在層間介電質416及導電栓塞418上方。互連結構419將複數個裝置414相互連接,以形成一積體電路。互連結構419可藉由例如:層間介電質416上介電層中的金屬化圖樣而形成。金屬化圖樣包含形成在一或多個低介電常數介電層中的金屬線及貫孔。互連結構419之金屬化圖樣係藉由導電栓塞418而電性耦接至裝置414。
模組410/412更包括墊420,例如:鋁墊,用於達成外部連接。墊420係在模組410/412之主動側上,例如:在互連結構419中及/或上。一或多個鈍化膜422係在模組410/412上,例如:在互連結構419及墊420之部分上。複數個開口延伸通過鈍化膜422至墊420。複數個晶粒連接件424例如:導電柱(由金屬例如:銅而形成)延伸通過鈍化膜422中的開口,且物理性及電性耦接至各自一墊420。晶粒連接件424可藉由例如:電鍍等而形成。晶粒連接件424電性耦接模組410/412之各自積體電路。
選擇性地,複數個焊料區域(例如:焊料球或焊料凸塊)可設置在墊420上。焊料球可用以在模組410/412上執行晶片探針(chip probe,CP)測試。晶片探針(CP)測試可在模組410/412上執行以確定模組410/412是否為良裸晶粒(KGD)。因此只有為良裸晶粒(KGD)的模組410/412經歷後續製程而被封裝,且未通過晶片探針(CP)測試的晶粒則不會被封裝。在測試之後,上述焊料區域可在後續製程步驟中被移除。
介電層426可在模組410/412之前側上,例如:在鈍化膜422及晶粒連接件424上。介電層426橫向地密封晶粒連接件424,且介電層426係與模組410/412橫向地相接。一開始,介電層426可掩埋晶粒連接件424,使得介電層426最頂部的表面係在晶粒連接件424最頂部表面的上方。在一些焊料區域設置在晶粒連接件424上的實施例中,介電層426亦可掩埋上述焊料區域。替代地,焊料區域可在形成介電層426之前就被移除。
介電層426可為聚合物(例如:聚苯噁唑(PBO)、聚亞醯胺、苯環丁烯(BCB)等)、氮化物(例如:氮化矽等)、氧化物(例如:氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸玻璃(BSG)、摻硼磷矽玻璃(BPSG)等)等、或上述之組合。介電層426可藉由旋轉塗布、積層、化學氣相沉積(CVD)等而形成。在一些實施例中,晶粒連接件424係在模組410/412形成期間透過介電層426而顯露。在一些實施例中,晶粒連接件424保持被掩埋且在後續封裝模組410/412的製程期間被顯露。顯露晶粒連接件424可移除存在晶粒連接件424上的任何焊料區域。
在一些實施例中,模組410/412為一堆疊的裝置,包括多個半導體基板413。舉例來說,模組410/412可為記憶體裝置例如:混合記憶體立方體(hybrid memory cube,HMC)模組、高帶寬記憶(high bandwidth memory,HBM)模組等,包括多個記憶體晶粒。在此種實施例中,模組410/412包括藉由基板穿孔(through-substrate vias,TSVs)互連的多個半導體基板413。每一半導體基板413可具有互連結構419。
接著劑406係在模組410/412及半導體封裝250之背側上,且將模組410/412及半導體封裝250接著至釋放層404。接著劑406可為任何適合的接著劑、環氧樹脂、晶片黏結薄膜(DAF)等。接著劑406可被施加至模組410/412及半導體封裝250之背側,例如:至各自半導體晶圓的背側或可被施加在載體基板402之表面上方。模組410/412可例如:藉由鋸切或切割而被單一化,且利用例如:取放型工具而藉由接著劑406被接著至釋放層404。
在第38圖中,密封劑430形成在模組410/412及半導體封裝250上,且圍繞模組410/412及半導體封裝250。在形成之後,密封劑430將模組410/412及半導體封裝250密封。密封劑430可為模製(molding)化合物、環氧樹脂等。密封劑430可藉由壓縮模製、轉注模製等來施加,且可形成在載體基板402上方,使得模組410/412及半導體封裝250被掩埋或覆蓋。密封劑430更形成在模組410/412及半導體封裝250之間的間隙區域。密封劑430可以液體或半液體形式被施加,且然後被固化。
在第39圖中,平坦化製程可在密封劑430上執行,以顯露晶粒連接件424、導電連接件242及介電層426。平坦化製程亦可移除介電層426、導電連接件242及/或晶粒連接件424的材料,直到顯露導電連接件242及晶粒連接件424。在平坦化製程後,導電連接件242、晶粒連接件424、介電層426及密封劑430之頂部表面彼此齊平(例如:共平面)。上述平坦化製程可為例如:化學機械研磨(CMP)、磨光製程、深蝕刻製程等。在一些實施例中,可省略平坦化製程,例如:若晶粒連接件424及導電連接件242已顯露。
在第40圖至第42圖中,一重分佈結構456(請見第41圖)具有一精細結構(fine-featured)部452及一粗疏結構(coarse-featured)部454,形成在密封劑430、模組410/412及半導體封裝250上方。重分佈結構456包括複數個金屬化圖樣、複數個介電層及複數個凸塊下金屬(UBMs)。金屬化圖樣亦可稱為重分佈層或重分佈線。重分佈結構456作為範例而呈現,具有四層金屬化圖樣。更多或更少的介電層及金屬化圖樣可形成在重分佈結構456中。若形成較少介電層及金屬化圖樣,可省略下述的步驟及製程。若形成較多介電層及金屬化圖樣,可重複下述的步驟及製程。重分佈結構456之精細結構部452及粗疏結構部454包括不同尺寸的金屬化圖樣及介電層。
第40圖繪示重分佈結構456之精細結構部452形成之範例。在第40圖中,介電層432沉積在密封劑430、介電層426、導電連接件242及晶粒連接件424上。在一些實施例中,介電層432係由光敏性材料形成,例如:聚苯噁唑(PBO)、聚亞醯胺、苯環丁烯(BCB)等,可利用微影遮罩來圖樣化。介電層432可藉由旋轉塗布、積層、化學氣相沉積(CVD)等、或上述之組合而形成。
然後,介電層432被圖樣化,且形成金屬化圖樣434。上述圖樣化形成複數個開口,顯露導電連接件242及晶粒連接件424之部分。上述圖樣化可藉由可接受的製程,例如:當介電層432為光敏性材料時將介電層432暴露至光源,或者藉由蝕刻,利用例如:異向性蝕刻。若介電層432為光敏性材料,介電層432可在暴露之後被顯影。
然後形成金屬化圖樣434。金屬化圖樣434具有線部分(亦稱為導電線或導電跡線)在介電層432之主要表面上且沿著主要表面延伸,並具有貫孔部分(亦稱為導電貫孔)延伸通過介電層432,以物理性及電性耦接模組410/412之晶粒連接件424及半導體封裝250之導電連接件242。作為範例,金屬化圖樣434可藉由形成一種晶層在介電層432上方及在延伸通過介電層432之開口中而形成。在一些實施例中,上述種晶層為一金屬層,可為單一層或包括複數個由不同材料形成之次要層的複合層。在一些實施例中,種晶層包括一鈦層及在鈦層上方的銅層。種晶層可利用例如:物理氣相沉積(PVD)等而形成。然後光阻劑在種晶層上形成及圖樣化。光阻劑可藉由旋轉塗布等而形成,且可暴露至光源以圖樣化。光阻劑之圖樣對應至金屬化圖樣434。上述圖樣化形成通過光阻劑的開口以顯露種晶層。一導電材料然後形成在光阻劑之開口中及種晶層顯露的部分上。導電材料可藉由電鍍例如:電化電鍍或無電電鍍等而形成。導電材料可包括金屬如:銅、鈦、鎢、鋁等。導電材料與下方的種晶層部分組合形成金屬化圖樣434。導電材料沒有形成在其上的光阻劑及種晶層之部分被移除。光阻劑可藉由一可接受的灰化或去除(stripping)製程而移除,例如:利用氧電漿等。一旦光阻劑被移除,種晶層顯露的部分係被移除,例如:藉由可接受的蝕刻製程,例如:藉由濕或乾蝕刻。
介電層436然後沉積在金屬化圖樣434及介電層432上。介電層436可以相似於介電層432之方式形成,且可由相似於介電層432之材料的材料而形成。
然後,介電層436被圖樣化,且形成金屬化圖樣438。上述圖樣化形成複數個開口,顯露部分金屬化圖樣434。上述圖樣化可藉由可接受的製程,例如:當介電層436為光敏性材料時將介電層436暴露至光源,或者藉由蝕刻,利用例如:異向性蝕刻。若介電層436為光敏性材料,介電層436可在暴露之後被顯影。
然後形成金屬化圖樣438。金屬化圖樣438具有線部分在介電層436之主要表面上且沿著主要表面延伸,並具有貫孔部分延伸通過介電層436,以物理性及電性耦接金屬化圖樣434。金屬化圖樣438可以相似於金屬化圖樣434之方式形成,且可由相似於金屬化圖樣434之材料的材料而形成。雖然精細結構部452繪示為包括兩個介電層及兩個金屬化圖樣,但精細結構部452中可形成任意數量的介電層及金屬化圖樣。
重分佈結構456之精細結構部452包括介電層432及介電層436以及金屬化圖樣434及金屬化圖樣438。在一些實施例中,介電層432及介電層436係由相同介電材料形成,且形成為相同厚度。類似地,在一些實施例中,金屬化圖樣434及金屬化圖樣438之導電特徵係由相同導電材料形成,且形成為相同厚度。具體而言,介電層432及介電層436具有厚度T2,例如介於約1微米至約40微米的範圍中,而金屬化圖樣434及金屬化圖樣438之導電特徵具有厚度T1,例如介於約1微米至約40微米的範圍中。
第41圖繪示重分佈結構456之粗疏結構部454形成的範例。在第41圖中,介電層440可沉積在金屬化圖樣438及介電層436上。介電層440可以相似於介電層432之方式形成,且可由相似於介電層432之材料的材料而形成。
然後,介電層440被圖樣化,且形成金屬化圖樣442。金屬化圖樣442具有線部分在介電層440之主要表面上且沿著主要表面延伸,並具有貫孔部分延伸通過介電層440,以物理性及電性耦接金屬化圖樣438。金屬化圖樣442可以相似於金屬化圖樣434之方式形成,且可由相似於金屬化圖樣434之材料的材料而形成。
介電層444然後沉積在金屬化圖樣442及介電層440上。介電層444可以相似於介電層432之方式形成,且可由相似於介電層432之材料的材料而形成。
在第41圖中,介電層444被圖樣化,且形成金屬化圖樣446。介電層444可以相似於介電層432之方式被圖樣化。金屬化圖樣446具有線部分在介電層444之主要表面上且沿著主要表面延伸,並具有貫孔部分延伸通過介電層444,以物理性及電性耦接金屬化圖樣442。金屬化圖樣446可以相似於金屬化圖樣434之方式形成,且可由相似於金屬化圖樣434之材料的材料而形成。
介電層448然後沉積在金屬化圖樣446及介電層444上。介電層448可以相似於介電層432之方式形成,且可由相似於介電層432之材料的材料而形成。雖然粗疏結構部454繪示為包括三個介電層及兩個金屬化圖樣,但粗疏結構部454中可形成任意數量的介電層及金屬化圖樣。在一些實施例中,精細結構部452及粗疏結構部454可各自包括三個介電層及三個金屬化圖樣。
重分佈結構456之粗疏結構部454包括介電層440、介電層444及介電層448以及金屬化圖樣442及金屬化圖樣446。在一些實施例中,介電層440、介電層444及介電層448係由相同介電材料形成,且形成為相同厚度。類似地,在一些實施例中,金屬化圖樣442及金屬化圖樣446之導電特徵係由相同導電材料形成,且形成為相同厚度。具體而言,介電層440、介電層444及介電層448具有厚度T4,例如介於約1微米至約40微米的範圍中,而金屬化圖樣442及金屬化圖樣446之導電特徵具有厚度T3,例如介於約1微米至約40微米的範圍中。在各式實施例中,厚度T3可大於厚度T1(請見第40圖),且厚度T4可大於厚度T2(請見第40圖)。
基於包含在粗疏結構部454及精細結構部452中的金屬化圖樣的厚度,粗疏結構部454相較於精細結構部452可具有較低的阻抗值。由於較低的阻抗值,粗疏結構部454可用以佈設電力線。精細結構部452可用以佈設不需要低阻抗值的訊號線。包含粗疏結構部454及精細結構部452兩者容許電力線及訊號線得以佈設,同時最小化重分佈結構456之厚度。
在第42圖中,複數個墊450形成在介電層448上且在介電層448至金屬化圖樣446之複數個開口中。墊450用以耦接導電連接件458且可稱為凸塊下金屬(UBMs)450。形成凸塊下金屬450以供外部連接至重分佈結構456。凸塊下金屬450具有凸塊部分在介電層448之主要表面上且沿著主要表面延伸,並具有貫孔部分延伸通過介電層448,以物理性及電性耦接金屬化圖樣446。結果,凸塊下金屬450電性耦接至模組410/412及半導體封裝250。在一些實施例中,凸塊下金屬450具有與金屬化圖樣434、金屬化圖樣438、金屬化圖樣442及金屬化圖樣446不同的尺寸。
作為範例,凸塊下金屬450可藉由首先形成一種晶層在介電層448上方及在延伸通過介電層448之開口中而形成。在一些實施例中,上述種晶層為一金屬層,可為單一層或包括複數個由不同材料形成之次要層的複合層。在一些實施例中,種晶層包括一鈦層及在鈦層上方的銅層。種晶層可利用例如:物理氣相沉積(PVD)等而形成。然後,光阻劑在種晶層上形成及圖樣化。光阻劑可藉由旋轉塗布等而形成,且可暴露至光源以圖樣化。光阻劑之圖樣對應至凸塊下金屬450。上述圖樣化形成通過光阻劑的開口以顯露種晶層。一導電材料然後形成在光阻劑之開口中及種晶層顯露的部分上。導電材料可藉由電鍍例如:電化電鍍或無電電鍍等而形成。導電材料可包括金屬如:銅、鈦、鎢、鋁等。在一些實施例中,凸塊下金屬450可包括合金例如:化學鍍鎳鈀浸金(electroless nickel, electroless palladium, immersion gold,ENEPIG)、化學鎳金(electroless nickel, immersion gold,ENIG)等。導電材料與下方的種晶層部分組合形成凸塊下金屬450。導電材料沒有形成在其上的光阻劑及種晶層之部分被移除。光阻劑可藉由一可接受的灰化或去除(stripping)製程而移除,例如:利用氧電漿等。一旦光阻劑被移除,種晶層顯露的部分係利用可接受的蝕刻製程而被移除,例如:濕或乾蝕刻。
在第43圖中,複數個導電連接件458形成在墊450上。導電連接件458容許半導體封裝400機械性及電性耦接至另一封裝結構(請見例如:第44圖之封裝基板500)。導電連接件458可相似於上述的導電連接件150,在此不再重複敘述。
在第44圖中,半導體封裝400然後利用導電連接件458附接至封裝基板500,以形成封裝700。封裝基板500可由半導體材料例如:矽、鍺、鑽石等形成。替代地,亦可使用化合物材料例如:矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦、碳化鍺矽、磷化砷化鎵、磷化鎵銦、上述之組合等。附加地,封裝基板500可為絕緣層上覆半導體(SOI)基板。一般而言,絕緣層上覆半導體(SOI)基板包括一層半導體材料例如:磊晶矽、鍺、矽鍺、絕緣層上覆半導體(SOI)、絕緣體上矽鍺(SGOI)、或上述之組合。在另一實施例中,封裝基板500係基於一絕緣核心例如:玻璃纖維補強樹脂核心(fiberglass reinforced resin core)。一範例核心材料為玻璃纖維樹脂例如:FR4。核心材料的替代物包括雙馬來醯亞胺三嗪(bismaleimide-triazine,BT)樹脂、或者替代地其他印刷電路板(printed circuit board,PCB)材料或薄膜。堆積薄膜例如: 味之素堆積薄膜(Ajinomoto Build-up Film,ABF)或其他積層可被用於封裝基板500。
封裝基板500可包括主動及被動裝置(圖未示)。裝置例如:電晶體、電容器、電阻器、上述之組合等可被用以產生用於系統之結構性及功能性的設計需求。上述裝置可利用任何適合的方法形成。
封裝基板500亦可包括金屬化層及貫孔506,及耦接至金屬化層及貫孔506的結合墊504及結合墊508。金屬化層506可形成在主動及被動裝置上方,且設計以連接各式裝置以形成功能電路。金屬化層506可由交替的多層介電質(例如:低介電常數的介電材料)及導電材料(例如:銅)而形成,包含貫孔互連多層導電材料,且可透過任何適合的製程(例如:沉積、鑲嵌、雙重鑲嵌等)而形成。在一些實施例中,封裝基板500實質上不包含主動及被動裝置。
使導電連接件458回焊以將凸塊下金屬450附接至結合墊504。導電連接件458連接封裝基板500(包括封裝基板500中的金屬化層506)至半導體封裝400(包括重分佈結構456之金屬化圖樣)。在一些實施例中,表面黏著被動裝置(例如:表面黏著元件(surface mount devices,SMD),圖未示)可附接至封裝基板500,例如:至結合墊504及/或結合墊508。
在回焊之前,導電連接件458可具有環氧樹脂助焊劑(圖未示)形成在其上,環氧樹脂助焊劑之至少一些環氧樹脂部份在半導體封裝400附接至封裝基板500之後被保留。此保留的環氧樹脂部分可作為底部填充料,減少應力且保護由導電連接件458之回焊產生的接點。在一些實施例中,底部填充料512可形成在半導體封裝400與封裝基板500之間,圍繞導電連接件458。底部填充料512可在半導體封裝400附接之後,藉由毛細流動製程而形成,或在半導體封裝400附接之前,藉由適合的沉積方法形成。
並且,如第44圖所示,封裝基板500之結合墊508可具有複數個導電連接件510形成在上。這些導電連接件510容許封裝700機械性及電性耦接至另一封裝結構。導電連接件510可相似於上述的導電連接件150,在此不再重複敘述。雖然封裝700繪示包含半導體封裝250,其他實施例之封裝700可包括半導體封裝350或一或多個半導體封裝250及半導體封裝350。
第45圖至第51圖繪示根據一些實施例之形成封裝900之製程期間的中間步驟的剖面圖。關於此實施例相似於前述實施例的細節在此不再重複敘述。
第45圖至第50圖繪示根據一些實施例之形成半導體封裝800之製程期間的中間步驟的剖面圖。在第45圖中,重分佈結構456形成在載體基板402上的釋放層404上方。重分佈結構456、釋放層404及載體基板皆已在先前敘述,在此不再重複敘述。在此實施例中,介電層448之頂部表面與金屬化圖樣446之頂部表面共平面。在一些實施例中,此共平面係經由一平坦化製程例如:化學機械研磨(CMP)而達成。在其他實施例中,在形成介電層448之後,上述表面為共平面,則可省略平坦化製程。
在第46圖中,模組410及模組412以及半導體封裝250係藉由導電連接件802及導電連接件804而各自結合至重分佈結構456。雖然繪示兩個模組410及模組412被結合,應理解的是,更多或更少模組410及/或模組412可結合至重分佈結構456。舉例來說,三個或四個模組410及/或模組412可結合至重分佈結構456。雖然僅繪示單一半導體封裝250被結合,應理解的是,更多半導體封裝250可結合至重分佈結構456。舉例來說,兩個或三個半導體封裝250可結合至重分佈結構456。
導電連接件802及導電連接件804可相似於上述的導電連接件242,在此不再重複敘述。導電連接件802機械性及電性耦接模組410及模組412至重分佈結構456。導電連接件804機械性及電性耦接半導體封裝250至重分佈結構456。
在第47圖中,底部填充料806形成在模組410及模組412以及重分佈結構456之間,且圍繞導電連接件802。再參照第47圖,底部填充料808形成在半導體封裝250及重分佈結構之間,且圍繞導電連接件804。底部填充料806及底部填充料808可相似於上述的底部填充料230,在此不再重複敘述。如圖所示,底部填充料806可形成在模組410及模組412的側壁之間,且可延伸至模組410及模組412的半導體基板413之背側。
在第48圖中,密封劑810形成在模組410/412以及半導體封裝250上,且圍繞模組410/412以及半導體封裝250。密封劑810可相似於上述的密封劑430,在此不再重複敘述。密封劑810可形成使得模組410/412以及半導體封裝250被掩埋或覆蓋。
在第49圖中,平坦化製程可在密封劑810上執行,以顯露模組410/412的半導體基板413。在平坦化製程後,模組410/412的半導體基板413及密封劑810之頂部表面可彼此齊平(例如:共平面)。上述平坦化製程可為例如:化學機械研磨(CMP)、磨光製程、深蝕刻製程等。在一些實施例中,可省略平坦化製程,例如:若模組410/412的半導體基板413之表面已顯露。
在第50圖中,執行一載體基板脫離以將載體基板402從重分佈結構456分離(脫離)。根據一些實施例,上述脫離包括投射一光源例如:雷射光或紫外光在釋放層404上,使得釋放層404在光源的熱之下分解,而載體基板402可被移除。被分離的結構然後被翻面且放置在膠帶820上。
再參照第50圖,複數個導電連接件822形成在脫離的重分佈結構456上。具體而言,導電連接件822形成在重分佈結構456之金屬化圖樣434上。導電連接件822可相似於上述的導電連接件242,在此不再重複敘述。導電連接件822容許半導體封裝800機械性及電性結合至另一封裝結構。
在第51圖中,半導體封裝800然後利用導電連接件822附接至封裝基板500,以形成封裝900。封裝基板500已在先前敘述,在此不再重複敘述。
在一些實施例中,底部填充料830可形成在半導體封裝800與封裝基板500之間,圍繞導電連接件822。底部填充料830可在半導體封裝800附接之後,藉由毛細流動製程而形成,或在半導體封裝800附接之前,藉由適合的沉積方法形成。
雖然封裝900繪示包含半導體封裝250,但其他實施例之封裝900可包括半導體封裝350或一或多個半導體封裝250及半導體封裝350。
上述實施例可達成多種優勢。上述實施例包括一種半導體裝置,可為一積體被動裝置(IPD),包括複數個電容器,例如:深槽電容(DTCs)、金屬氧化層金屬(MOM)電容、金屬絕緣層金屬(MIM)電容等、或上述之組合。複數個半導體裝置垂直堆疊且連接,以有效地形成一較大的半導體裝置。垂直堆疊的半導體裝置可藉由焊料連接及/或通孔連接而電性耦接在一起。藉由具有垂直堆疊的積體被動裝置(IPD),可形成高效率電容器,可作為去耦電容器。並且,包括垂直堆疊且耦接的一或多個電容器之封裝結構可提供較低等效串聯電阻(ESR)之電容器。在一些範例中,這些半導體裝置可合併為封裝結構(例如:整合扇出型(InFO)封裝結構或基板晶圓晶片疊合(CoWoS)封裝結構),以提供具有較大電容值的電容器。
根據本揭露的一些實施例,一種封裝結構包括:一第一半導體裝置、一第一電連接件、一第一密封劑、一第二半導體裝置、一第二電連接件、以及一第二密封劑。第一半導體裝置藉由複數個第一導電連接件而結合至一第一重分佈結構之一第一側,第一半導體裝置包括形成在一第一基板上的複數個第一被動元件,第一重分佈結構包括複數個介電層,上述介電層中包含複數個金屬化圖樣,第一重分佈結構的金屬化圖樣係電性耦接至第一被動元件。第一電連接件在第一重分佈結構之第一側上,第一電連接件係與第一半導體裝置間隔分開。第一密封劑在第一半導體裝置及第一電連接件的側壁上。第二半導體裝置藉由複數個第二導電連接件而結合至第一重分佈結構之一第二側,第一重分佈結構之第二側係與第一重分佈結構之第一側相對,第二半導體裝置包括形成在一第二基板上的複數個第二被動元件,第一重分佈結構的金屬化圖樣係電性耦接至第二被動元件。第二電連接件在第一重分佈結構之第二側上,第二電連接件係與第二半導體裝置間隔分開。第二密封劑在第二半導體裝置及第二電連接件的側壁上。
在一些實施例中,第一被動元件為以並聯方式電性耦接在一起的複數個第一深槽電容。在一些實施例中,第一被動元件為複數個第一深槽電容,且第二被動元件為複數個第二深槽電容,上述第一深槽電容及上述第二深槽電容係以並聯方式電性耦接在一起。在一些實施例中,第一導電連接件包括複數個焊料凸塊,第一電連接件包括一焊料凸塊,其中第一電連接件之焊料凸塊大於數個第一導電連接件之數個焊料凸塊的每一者。在一些實施例中,封裝結構更包括:一第三半導體裝置、一第三電連接件、以及一第三密封劑。第三半導體裝置藉由複數個第三導電連接件而結合至一第二重分佈結構之一第一側,第三半導體裝置包括形成在一第三基板上的複數個第三被動元件,第二重分佈結構包括複數個介電層,介電層中包含複數個金屬化圖樣,第二重分佈結構的金屬化圖樣係電性耦接至第三被動元件,第二重分佈結構之第一側面向第一重分佈結構之第二側。第三電連接件在第二重分佈結構之第一側上,第三電連接件係與第三半導體裝置間隔分開,第三電連接件係由一第一焊料凸塊結合至第二電連接件。第三密封劑在第三半導體裝置及第三電連接件的側壁上。在一些實施例中,封裝結構更包括:第一底部填充料,介於第二密封劑與第三密封劑之間,且接觸第二密封劑與第三密封劑,第一底部填充料圍繞第一焊料凸塊。在一些實施例中,第一導電連接件包括複數個焊料凸塊,其中第一電連接件包括一焊料凸塊,其中第一電連接件之焊料凸塊大於數個第一導電連接件之數個焊料凸塊的每一者。在一些實施例中,第一電連接件包括延伸通過第一密封劑的一焊料凸塊,且第二電連接件包括延伸通過第二密封劑的一導電柱。封裝結構更包括:一第三半導體裝置、一第三電連接件、一第三密封劑、以及複數個第四導電連接件。第三半導體裝置藉由複數個第三導電連接件而結合至一第二重分佈結構之一第一側,第三半導體裝置包括形成在一第三基板上的複數個第三被動元件,第二重分佈結構包括複數個介電層,介電層中包含複數個金屬化圖樣,第二重分佈結構的金屬化圖樣係電性耦接至第三被動元件,第二重分佈結構之第一側面向第一重分佈結構之第二側。第三電連接件在第二重分佈結構之第一側上,第三電連接件係與第三半導體裝置間隔分開,第三電連接件係由一第一焊料凸塊結合至第二電連接件。第三密封劑在第三半導體裝置及第三電連接件的側壁上,第三電連接件包括延伸通過第三密封劑的一焊料凸塊。複數個第四導電連接件在第二重分佈結構之一第二側,第二重分佈結構之第二側係與第二重分佈結構之第一側相對。封裝結構更包括:一第一積體電路結構、一第四密封劑、以及一第三重分佈結構。第一積體電路結構包括一第一積體電路晶粒,第一積體電路晶粒包括複數個主動裝置。第四密封劑密封第一積體電路結構、第一密封劑、第二密封劑、第三密封劑、第一重分佈結構、第二重分佈結構、以及第四導電連接件。第三重分佈結構在第四密封劑、第一積體電路結構、及第四導電連接件上,第三重分佈結構包括複數個介電層,介電層中包含複數個金屬化圖樣,第三重分佈結構的金屬化圖樣係電性耦接至第一積體電路晶粒及第四導電連接件。封裝結構更包括:複數個第五導電連接件以及一封裝基板。複數個第五導電連接件在第三重分佈結構上。封裝基板藉由第五導電連接件而機械性且電性耦接至第三重分佈結構。
根據本揭露的一些實施例,一種封裝結構包括:一第一被動封裝、一第一積體電路封裝、一第一密封劑、以及一第四重分佈結構。第一被動封裝包括:一第一封裝構件、一第二封裝構件、以及一第三封裝構件。第一封裝構件包括一第一被動晶粒、一第二被動晶粒、一第一重分佈結構、以及一第一電連接件。第一被動晶粒及第二被動晶粒係結合至第一重分佈結構之兩側。第二封裝構件結合至第一封裝構件,第二封裝構件包括一第三被動晶粒、一第四被動晶粒、一第二重分佈結構、一第二電連接件、以及一第三電連接件。第三被動晶粒及第四被動晶粒係結合至第二重分佈結構之兩側,第二電連接件藉由一第一焊料凸塊而結合至第一電連接件。第三封裝構件結合至第二封裝構件,第三封裝構件包括一第五被動晶粒、一第三重分佈結構、以及一第四電連接件。第五被動晶粒係結合至第三重分佈結構之一第一側,第四電連接件藉由一第二焊料凸塊而結合至第三電連接件。第一重分佈結構、第二重分佈結構及第三重分佈結構之每一者包括複數個介電層,上述介電層中包含複數個金屬化圖樣。第一被動晶粒、第二被動晶粒、第三被動晶粒、第四被動晶粒及第五被動晶粒之每一者包括複數個被動裝置。第一積體電路封裝包括至少一積體電路晶粒,上述至少一積體電路晶粒包括複數個主動裝置。第一密封劑至少橫向地密封第一被動封裝及第一積體電路封裝。第四重分佈結構在第一密封劑、第一積體電路封裝、以及第一被動封裝上,第四重分佈結構包括複數個介電層,上述介電層中包含複數個金屬化圖樣,第四重分佈結構之金屬化圖樣係電性耦接至第一積體電路封裝及第一被動封裝。
在一些實施例中,上述數個被動晶粒之每一者的數個被動裝置為複數個深槽電容。在一些實施例中,第一封裝構件係在第二封裝構件上方,且第二封裝構件係在第三封裝構件上方。在一些實施例中,封裝結構更包括:一第一組導電連接件以及一封裝基板。第一組導電連接件在第四重分佈結構上。封裝基板藉由第一組導電連接件而機械性且電性耦接至第四重分佈結構。
根據本揭露的一些實施例,一種封裝方法包括:形成一第一封裝構件;形成一第二封裝構件;以一第一組導電連接件將第一封裝構件結合至第二封裝構件,第一組導電連接件之至少一者電性接觸第一電連接件及第二電連接件;將一第一底部填充料形成在第一封裝構件與第二封裝構件之間,第一底部填充料圍繞第一組導電連接件;移除第三載體基板;以及將一第二組導電連接件形成在第二重分佈結構上方,第二組導電連接件係在第二重分佈結構之一相反側,與第三半導體裝置相同側。形成一第一封裝構件包括:將一第一重分佈結構形成在一第一載體基板上方,第一重分佈結構包括複數個介電層,上述介電層中包含複數個金屬化圖樣;將一第一半導體裝置結合至第一重分佈結構,第一半導體裝置包括複數個第一被動元件;將一第一電連接件形成在第一重分佈結構上方;以一第一密封劑密封第一半導體裝置及第一電連接件;移除第一載體基板;將第一密封劑附接至一第二載體基板;將一第二半導體裝置結合至第一重分佈結構,第二半導體裝置包括複數個第二被動元件,第二半導體裝置及第一半導體裝置係結合至第一重分佈結構之兩側;將一第二電連接件形成在第一重分佈結構上方;以一第二密封劑密封第二半導體裝置及第二電連接件;以及移除第二載體基板。形成一第二封裝構件包括:將一第二重分佈結構形成在一第三載體基板上方,第二重分佈結構包括複數個介電層,上述介電層中包含複數個金屬化圖樣;將一第三半導體裝置結合至第二重分佈結構,第三半導體裝置包括複數個第三被動元件;將一第三電連接件形成在第二重分佈結構上方;以及以一第三密封劑密封第三半導體裝置及第三電連接件。
在一些實施例中,第一被動元件為複數個第一深槽電容,且第二被動元件為複數個第二深槽電容,第一深槽電容及第二深槽電容係以並聯方式電性耦接在一起。在一些實施例中,封裝方法更包括:形成一第一封裝,包括:形成一第一積體電路封裝,包括至少一積體電路晶粒,至少一積體電路晶粒包括複數個主動裝置;以一第四密封劑密封結合的第一封裝構件及第二封裝構件以及第一積體電路封裝;以及將一第三重分佈結構形成在第四密封劑、第一積體電路封裝、以及結合的第一封裝構件及第二封裝構件上,第三重分佈結構包括複數個介電層,上述介電層中包含複數個金屬化圖樣,第三重分佈結構之金屬化圖樣係電性耦接至第一積體電路封裝及第二組導電連接件。在一些實施例中,封裝方法更包括:以一第三組導電連接件將第一封裝結合至一封裝基板;以及將一第二底部填充料形成在第一封裝與封裝基板之間,第二底部填充料圍繞第三組導電連接件。在一些實施例中,第一電連接件、第二電連接件、以及第三電連接件之每一者包括複數個焊料凸塊。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
100:半導體裝置 101:側壁(邊緣) 102:基板 104:凹部 110:襯墊層 112A,112B,112C,112D:導電層(電容電極) 114A,114B,114C,114D:介電層 116A,116B,116C,116D:間隔件 118:介電材料 120:蝕刻終止層 121:深槽電容 122:介電層 124A,124B,124C,124D,124E:導電貫孔 126:蝕刻終止層 128:介電層 130A,130B,130C:導電線 132:密封環結構 134A,134B:線 140:介電層 142:導電線 144:接觸墊 146:鈍化層 148:凸塊下金屬 150:連接件 160:通孔 170:載體基板(載體) 172:介電層(介電質) 174:金屬化圖樣 176:介電層(介電質) 178:金屬化圖樣 180:重分佈結構 190:導電連接件 192:底部填充料 194:密封劑 196:載體基板 198:接著劑(接著層) 200-1:半導體結構(半導體層) 200-2,200-3,200-4,200-5:半導體結構 210:膠帶 211:半導體封裝 212:載體基板 213:半導體封裝 214:接著層 215:半導體封裝 220:膠帶 222:導電連接件 226:載體基板 228:導電連接件 230:底部填充料 240:膠帶 242:導電連接件 250:半導體封裝 260:載體基板 262:介電層 263:接著劑 264:通孔(導電柱) 266:導電連接件(晶粒連接件) 268:密封劑 280:重分佈結構 282:介電質 284:金屬化圖樣 286:介電質(介電層) 288:金屬化圖樣 290:開口 300-1:半導體結構(半導體層) 300-2,300-3,300-4,300-5:半導體結構 302:開口 304:膠帶 305:載體基板 306:開口 307:膠帶 308:導電連接件 309:載體基板 310:導電連接件 311:半導體封裝 313:半導體封裝 314:底部填充料 315:半導體封裝 320:導電連接件 350:半導體封裝 400:半導體封裝 402:載體基板 404:釋放層 406:接著劑 410,412:模組(晶粒) 413:半導體基板 414:裝置 416:層間介電質 418:導電栓塞 419:互連結構 420:墊 422:鈍化膜 424:晶粒連接件 426:介電層 430:密封劑 432:介電層 434:金屬化圖樣 436:介電層 438:金屬化圖樣 440:介電層 442:金屬化圖樣 444:介電層 446:金屬化圖樣 448:介電層 450:墊(凸塊下金屬) 452:精細結構部 454:粗疏結構部 456:重分佈結構 458:導電連接件 500:封裝基板 504:結合墊 506:金屬化層及貫孔(金屬化層) 508:結合墊 510:導電連接件 512:底部填充料 600:第一區 602:第二區 700:封裝 800:半導體封裝 802,804:導電連接件 806,808:底部填充料 810:密封劑 820:膠帶 822:導電連接件 830:底部填充料 900:封裝 D1 :深度 T1,T2,T3,T4:厚度 W1 :寬度
根據以下的詳細說明並配合所附圖式做完整揭露。應被強調的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1圖至第6圖繪示根據一些實施例之半導體裝置製造的各個中間階段的剖面圖。 第7圖至第22圖繪示根據一些實施例之半導體封裝製造的各個中間階段的剖面圖。 第23圖至第35圖繪示根據一些實施例之半導體封裝製造的各個中間階段的剖面圖。 第36圖至第44圖繪示根據一些實施例之封裝製造的各個中間階段的剖面圖。 第45圖至第51圖繪示根據一些實施例之封裝製造的各個中間階段的剖面圖。
180:重分佈結構
190:導電連接件
194:密封劑
198:接著劑(接著層)
200-1:半導體結構(半導體層)
200-2:半導體結構
211:半導體封裝

Claims (14)

  1. 一種封裝結構,包括:一第一半導體裝置,藉由複數個第一導電連接件而結合至一第一重分佈結構之一第一側,該第一半導體裝置包括形成在一第一基板上的複數個第一被動元件,該第一重分佈結構包括複數個介電層,該等介電層中包含複數個金屬化圖樣,該第一重分佈結構的該等金屬化圖樣係電性耦接至該等第一被動元件;一第一電連接件,在該第一重分佈結構之該第一側上,該第一電連接件係與該第一半導體裝置間隔分開;一第一密封劑,在該第一半導體裝置及該第一電連接件的側壁上;一第二半導體裝置,藉由複數個第二導電連接件而結合至該第一重分佈結構之一第二側,該第一重分佈結構之該第二側係與該第一重分佈結構之該第一側相對,該第二半導體裝置包括形成在一第二基板上的複數個第二被動元件,該第一重分佈結構的該等金屬化圖樣係電性耦接至該等第二被動元件;一第二電連接件,在該第一重分佈結構之該第二側上,該第二電連接件係與該第二半導體裝置間隔分開;一第二密封劑,在該第二半導體裝置及該第二電連接件的側壁上;一第三半導體裝置,藉由複數個第三導電連接件而結合至一第二重分佈結構之一第一側,該第三半導體裝置包括形成在一第三基板上的複數個第三被動元件,該第二重分佈結構包括複數個介電層,該等介電層中包含複數個金屬化圖樣,該第二重分佈結構的該等金屬化圖樣係電性耦接至該等第三被動元件,該第二重分佈結構之該第一側面向該第一重分佈結構之該第二側;一第三電連接件,在該第二重分佈結構之該第一側上,該第三電連接件係與 該第三半導體裝置間隔分開,該第三電連接件係由一第一焊料凸塊結合至該第二電連接件;以及一第三密封劑,在該第三半導體裝置及該第三電連接件的側壁上。
  2. 如請求項1之封裝結構,其中該等第一被動元件為複數個第一深槽電容,且其中該等第二被動元件為複數個第二深槽電容,該等第一深槽電容及該等第二深槽電容係以並聯方式電性耦接在一起。
  3. 如請求項1之封裝結構,其中該等第一導電連接件包括複數個焊料凸塊,其中該第一電連接件包括一焊料凸塊,其中該第一電連接件之該焊料凸塊大於該等第一導電連接件之該等焊料凸塊的每一者。
  4. 如請求項1之封裝結構,更包括:一第一底部填充料,介於該第二密封劑與該第三密封劑之間,且接觸該第二密封劑與該第三密封劑,該第一底部填充料圍繞該第一焊料凸塊。
  5. 如請求項1之封裝結構,其中該第一電連接件包括延伸通過該第一密封劑的一焊料凸塊,且其中該第二電連接件包括延伸通過該第二密封劑的一導電柱。
  6. 如請求項5之封裝結構,其中該第三電連接件包括延伸通過該第三密封劑的一焊料凸塊,且該封裝結構更包括:複數個第四導電連接件,在該第二重分佈結構之一第二側,該第二重分佈結構之該第二側係與該第二重分佈結構之該第一側相對。
  7. 如請求項6之封裝結構,更包括:一第一積體電路結構,包括一第一積體電路晶粒,該第一積體電路晶粒包括 複數個主動裝置;一第四密封劑,密封該第一積體電路結構、該第一密封劑、該第二密封劑、該第三密封劑、該第一重分佈結構、該第二重分佈結構、以及該等第四導電連接件;以及一第三重分佈結構,在該第四密封劑、該第一積體電路結構、及該等第四導電連接件上,該第三重分佈結構包括複數個介電層,該等介電層中包含複數個金屬化圖樣,該第三重分佈結構的該等金屬化圖樣係電性耦接至該第一積體電路晶粒及該等第四導電連接件。
  8. 如請求項7之封裝結構,更包括:複數個第五導電連接件,在該第三重分佈結構上;以及一封裝基板,藉由該等第五導電連接件而機械性且電性耦接至該第三重分佈結構。
  9. 一種封裝結構,包括:一第一被動封裝,包括:一第一封裝構件,包括一第一被動晶粒、一第二被動晶粒、一第一重分佈結構、以及一第一電連接件,該第一被動晶粒及該第二被動晶粒係結合至該第一重分佈結構之兩側;一第二封裝構件,結合至該第一封裝構件,該第二封裝構件包括一第三被動晶粒、一第四被動晶粒、一第二重分佈結構、一第二電連接件、以及一第三電連接件,該第三被動晶粒及該第四被動晶粒係結合至該第二重分佈結構之兩側,該第二電連接件藉由一第一焊料凸塊而結合至該第一電連接件;以及一第三封裝構件,結合至該第二封裝構件,該第三封裝構件包括一第五被動 晶粒、一第三重分佈結構、以及一第四電連接件,該第五被動晶粒係結合至該第三重分佈結構之一第一側,該第四電連接件藉由一第二焊料凸塊而結合至該第三電連接件,該第一重分佈結構、該第二重分佈結構及該第三重分佈結構之每一者包括複數個介電層,該等介電層中包含複數個金屬化圖樣,該第一被動晶粒、該第二被動晶粒、該第三被動晶粒、該第四被動晶粒及該第五被動晶粒之每一者包括複數個被動裝置;一第一積體電路封裝,包括至少一積體電路晶粒,該至少一積體電路晶粒包括複數個主動裝置;一第一密封劑,至少橫向地密封該第一被動封裝及該第一積體電路封裝;以及一第四重分佈結構,在該第一密封劑、該第一積體電路封裝、以及該第一被動封裝上,該第四重分佈結構包括複數個介電層,該等介電層中包含複數個金屬化圖樣,該第四重分佈結構之該等金屬化圖樣係電性耦接至該第一積體電路封裝及該第一被動封裝。
  10. 如請求項9之封裝結構,其中該等被動晶粒之每一者的該等被動裝置為複數個深槽電容。
  11. 如請求項9之封裝結構,更包括:一第一組導電連接件,在該第四重分佈結構上;以及一封裝基板,藉由該第一組導電連接件而機械性且電性耦接至該第四重分佈結構。
  12. 一種封裝方法,包括:形成一第一封裝構件,包括: 將一第一重分佈結構形成在一第一載體基板上方,該第一重分佈結構包括複數個介電層,該等介電層中包含複數個金屬化圖樣;將一第一半導體裝置結合至該第一重分佈結構,該第一半導體裝置包括複數個第一被動元件;將一第一電連接件形成在該第一重分佈結構上方;以一第一密封劑密封該第一半導體裝置及該第一電連接件;移除該第一載體基板;將該第一密封劑附接至一第二載體基板;將一第二半導體裝置結合至該第一重分佈結構,該第二半導體裝置包括複數個第二被動元件,該第二半導體裝置及該第一半導體裝置係結合至該第一重分佈結構之兩側;將一第二電連接件形成在該第一重分佈結構上方;以一第二密封劑密封該第二半導體裝置及該第二電連接件;以及移除該第二載體基板;形成一第二封裝構件,包括:將一第二重分佈結構形成在一第三載體基板上方,該第二重分佈結構包括複數個介電層,該等介電層中包含複數個金屬化圖樣;將一第三半導體裝置結合至該第二重分佈結構,該第三半導體裝置包括複數個第三被動元件;將一第三電連接件形成在該第二重分佈結構上方;以及以一第三密封劑密封該第三半導體裝置及該第三電連接件;以一第一組導電連接件將該第一封裝構件結合至該第二封裝構件,該第一組 導電連接件之至少一者電性接觸該第一電連接件及該第二電連接件;將一第一底部填充料形成在該第一封裝構件與該第二封裝構件之間,該第一底部填充料圍繞該第一組導電連接件;移除該第三載體基板;以及將一第二組導電連接件形成在該第二重分佈結構上方,該第二組導電連接件與該第三半導體裝置係在該第二重分佈結構之相反側。
  13. 如請求項12之封裝方法,更包括:形成一第一封裝,包括:形成一第一積體電路封裝,包括至少一積體電路晶粒,該至少一積體電路晶粒包括複數個主動裝置;以一第四密封劑密封結合的該第一封裝構件及該第二封裝構件以及該第一積體電路封裝;以及將一第三重分佈結構形成在該第四密封劑、該第一積體電路封裝、以及結合的該第一封裝構件及該第二封裝構件上,該第三重分佈結構包括複數個介電層,該等介電層中包含複數個金屬化圖樣,該第三重分佈結構之該等金屬化圖樣係電性耦接至該第一積體電路封裝及該第二組導電連接件。
  14. 如請求項13之封裝方法,更包括:以一第三組導電連接件將該第一封裝結合至一封裝基板;以及將一第二底部填充料形成在該第一封裝與該封裝基板之間,該第二底部填充料圍繞該第三組導電連接件。
TW110121644A 2020-06-15 2021-06-15 封裝結構及封裝方法 TWI771061B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/901,682 2020-06-15
US16/901,682 US11296065B2 (en) 2020-06-15 2020-06-15 Semiconductor packages and methods of forming same

Publications (2)

Publication Number Publication Date
TW202201668A TW202201668A (zh) 2022-01-01
TWI771061B true TWI771061B (zh) 2022-07-11

Family

ID=77868206

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110121644A TWI771061B (zh) 2020-06-15 2021-06-15 封裝結構及封裝方法

Country Status (3)

Country Link
US (2) US11296065B2 (zh)
CN (1) CN113471176B (zh)
TW (1) TWI771061B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11756988B2 (en) * 2020-08-20 2023-09-12 Nanya Technology Corporation Semiconductor structure and method for fabricating the same
US11791332B2 (en) * 2021-02-26 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked semiconductor device and method
US11749661B2 (en) * 2021-06-30 2023-09-05 Qualcomm Incorporated Package comprising a substrate and a multi-capacitor integrated passive device
US11978729B2 (en) * 2021-07-08 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device package having warpage control and method of forming the same
KR20240020802A (ko) 2022-08-09 2024-02-16 국방과학연구소 짧은 알킬티올 리간드를 가지는 귀금속 칼코제나이드 양자점 기반 적외선 광검출기 및 이의 제조방법
CN116018060B (zh) * 2023-03-27 2023-06-13 长鑫存储技术有限公司 半导体结构及其制备方法、封装结构

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180286824A1 (en) * 2017-03-30 2018-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming package structure
US20190027468A1 (en) * 2011-10-18 2019-01-24 Psemi Corporation Multi-layer power converter with devices having reduced lateral current
US20190131273A1 (en) * 2017-10-27 2019-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chip wafer level packages and methods of forming the same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7247932B1 (en) * 2000-05-19 2007-07-24 Megica Corporation Chip package with capacitor
JP2003318361A (ja) * 2002-04-19 2003-11-07 Fujitsu Ltd 半導体装置及びその製造方法
JP2006049341A (ja) * 2004-07-30 2006-02-16 Renesas Technology Corp 半導体装置およびその製造方法
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9461018B1 (en) 2015-04-17 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out PoP structure with inconsecutive polymer layer
US9666502B2 (en) 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
EP3346492A3 (en) * 2017-01-05 2018-08-08 MediaTek Inc. Semiconductor chip package and fabrication method thereof
US11315891B2 (en) * 2018-03-23 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor packages having a die with an encapsulant
US10854552B2 (en) * 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190027468A1 (en) * 2011-10-18 2019-01-24 Psemi Corporation Multi-layer power converter with devices having reduced lateral current
US20180286824A1 (en) * 2017-03-30 2018-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming package structure
US20190131273A1 (en) * 2017-10-27 2019-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chip wafer level packages and methods of forming the same

Also Published As

Publication number Publication date
US11296065B2 (en) 2022-04-05
CN113471176B (zh) 2024-02-23
US20220216192A1 (en) 2022-07-07
TW202201668A (zh) 2022-01-01
US20210391314A1 (en) 2021-12-16
CN113471176A (zh) 2021-10-01

Similar Documents

Publication Publication Date Title
US11018088B2 (en) Dummy features in redistribution layers (RDLS) and methods of forming same
TWI642157B (zh) 半導體封裝件及其形成方法
TWI710072B (zh) 半導體裝置封裝體及其製造方法
TWI771061B (zh) 封裝結構及封裝方法
TWI803899B (zh) 半導體結構、封裝結構、及封裝方法
KR20190003403A (ko) 반도체 패키지 및 방법
KR102585621B1 (ko) 집적 회로 패키지 및 방법
TWI753407B (zh) 積體電路封裝及方法
TWI724653B (zh) 半導體裝置及其形成方法
TWI803310B (zh) 積體電路元件和其形成方法
TWI792346B (zh) 半導體裝置及其製造方法
TWI783449B (zh) 半導體封裝及其形成方法
US20230378012A1 (en) Integrated Circuit Packages and Methods of Forming the Same
TW202038396A (zh) 積體電路封裝體及其製造方法
TWI838073B (zh) 積體電路封裝及其形成方法
TWI824395B (zh) 封裝結構及其製造方法
US20240178086A1 (en) Package, package structure and method of manufacturing package structure
JP2022027741A (ja) パッケージ構造体及びその形成方法
TW202329377A (zh) 半導體封裝及其製造方法
TW202335202A (zh) 裝置封裝及其製造方法
TW202347678A (zh) 積體電路裝置
KR20200066550A (ko) 집적 회로 패키지 및 방법