TWI757607B - 定向腔室及處理基板的方法 - Google Patents

定向腔室及處理基板的方法 Download PDF

Info

Publication number
TWI757607B
TWI757607B TW108122038A TW108122038A TWI757607B TW I757607 B TWI757607 B TW I757607B TW 108122038 A TW108122038 A TW 108122038A TW 108122038 A TW108122038 A TW 108122038A TW I757607 B TWI757607 B TW I757607B
Authority
TW
Taiwan
Prior art keywords
substrate
orientation
chamber
orientation chamber
gas
Prior art date
Application number
TW108122038A
Other languages
English (en)
Other versions
TW202006877A (zh
Inventor
洪偉華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202006877A publication Critical patent/TW202006877A/zh
Application granted granted Critical
Publication of TWI757607B publication Critical patent/TWI757607B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本揭露實施例提供一種半導體基板處理系統的定向腔室。定向腔室包括基板固持座、定向檢測器及吹掃系統。基板固持座配置以固持基板。定向檢測器配置以檢測基板的定向。吹掃系統配置以將清潔氣體注入定向腔室中並從基板上移除汙染物。

Description

定向腔室及處理基板的方法
本發明實施例關於一種半導體基板處理系統及方法,特別係有關於一種半導體基板處理系統的定向腔室,其具有除氣(degassing)功能。
半導體積體電路(integrated circuit,IC)產業經歷了指數級的成長。在積體電路材料及設計上的技術進步下,產生了多個世代的積體電路,其中每一世代相較前一世代具有更小更複雜的電路。在積體電路發展的過程中,功能密度(即,每一晶片區域內互連元件的數目)通常增加,而幾何尺寸(即,製程中所能產出的最小構件(或者線))則縮小。尺寸縮小的製程通常提供生產效率增加及製造成本降低的好處。然而,此種尺寸縮小的情況也增加了加工及製造積體電路的複雜度。例如,隨著特徵尺寸的縮小,相關電路在製造過程中對於汙染變得更加敏感。
聚集工具(Cluster tools)為半導體製造中的一重要發展。通過在單一機殼內提供多個工具,可以在半導體基板上執行若干個製造程序而不會將其暴露於含有大量汙染物的外部環境。聚集工具內的密封件可用於建立不同的氣體環境區域(atmospheric zones)。舉例來說,處理模組和中央轉移腔室可以在真空環境下操作,而負載鎖定腔室和基板輸送載具可以在惰性氣體環境下操作。此外,由於基板不直接暴露於晶圓廠環境,因此可以在基板周圍保持較少微粒的氣體環境,而晶圓廠的其餘部分則可採用不太嚴格的控制。
雖然現有的半導體基板處理系統及方法通常是足夠的,但它們仍無法在各個方面令人滿意。
本揭露一些實施例提供一種定向腔室(orientation chamber)。所述定向腔室包括基板固持座、定向檢測器及吹掃(purging)系統。基板固持座配置以固持基板。定向檢測器配置以檢測基板的定向。吹掃系統配置以將清潔氣體注入定向腔室中並從基板上移除汙染物。
本揭露一些實施例提供一種處理基板的方法。所述方法包括:提供用於基板處理的半導體基板處理系統,包括定向腔室及處理模組;在定向腔室中定向(orienting)基板;在處理模組中處理基板;將經處理過的基板從處理模組轉移到定向腔室;以及在定向腔室中進行除氣處理(degassing process)。
本揭露一些實施例提供一種處理基板的方法。所述方法包括:提供用於基板處理的半導體基板處理系統,包括定向腔室及處理模組;在定向腔室中定向基板;在定向腔室中定向基板之同時,將第一清潔氣體注入定向腔室中以移除基板上的微粒汙染物;在處理模組中處理基板;將經處理過的基板從處理模組轉移到定向腔室;以及將第二清潔氣體注入定向腔室中,以將從經處理過的基板釋放(outgassed)的鹵素氣體移除。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下描述具體的構件及其排列方式的實施例以闡述本揭露。當然,這些實施例僅作為範例,而不該以此限定本揭露的範圍。例如,在說明書中敘述了一第一特徵形成於一第二特徵之上或上方,其可能包含第一特徵與第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於第一特徵與第二特徵之間,而使得第一特徵與第二特徵可能未直接接觸的實施例。另外,在本揭露不同範例中可能使用重複的參考符號及/或標記,此重複係為了簡化與清晰的目的,並非用以限定所討論的各個實施例及/或結構之間有特定的關係。為了簡單和清楚起見,可以以不同比例任意繪製各種特徵。
再者,空間相關用語,例如“在…下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用語,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用語意欲包含使用中或操作中的裝置之不同方位。設備/裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。應當理解的是,可以在所述方法之前、期間及之後提供額外的操作,並且對於方法的其他實施例,可以替換或消除所述的一些操作。
參考第1圖,在一些實施例中,半導體基板處理系統10被配置用以處理基板W。基板W可包括一或多個半導體、導體及/或絕緣層。半導體層可包括例如矽或鍺的基本半導體,其具有單晶、多晶、非晶及/或其他合適的結構;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;任何其他合適的材料;及/或上述的組合。在一些實施例中,半導體的組合可採用混合物或梯度的形式,例如基板中的Si和Ge的比率可在不同位置上變化。在一些實施例中,基板W可包括層狀半導體。範例包括在絕緣體上層疊半導體層,例如用以製造絕緣體上矽(silicon-on-insulator,SOI)基板、藍寶石上矽(silicon-on-sapphire)基板或絕緣體上矽鍺(silicon-germanium-on-insulator)基板,或者在玻璃層疊半導體以製造薄膜電晶體(thin film transistor,TFT)。
如第1圖所示,半導體基板處理系統10為聚集工具(Cluster tools),其包括具有轉移機構13(例如,多軸機械手臂)的中央轉移腔室12、一或多個處理模組(process module)14、一或多個負載鎖定腔室(load lock chambers)16、具有轉移機構19(例如,多軸機械手臂)的設備前端模組(equipment front end module,EFEM)18、一或多個裝載埠20及定位腔室(orientation chamber)22。中央轉移腔室12連接到處理模組14和負載鎖定腔室16,此配置允許轉移機構13在處理模組14和負載鎖定腔室16之間轉移基板W。應當理解,在不同實施例中可以添加或省略半導體基板處理系統10的元件,並且本發明不以實施例為限。
處理模組14可配置以在基板W上執行各種製造程序。基板製造程序可包括沉積製程,例如物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)、電漿增強型化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)、電化學沉積(electrochemical deposition,ECD)、分子束磊晶(molecular beam epitaxy,MBE)、原子層沉積(atomic layer deposition,ALD)及/或其他沉積製程;蝕刻製程,包括濕式和乾式蝕刻及離子束磨蝕(ion beam milling);微影曝光;離子佈植;熱處理,例如退火及/或熱氧化;清洗處理,例如漂洗及/或電漿灰化(plasma ashing);化學機械研磨或化學機械平坦化(統稱”CMP”)製程;測試;任何涉及處理基板W的程序;及/或任何程序的組合。在一些實施例中,每個處理模組14用於在基板W上執行特定的製造程序。在各種實施例中,在被送出半導體基板處理系統10之前,基板W可由一或多個處理模組14處理。
在一些實施例中,由中央轉移腔室12和處理模組14界定的半導體基板處理系統10的區域是密封的。氣體環境控制(Atmospheric controls),包括過濾,可以提供極低水平(low levels)的微粒和空氣分子汙染(airborne molecular contamination,AMC)的環境,其中微粒和空氣分子汙染兩者都可能損壞基板W。通過在半導體基板處理系統10內建立微環境,處理模組14可以在比周圍設施更清潔的環境下操作,如此允許在基板處理期間以較低的成本更嚴格地管控汙染物。儘管未示出,處理模組14和中央轉移腔室12可以在基板處理期間通過使用真空系統而在真空環境下操作。
負載鎖定腔室16可通過將中央轉移腔室12和處理模組14與設備前端模組18分離來保持中央轉移腔室12和處理模組14內的氣體環境。如第1圖所示,每個負載鎖定腔室16包括兩個門,連接到中央轉移腔室12的第一門16A與連接到設備前端模組18的第二門16B。基板W被送入負載鎖定腔室16中之後,兩個門被密封。負載鎖定腔室16能夠產生與設備前端模組18或中央轉移腔室12相容的氣體環境,取決於裝載的基板W預定的後續位置。這可能需要通過例如添加淨化氣體(或惰性氣體)或建立真空的機構以及用於調節負載鎖定腔室氣體環境的其他合適的工具來改變負載鎖定腔室16中的氣體含量。當達到正確的氣體環境時,可以開啟對應的門,並且接收基板W。在一些實施例中,一個負載鎖定腔室16可被配置以僅處理未處理過的(unprocessed)基板W,而另一個負載鎖定腔室16可被配置以處理經處理過的(processed)基板W。
設備前端模組18可提供一封閉環境,在其中將基板W傳入及傳出半導體基板處理系統10。設備前端模組18包含轉移機構19,其負責執行基板W的物理轉移。在一些實施例中,也可以配置氣體處理系統(圖未示)以在設備前端模組18與裝載埠20之間產生氣體介面B,以限制停靠在裝載埠20的輸送載具21與設備前端模組18之間的氣體流動並減少交叉汙染。
基板W通過裝載埠20載入及載出半導體基板處理系統10。在一些實施例中,基板W包含在輸送載具21中抵達裝載埠20,輸送載具21例如為前開式晶圓傳送盒(front-opening unified pod,FOUP)、前開式出貨盒(front-opening shipping box,FOSB)、標準機械介面晶圓盒(standard mechanical interface (SMIF) pod)及/或其他合適的容器。輸送載具21是用於保持一或多個基板W並用於在不同製造工具或工作站之間輸送基板W的盒。在一些實施例中,輸送載具21可具有例如耦合位置及電子標籤等特徵,以便於與自動物料搬運系統一起使用。輸送載具21可被密封,以便為包含在其中的基板W提供微環境並保護基板W和半導體基板處理系統10免受汙染。為了避免受控氣體環境的損失,輸送載具21可具有專門設計的門,使得輸送載具21保持密封,直到其與裝置埠20對接為止。在被一或多個處理模組14處理之後,基板W可被轉移到用於經處理過的基板W的另一個輸送載具21中,該輸送載具將被輸送到下一個處理系統或檢查站。
定向腔室22可以在隨後的製造程序之前提供定向(orienting)基板W的功能。舉例來說,在第1圖所示的一些實施例中,定向腔室22連接到設備前端模組18。在裝載的基板W在定向腔室22中被適當地定向之後(通過定向處理(orientation processing),這將在後面進一步描述),基板W可以通過設備前端模組18的轉移機構19輸送到負載鎖定腔室16,然後通過中央轉移腔室12的轉移機構13輸送到一或多個處理模組14以進行製造程序。
第2圖是根據一些實施例之第1圖中的定向腔室22的示意側視圖。應當理解,在不同實施例中可以將一些附加元件添加到定向腔室22中,並且在定向腔室22的其他實施例中可以替換或消除下面描述的一些元件。
如第2圖所示,基板固持座23可設置在定向腔室22中,以在執行基板定向之同時固持基板W。在一些實施例中,基板固持座23通過真空力固持或保持基板W在其支持面(例如,所示上表面)上。然而,在不同實施例中也可以使用其他力或夾持機構。此外,驅動機構24可耦合到基板固持座23並配置以驅動基板固持座23和其上的基板W沿著旋轉軸23A轉動。由此,基板W可在定向處理期間旋轉。
定向檢測器25也可設置在定向腔室22中並配置以檢測基板W的定向。在一些實施例中,定向檢測器25可通過光學機構檢測基板W的平邊或其他定向特徵(例如,定向凹口)。例如,定向檢測器25可包括光發射器及光檢測器,在基板W旋轉期間,光發射器朝向基板W的邊緣發射光,光檢測器可以接收從基板W反射的光,從而檢測基板W的平邊或其他定向特徵的位置。在一些替代實施例中,定向檢測器25可為另一種類型的光學定向檢測器,或者定向檢測器25可通過另一種合適的機制來檢測基板W的定向。
當定向檢測器25檢測到基板W的平邊或其他定向特徵時,它產生位置訊號並將位置訊號發送到控制器26(例如,電腦)。根據位置訊號,控制器26控制驅動機構24以使基板固持座23轉動到基板W被適當地定向的位置,以用於隨後的製造程序。然後,基板W從定向腔室22被轉移到處理模組14以進行處理。
在一些實施例中,如第2圖所示,定向腔室22還包括吹掃系統(purging system)27,其配置以將清潔氣體C1注入定向腔室22中並從定向腔室22中移除汙染物。舉例來說,吹掃系統27可配置以在執行基板定向之同時(即,在定向處理期間)將清潔氣體C1注入定向腔室22中,以便在製造程序之前從基板W上移除微粒汙染物。微粒汙染物可能來自輸送載具21及/或設備前端模組18內的環境。如果具有微粒汙染物的基板W進入處理模組14中,則微粒汙染物會對製造程序的結果(例如,良率)產生不利的影響。
在一些實施例中,吹掃系統27可包括進氣管271和出氣管272,進氣管271配置以將清潔氣體C1注入定向腔室22中,而出氣管272配置以從定向腔室22中移除清潔氣體C1(以及汙染物)。舉例來說,在如第2圖所示的實施例中,進氣管271可延伸到或設置在基板固持座23上方,用於將清潔氣體C1引導到基板W的上表面上。至少一個氣體來源(圖未示)可以流體地連接到進氣管271以供應清潔氣體C1。出氣管272可連接到定向腔室22的一壁部分並位於基板固持座23的下方,用於排出流過基板W的清潔氣體C1。排氣泵(圖未示)連接到出氣管272,用於以恆定的流速排出清潔氣體C1。在一些其他實施例中,進氣管271及/或出氣管272的位置及/或數量可以改變。
在一些實施例中,定向腔室22可包括門221(參考第1圖),以將定向腔室22與設備前端模組18物理性地分離,如此允許在不汙染設備前端模組18或半導體基板處理系統10的其他部分的情況下執行吹掃。在一些其他實施例中,可以省略門221(及出氣管272),並且可通過連接到設備前端模組18的氣體處理系統(圖未示)排出清潔氣體C1。
在一些實施例中,定向腔室22還可以配置成在將基板W載出半導體基板處理系統10之前執行除氣處理(degassing process),此將在後面進一步描述。除氣處理是在基板W通過處理模組14處理並輸送回定向腔室22之後執行。在如第5圖所示的一些實施例中,在除氣處理期間,基板W由基板固持座23固定地保持(即,不會旋轉)。
為了執行除氣處理,也可以使用吹掃系統27(如上所述)以上述類似的方式將清潔氣體C2注入定向腔室22中,以從基板W上移除鹵素氣體(也視為汙染物),如第5圖所示。在基板製造程序中經常使用含鹵素化合物,這些包括NF3 、CF4 ,、SF6 、CH2 F2 ,、CHF3 、C2 F6 、Cl2 、CHCl3 、CCl4 、BCl3 、HBr、CHBr3 及/或其他類似物。這些化合物可能直接黏附到基板W上。此外,鹵素可能與化合物分離並與基板W結合。結合的鹵素有可能在之後發生脫氣(outgas)並汙染其他基板及工具。
在一些實施例中,如第2圖所示,氣體檢測器28設置在定向腔室22中,以檢測從基板W釋放(outgassed)的化合物。氣體檢測器28可用於檢測特定鹵素,包括氟、氯、溴、碘及/或其組合。另外,氣體檢測器28產生響應於從基板W釋放的特定鹵素的含量的檢測訊號,並將檢測訊號發送到控制器26。根據檢測訊號,控制器26控制安裝於進氣管271上的氣體調節器273(例如,節流閥或氣壓控制器)以調節供應到定向腔室22中的清潔氣體C2的量,使得清潔氣體的量足以移除來自基板W的鹵素氣體。
儘管在本實施例中氣體檢測器28是設置在定向腔室22的底部,但是在不同實施例中,它也可以設置在定向腔室22內的其他合適位置。此外,也可以使用多個氣體檢測器28。
參考第3圖,在一些其他實施例中,還可配置能量源29以向基板W提供能量以加速基板W上的化學物質(即,鹵素)的釋放。能量源29可為紫外光源、微波發射器、電漿產生器、加熱機構及/或其他合適的能量源。在一些實施例中,能量源29可以設置在定向腔室22的受控環境中,如第3圖所示。在一些替代實施例中,能量源29可位於受控環境之外並由可穿透的屏障(permeable barrier)隔開,如此可以維修能量源29而不會汙染受控環境。
在除氣處理的一些範例中,可通過能量源29使用紫外光或微波來照射基板W,鹵素氣體從基板W釋放後通過出氣管272排出。在另一些範例中,基板可通過能量源29被加熱到不低於100℃的溫度(優選地在100℃和250℃之間),並且在小於100 Torr的真空環境中,加熱與真空結合一起從基板W吸取(draw)鹵素氣體。在又另一些範例中,進氣管271可使基板W暴露於H2 環境,電漿產生器形式的能量源29從H2 產生H離子,與基板W結合的鹵素因此可反應形成氣體並通過出氣管272排出。
在一些實施例中,當不再檢測到特定鹵素時,氣體檢測器28向控制器26發送停止訊號。然後,控制器26控制氣體調節器273或吹掃系統27停止注入清潔氣體C2。之後,通過轉移機構19將經清潔過的基板W從定向腔室22轉移到輸送載具21。
接下來,參考第4圖,其是根據一些實施例的使用上述半導體基板處理系統10處理半導體基板的方法100的簡化流程圖。為了說明,將結合第1到3及5圖中所示的附圖描述流程圖。在不同實施例中,可以替換或消除所述的一些操作。或者,可以在不同實施例中添加一些操作。方法100包括多個操作,例如操作101、102、103、104、105、106、107、108及109。
在操作101中,半導體基板處理系統10接收包含在輸送載具21內的基板W(待處理),並且輸送載具21對接到裝載埠20,如第1圖所示。
在操作102中,通過設備前端模組18的轉移機構19將基板W從輸送載具21取出並插入定向腔室22中,如第1圖所示。
在操作103中,在定向腔室22中進行基板定向(substrate orientation)的同時進行吹掃處理(purging process),如第2圖所示。在一些實施例中,基板定向的進行包括以下步驟:將基板W定位在設置於定向腔室22中的基板固定座23上;通過驅動機構24轉動基板固定座23及其上的基板W;在基板W旋轉期間,通過定向檢測器25檢測基板W的定向(orientation),例如平邊或其他定向特徵;以及根據從定向檢測器25輸出的位置訊號,通過控制器26控制基板固定座23旋轉到基板W被適當地定向的位置,以用於後續的製造程序。
在一些實施例中,在基板定向的過程中,通過將清潔氣體注入定向腔室22中並從定向腔室22中移除清潔氣體來同時進行吹掃處理。舉例來說,在一些實施例中(參考第2圖),吹掃系統27通過進氣管271將第一清潔氣體C1注入或引導到基板W的上表面上,並且通過出氣管272將第一清潔氣體C1排出定向腔室22。由此,穿過基板W的上表面的第一清潔氣體C1的流動可在後續的製造程序之前從基板W上移除微粒汙染物,從而改善製造程序的表現。此外,因為吹掃處理與基板定向同時進行,節省了時間。
在一些實施例中,(在定向處理期間供應的)第一清潔氣體C1可以是惰性氣體,例如N2 、氬氣及/或其他惰性氣體;反應性氣體,例如O3 、O2 、NO、水蒸氣及/或清潔乾燥空氣(clean dry air,CDA);其他合適的吹掃氣體;及/或上述的組合。
在一些實施例中,第一清潔氣體C1以足以從基板W上移除微粒汙染物的流速被供應或注入。例如,注入到定向腔室22中的第一清潔氣體C1的流速可以在約10 sccm和約2000 sccm之間。在一特定範例中,第一清潔氣體C1是清潔乾燥空氣,其以約100 sccm和約1000 sccm之間的流速流過基板W的上表面。
在操作104中,將基板W轉移到處理模組14。在一些實施例中,如第1圖所示,經定向過的基板W通過設備前端模組18的轉移機構19轉移到負載鎖定腔室16,然後通過中央轉移腔室12的轉移機構13再轉移到處理模組14。應當理解,可以對本揭露的實施例進行許多變化及修改。
在操作105中,在處理模組14中對基板W執行製造程序。在一些實施例中,如第1圖所示,如果需要在另一個處理模組14中的另一道製造程序,則中央轉移腔室12的轉移機構13會將基板W轉移到另一個處理模組14以進行進一步處理。如果不需要,那麼經處理過的基板W通過轉移機構13傳送到負載鎖定腔室16,然後在操作106中通過轉移機構19傳送回定向腔室22。應當理解,可以對本揭露的實施例進行許多變化及修改。
在操作107中,設置在定向腔室22中的氣體檢測器28檢測從基板W釋放的化合物。在一些實施例中,氣體檢測器28可用於檢測特定鹵素,包括氟、氯、溴、碘及/或其組合。如果沒有檢測到特定鹵素,則氣體檢測器28向控制器26(參考第2圖)發送轉移訊號。然後,在操作108中,控制器26(通過未示出的連接手段)控制轉移機構19以將基板W轉移到位於另一個裝載埠20的另一個輸送載具21。在各種實施例中,在輸送載具21中填滿了經處理過的基板W之後,可將其輸送到下一個處理系統或檢查站。
如果檢測到特定鹵素,則氣體檢測器28向控制器26發送吹掃訊號。然後,在操作109中,控制器26(通過未示出的連接手段)控制吹掃系統27以通過進氣管271將第二清潔氣體C2注入定向腔室22中,並通過出氣管272將第二清潔氣體C2排出定向腔室22,來執行除氣處理(參考第5圖)。穿過基板W的上表面的第二清潔氣體C2的流動可從基板W上移除鹵素氣體。在一些實施例中,在除氣處理期間,基板W由基板固持座23固定地保持(即,不會旋轉),如第5圖所示。
在一些實施例中,(在除氣處理期間供應的)第二清潔氣體C2可以是惰性氣體,例如N2 、氬氣及/或其他惰性氣體;反應性氣體,例如O3 、O2 、NO、水蒸氣及/或清潔乾燥空氣(CDA);其他合適的吹掃氣體;及/或上述的組合。在一些實施例中,供應的第二清潔氣體C2不同於(在基板定向期間供應的)第一清潔氣體C1。在一特定範例中,在除氣處理期間,吹掃系統27將惰性氣體或反應性氣體注入定向腔室22中以從基板W上移除鹵素氣體(而在基板定向期間,吹掃系統27將清潔乾燥空氣注入定向腔室22中以從基板W上移除微粒汙染物)。然而,在一些替代實施例中,供應的第二清潔氣體C2和第一清潔氣體C1可為相同種類的氣體。
在一些實施例中,氣體檢測器28進一步產生響應於從基板W釋放的特定鹵素的含量的檢測訊號,並將檢測訊號發送到控制器26。根據檢測訊號,控制器26控制安裝於進氣管271上的氣體調節器273以調節供應到定向腔室22中的第二清潔氣體C2的量。
在一些實施例中,調節供應的第二清潔氣體C2的量,使得其足以從基板W上移除鹵素氣體。舉例來說,當注入到定向腔室22中的第二清潔氣體C2的流速在約100 sccm和約5000 sccm之間(其等於或大於第一清潔氣體C1的流速)時,第二清潔氣體C2在基板W的上表面流過一足夠長的時間可以約為30秒。在一特定範例中,第二清潔氣體C2是惰性氣體(例如,N2 ),其以約100 sccm和約1000 sccm之間的流速流過基板W的上表面。在另一特定範例中,第二清潔氣體C2是反應性氣體(例如,O2 ),其以約100 sccm和約5000 sccm之間的流速流過基板W的上表面。
在一些實施例中,還可配置能量源29以向基板W提供能量以加速基板W上的化學物質(即,鹵素)的釋放,如第3圖所示。能量源29可為紫外光源、微波發射器、電漿產生器、加熱機構及/或其他合適的能量源。在鹵素氣體從基板W釋放後,可通過出氣管272將其從定向腔室22中移除。
在一些實施例中,當不再檢測到特定鹵素時,氣體檢測器28向控制器26發送停止訊號。然後,控制器26控制氣體調節器273或吹掃系統27停止注入清潔氣體C2。之後,同樣在控制器26的控制下,在操作108中,通過轉移機構19將經清潔過的基板W從定向腔室22轉移到輸送載具21。在輸送載具21中填滿了經處理過的基板W之後,在一些實施例中,可將其輸送到下一個處理系統或檢查站。
本揭露實施例具有一些有利的優點:設置於定向腔室的吹掃系統可將清潔氣體注入定向腔室中以從基板上移除汙染物。在一些實施例中,在進行基板定向的同時,吹掃系統可執行吹掃處理以移除基板上的微粒汙染物。藉此,可以改善在基板定向之後執行的製造程序的表現,並且節省時間。替代地或另外地,吹掃系統可以與氣體檢測器配合以執行除氣處理,以在基板被送回輸送載具之前從基板上移除鹵素氣體。因此,可以防止從基板釋放的鹵素氣體汙染其他基板及工具。結果,進一步改善了半導體基板處理系統的良率。再者,由於上述定向腔室具有除氣功能,因此不需要額外的除氣腔室。
根據本揭露一些實施例,提供一種定向腔室,包括基板固持座、定向檢測器及吹掃系統。基板固持座配置以固持基板。定向檢測器配置以檢測基板的定向。吹掃系統配置以將清潔氣體注入定向腔室中並從基板上移除汙染物。在一些實施例中,吹掃系統包括進氣管,配置以將清潔氣體注入定向腔室中並將清潔氣體引導到基板。在一些實施例中,吹掃系統更包括出氣管,配置以將清潔氣體從定向腔室中移除。在一些實施例中,吹掃系統更包括氣體調節器,安裝於進氣管上,並配置以調節供應到定向腔室中的清潔氣體的量。在一些實施例中,氣體調節器根據從氣體檢測器輸出的檢測訊號調節供應到定向腔室中的清潔氣體的量,檢測訊號表示從基板釋放的特定氣體汙染物的含量。在一些實施例中,清潔氣體選自於由惰性氣體、反應性氣體及清潔乾燥空氣組成之群組。在一些實施例中,定向腔室更包括能量源,配置以向基板提供能量以加速基板上化學物質的釋放。在一些實施例中,定向腔室更包括驅動機構,配置以根據從定向檢測器輸出的位置訊號驅動基板固持座轉動基板。
根據本揭露一些實施例,提供一種處理基板的方法。所述方法包括:提供用於基板處理的半導體基板處理系統,包括定向腔室及處理模組;在定向腔室中定向基板;在處理模組中處理基板;將經處理過的基板從處理模組轉移到定向腔室;以及在定向腔室中進行除氣處理。在一些實施例中,除氣處理通過將第一清潔氣體注入定向腔室中以將從經處理過的基板釋放的鹵素氣體移除來進行。在一些實施例中,所述方法更包括通過定向腔室中的吹掃系統將第一清潔氣體注入定向腔室中的操作。在一些實施例中,所述方法更包括在進行除氣處理之前檢測定向腔室內的特定鹵素的操作。在一些實施例中,除氣處理通過進一步調節注入定向腔室中的第一清潔氣體的量,使得第一清潔氣體足以移除來自經處理過的基板的鹵素氣體來進行。在一些實施例中,所述方法更包括通過設置於定向腔室的能量源向基板提供能量以在除氣處理期間加速基板上鹵素氣體的釋放的操作。在一些實施例中,能量源選自於由紫外光源、微波發射器、電漿產生器及加熱機構組成之群組。在一些實施例中,所述方法更包括在定向腔室中定向基板之同時在基板上進行吹掃處理的操作。在一些實施例中,吹掃處理通過將第二清潔氣體注入定向腔室中以移除基板上的微粒汙染物來進行。
根據本揭露一些實施例,提供一種處理基板的方法。所述方法包括:提供用於基板處理的半導體基板處理系統,包括定向腔室及處理模組;在定向腔室中定向基板;在定向腔室中定向基板之同時,將第一清潔氣體注入定向腔室中以移除基板上的微粒汙染物;在處理模組中處理基板;將經處理過的基板從處理模組轉移到定向腔室;以及將第二清潔氣體注入定向腔室中,以將從經處理過的基板釋放的鹵素氣體移除。在一些實施例中,注入到定向腔室中的第一清潔氣體的流速不同於注入到定向腔室中的第二清潔氣體的流速。在一些實施例中,第一清潔氣體不同於第二清潔氣體。
以上雖然詳細描述了本揭露的實施例及它們的優勢,但應該理解,在不背離所附申請專利範圍限定的本揭露的精神和範圍的情況下,對本揭露可作出各種變化、替代和修改。舉例而言,本領域技術人員將容易理解,可以改變本文中描述的許多特徵、功能、製程和材料而仍保持在本揭露的範圍內。此外,本申請的範圍不旨在限制於說明書中所述的製程、機器、製造、物質組成、工具、方法和步驟的特定實施例。作為本領域的普通技術人員將容易地從本揭露中理解,根據本揭露,可以利用現有的或今後將被開發的、執行與在本揭露所述的對應實施例基本相同的功能或實現基本相同的結果的製程、機器、製造、物質組成、工具、方法或步驟。因此,所附申請專利範圍旨在將這些製程、機器、製造、物質組成、工具、方法或步驟包括它們的範圍內。此外,每一個申請專利範圍構成一個單獨的實施例,且不同申請專利範圍和實施例的組合都在本揭露的範圍內。
10‧‧‧半導體基板處理系統; 12‧‧‧中央轉移腔室; 13‧‧‧轉移機構; 14‧‧‧處理模組; 16‧‧‧負載鎖定腔室; 16A‧‧‧第一門; 16B‧‧‧第二門; 18‧‧‧設備前端模組; 19‧‧‧轉移機構; 20‧‧‧裝載埠; 21‧‧‧輸送載具; 22‧‧‧定位腔室; 221‧‧‧門; 23‧‧‧基板固持座; 23A‧‧‧旋轉軸; 24‧‧‧驅動機構; 25‧‧‧定向檢測器; 26‧‧‧控制器; 27‧‧‧吹掃系統; 271‧‧‧進氣管; 272‧‧‧出氣管; 273‧‧‧氣體調節器; 28‧‧‧氣體檢測器; 29‧‧‧能量源; 100‧‧‧方法; 101、102、103、104、105、106、107、108、109‧‧‧操作; B‧‧‧氣體介面; C1‧‧‧第一清潔氣體; C2‧‧‧第二清潔氣體; W‧‧‧基板。
第1圖是根據一些實施例之半導體基板處理系統的示意頂視圖。 第2圖是根據一些實施例之第1圖中的定向腔室的示意側視圖。 第3圖是根據一些實施例之第1圖中的定向腔室的示意側視圖。 第4圖是根據一些實施例之半導體基板處理方法的簡化流程圖。 第5圖是顯示根據一些實施例之在定向腔室中進行的除氣處理的示意圖。
100‧‧‧方法
101、102、103、104、105、106、107、108、109‧‧‧操作

Claims (9)

  1. 一種定向腔室,用於定向一基板,包括:一基板固持座,配置以固持該基板;一定向檢測器,配置以檢測該基板的定向;一吹掃系統,配置以在定向該基板之同時將一清潔氣體注入該定向腔室中來進行一吹掃處理的操作,並從該基板上移除汙染物;以及一驅動機構,配置以根據從該定向檢測器輸出的一位置訊號驅動該基板固持座轉動該基板。
  2. 如申請專利範圍第1項所述的定向腔室,其中該吹掃系統包括一進氣管以及一出氣管,該進氣管配置以將該清潔氣體注入該定向腔室中並將該清潔氣體引導到該基板,該出氣管配置以將該清潔氣體從該定向腔室中移除。
  3. 如申請專利範圍第2項所述的定向腔室,其中該吹掃系統更包括一氣體調節器,安裝於該進氣管上,並配置以調節供應到該定向腔室中的該清潔氣體的量,其中該氣體調節器根據從一氣體檢測器輸出的一檢測訊號調節供應到該定向腔室中的該清潔氣體的量,該檢測訊號表示從該基板釋放的一特定氣體汙染物的含量。
  4. 如申請專利範圍第1項所述的定向腔室,更包括一能量源,配置以向該基板提供能量以加速該基板上化學物質的釋放。
  5. 一種處理一基板的方法,包括:提供用於基板處理的一半導體基板處理系統,該半導體基板處理系統包括一定向腔室及一處理模組;在該定向腔室中定向該基板,其中在該定向腔室中定向該基板之同時在該基板上進行一吹掃處理的操作;在該處理模組中處理該基板; 將經處理過的該基板從該處理模組轉移到該定向腔室;以及在該定向腔室中進行一除氣處理。
  6. 如申請專利範圍第5項所述的方法,其中該除氣處理通過將一第一清潔氣體注入該定向腔室中以將從經處理過的該基板釋放的一鹵素氣體移除來進行。
  7. 如申請專利範圍第6項所述的方法,更包括在進行該除氣處理之前檢測該定向腔室內的一特定鹵素的操作。
  8. 如申請專利範圍第5項所述的方法,其中該吹掃處理通過將一第二清潔氣體注入該定向腔室中以移除該基板上的微粒汙染物來進行。
  9. 一種處理一基板的方法,包括:提供用於基板處理的一半導體基板處理系統,該半導體基板處理系統包括一定向腔室及一處理模組;在該定向腔室中定向該基板;在該定向腔室中定向該基板之同時,將一第一清潔氣體注入該定向腔室中以移除該基板上的微粒汙染物;在該處理模組中處理該基板;將經處理過的該基板從該處理模組轉移到該定向腔室;以及將一第二清潔氣體注入該定向腔室中,以將從經處理過的該基板釋放的鹵素氣體移除。
TW108122038A 2018-06-29 2019-06-25 定向腔室及處理基板的方法 TWI757607B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862691918P 2018-06-29 2018-06-29
US62/691,918 2018-06-29
US16/395,377 US10854442B2 (en) 2018-06-29 2019-04-26 Orientation chamber of substrate processing system with purging function
US16/395,377 2019-04-26

Publications (2)

Publication Number Publication Date
TW202006877A TW202006877A (zh) 2020-02-01
TWI757607B true TWI757607B (zh) 2022-03-11

Family

ID=69055321

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108122038A TWI757607B (zh) 2018-06-29 2019-06-25 定向腔室及處理基板的方法

Country Status (2)

Country Link
US (2) US10854442B2 (zh)
TW (1) TWI757607B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10854442B2 (en) * 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Orientation chamber of substrate processing system with purging function
US11848222B2 (en) * 2020-07-09 2023-12-19 Taiwan Semiconductor Manufacturing Company Ltd. System for a semiconductor fabrication facility and method for operating the same
US11670491B2 (en) * 2020-07-15 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Radio frequency screen for an ultraviolet lamp system
EP4056740A1 (de) * 2021-03-10 2022-09-14 Siltronic AG Verfahren zum herstellen von halbleiterscheiben mit epitaktischer schicht in einer kammer eines abscheidereaktors
TWI825975B (zh) * 2021-09-10 2023-12-11 美商愛玻索立克公司 已清洗的封裝用基板的製造方法以及已清洗的封裝用基板
JP2023122329A (ja) * 2022-02-22 2023-09-01 株式会社Screenホールディングス 基板処理方法および基板処理装置
EP4309809A1 (de) * 2022-07-19 2024-01-24 Müller, Axel Reinigungsverfahren mittels gasspülung

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170040203A1 (en) * 2014-01-28 2017-02-09 Brooks Automation, Inc. Substrate transport apparatus
US20180148834A1 (en) * 2015-08-04 2018-05-31 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6040585A (en) * 1999-08-20 2000-03-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for detecting wafer orientation during transport
US6579730B2 (en) * 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
KR100809275B1 (ko) 2006-11-13 2008-03-03 앰코 테크놀로지 코리아 주식회사 웨이퍼 마운트 장비의 웨이퍼 워피지 방지 장치
JP4616873B2 (ja) 2007-09-28 2011-01-19 東京エレクトロン株式会社 半導体製造装置、基板保持方法及びプログラム
JP5796994B2 (ja) 2010-06-08 2015-10-21 株式会社日立国際電気 処理システム、基板処理装置、処理システムのデータ処理方法、収集ユニット及び記録媒体並びに半導体装置の製造方法
CN201812803U (zh) 2010-09-20 2011-04-27 北京北方微电子基地设备工艺研究中心有限责任公司 大气传输单元及具有该大气传输单元的晶片传输系统
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2013175872A1 (ja) 2012-05-23 2013-11-28 東京エレクトロン株式会社 ガス処理方法
CN107354428B (zh) * 2012-07-02 2020-10-20 应用材料公司 用于制造装置的方法和设备
JP6048043B2 (ja) * 2012-09-28 2016-12-21 東京エレクトロン株式会社 基板洗浄方法、基板洗浄装置及び真空処理システム
JP2014086578A (ja) 2012-10-19 2014-05-12 Applied Materials Inc オリエンタチャンバ
GB201421151D0 (en) * 2014-11-28 2015-01-14 Spts Technologies Ltd Method of degassing
US10014196B2 (en) * 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10854442B2 (en) * 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Orientation chamber of substrate processing system with purging function
KR102126466B1 (ko) * 2018-09-27 2020-06-24 크린팩토메이션 주식회사 이에프이엠

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170040203A1 (en) * 2014-01-28 2017-02-09 Brooks Automation, Inc. Substrate transport apparatus
US20180148834A1 (en) * 2015-08-04 2018-05-31 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
US11581181B2 (en) 2023-02-14
TW202006877A (zh) 2020-02-01
US20200006050A1 (en) 2020-01-02
US20210111016A1 (en) 2021-04-15
US10854442B2 (en) 2020-12-01

Similar Documents

Publication Publication Date Title
TWI757607B (zh) 定向腔室及處理基板的方法
US8616821B2 (en) Integrated apparatus to assure wafer quality and manufacturability
US10056264B2 (en) Atomic layer etching of GaN and other III-V materials
JP4531557B2 (ja) 半導体処理ツール内チャンバ間の相互汚染の減少
US20110304078A1 (en) Methods for removing byproducts from load lock chambers
JP2003045933A (ja) ロードポート、基板処理装置および雰囲気置換方法
US10283393B1 (en) Wafer carrying fork, semiconductor device manufacturing system, and wafer transporting method
JP2008109136A (ja) 熱プロセスによってエッチングされた基板からハロゲン残渣を除去するための統合された方法
US10510572B2 (en) Semiconductor processing station
US20190096702A1 (en) Substrate processing apparatus, substrate processing method, and computer storage medium
US10497557B2 (en) Integrated platform for improved wafer manufacturing quality
US10903065B2 (en) Halogen removal module and associated systems and methods
US20210035830A1 (en) Semiconductor manufacturing apparatus
US8999103B2 (en) Substrate processing system, substrate processing method and storage medium
JP2006344762A (ja) 半導体集積回路装置の製造方法
CN110660706B (zh) 定向腔室及处理基板的方法
US11581204B2 (en) Semiconductor device manufacturing system and method for manufacturing semiconductor device
US20240153787A1 (en) Control of environment within processing modules
KR20080060781A (ko) 건식 식각 장치 및 방법
US20230386870A1 (en) Wet processing system and system and method for manufacturing semiconductor structure
US20180033611A1 (en) Cluster tool and manufacuturing method of semiconductor structure using the same
US20230375945A1 (en) Workpiece support
TW202420423A (zh) 半導體製程系統、半導體製程方法及加工半導體工件的方法
US9704714B2 (en) Method for controlling surface charge on wafer surface in semiconductor fabrication
US20080213069A1 (en) Apparatus for fabricating semiconductor devices and methods of fabricating semiconductor devices using the same