TW202420423A - 半導體製程系統、半導體製程方法及加工半導體工件的方法 - Google Patents
半導體製程系統、半導體製程方法及加工半導體工件的方法 Download PDFInfo
- Publication number
- TW202420423A TW202420423A TW112111646A TW112111646A TW202420423A TW 202420423 A TW202420423 A TW 202420423A TW 112111646 A TW112111646 A TW 112111646A TW 112111646 A TW112111646 A TW 112111646A TW 202420423 A TW202420423 A TW 202420423A
- Authority
- TW
- Taiwan
- Prior art keywords
- chamber
- air
- semiconductor
- gas
- humidity
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 142
- 239000004065 semiconductor Substances 0.000 title claims description 64
- 238000012545 processing Methods 0.000 title claims description 52
- 238000003672 processing method Methods 0.000 title claims 5
- 230000008569 process Effects 0.000 claims abstract description 91
- 239000012530 fluid Substances 0.000 claims description 96
- 238000004891 communication Methods 0.000 claims description 30
- 239000010410 layer Substances 0.000 claims description 21
- 238000011049 filling Methods 0.000 claims description 19
- 239000011229 interlayer Substances 0.000 claims description 16
- 230000001276 controlling effect Effects 0.000 claims description 13
- 238000004519 manufacturing process Methods 0.000 claims description 13
- 239000000463 material Substances 0.000 claims description 13
- 238000001914 filtration Methods 0.000 claims description 10
- 239000000758 substrate Substances 0.000 claims description 9
- 230000007613 environmental effect Effects 0.000 claims description 8
- 230000001105 regulatory effect Effects 0.000 claims description 8
- 238000005468 ion implantation Methods 0.000 claims description 7
- 150000002500 ions Chemical class 0.000 claims description 5
- 230000003134 recirculating effect Effects 0.000 claims description 3
- 239000007789 gas Substances 0.000 abstract description 119
- 239000003570 air Substances 0.000 description 127
- 238000012546 transfer Methods 0.000 description 48
- 239000000126 substance Substances 0.000 description 19
- 235000012431 wafers Nutrition 0.000 description 11
- 229910052732 germanium Inorganic materials 0.000 description 10
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 10
- 239000012636 effector Substances 0.000 description 9
- 238000011068 loading method Methods 0.000 description 9
- 239000007943 implant Substances 0.000 description 7
- 239000010941 cobalt Substances 0.000 description 6
- 229910017052 cobalt Inorganic materials 0.000 description 6
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 6
- 239000000356 contaminant Substances 0.000 description 5
- 239000004020 conductor Substances 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 238000003032 molecular docking Methods 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 238000011109 contamination Methods 0.000 description 3
- 238000002513 implantation Methods 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 239000012080 ambient air Substances 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000004070 electrodeposition Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 239000003566 sealing material Substances 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- FAPWRFPIFSIZLT-UHFFFAOYSA-M Sodium chloride Chemical compound [Na+].[Cl-] FAPWRFPIFSIZLT-UHFFFAOYSA-M 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000004320 controlled atmosphere Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 239000000428 dust Substances 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000005007 materials handling Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000003801 milling Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000011780 sodium chloride Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/48—Ion implantation
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/54—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
- H01L21/67213—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Abstract
用以調節以及控制工件處理模組環境內的條件的方法以及設備包括感測器,感測器用以偵測有害氣體的濕度以及濃度。利用由這些感測器產生的訊號來產生控制空氣流入環境以及/或空氣以及氣體流出環境的訊號。藉由控制濕度,可避免對環境中進行的製程產生負面影響。藉由控制氣體濃度避免工作人員接觸有害氣體。
Description
本發明實施例是關於一種半導體製程系統以及方法,特別是關於一種控制工件處理模組的環境條件的系統以及方法。
半導體積體電路(integrated circuit, IC)工業經歷了快速增長。積體電路材料以及設計方面的技術進步產生了多代的積體電路,每一代都具有比上一代更小、更複雜的電路。然而,這些進步增加了加工以及製造積體電路的複雜性,且要實現這些進步,需要積體電路加工以及製造方面的進步發展。
本揭露實施例提供一種用以控制工件處理模組中的環境條件的系統,此系統包括空氣源、充氣部、流體移動裝置、腔室、第二充氣部、排氣閥以及控制器,充氣部與空氣源流體連通,流體移動裝置與充氣部流體連通,腔室與流體移動裝置流體連通,腔室包括濕度感測器以及氣體感測器,第二充氣部與腔室流體連通,排氣閥與第二充氣部流體連通,控制器與濕度感測器、氣體感測器、排氣閥、流體移動裝置以及空氣源通訊。
本揭露實施例提供一種控制半導體基板製程腔室中環境條件的方法,此方法包括:從與充氣部流體連通的空氣源向充氣部輸送空氣;利用流體移動裝置以將空氣輸送通過與充氣部流體連通的流體過濾單元;使空氣從流體過濾單元流向與流體過濾單元流體連通的腔室;感測腔室內的濕度;感測腔室內的氣體濃度;使空氣以及氣體從腔室流向與腔室流體連通的第二充氣部;基於感測到的腔室內的濕度來調節進入充氣部的空氣的流率;基於感測到的腔室內的氣體濃度來調節從腔室中排出的氣體量;以及將空氣以及氣體從第二充氣部再循環到充氣部。
本揭露實施例提供一種加工半導體工件的方法,此方法包括:在腔室中形成在層間電介質層中的導電特徵;感測腔室中的濕度,其中腔室為離子注入腔室;將感測到的濕度與期望濕度進行比較;基於比較的結果增加潔淨乾空氣(clean dry air, CDA)到腔室的流率;降低腔室中的濕度;以及注入離子以填充導電特徵以及層間電介質層之間的間隙。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所論述的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在…下方”、“下方”、“較低的”、“上方”、“較高的” 及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
“縱向方向”以及“水平方向”應理解為表示相對方向。因此,水平方向應被理解為實質上垂直於縱向方向,反之亦然。然而,在本揭露的範圍內,所描述的實施例以及方面可整體旋轉,使得被稱為縱向方向的維度被水平定向,且同時被稱為水平方向的維度被縱向定向。
在下面的描述中,闡述了某些具體細節以提供對本揭露的各種實施例的透徹理解。然而,本領域具有一般知識者將理解可在沒有這些具體細節的情況下實施本揭露。在其他情況下,沒有詳細描述與電子部件以及製造技術相關聯的眾所周知的結構以避免不必要地模糊本揭露的實施例的說明。
除非上下文另有要求,在整個說明書以及隨後的申請專利範圍中,用詞“包括(comprise, comprises, comprising)”及其變體,應以開放的、涵蓋的意義解釋,即,“包括,但不限於”。
第一、第二以及第三等序數的使用並不一定表示排序的順序,而是可能只是區分一個動作或結構的多個示例。
在整個本說明書對“一個實施例”或“一實施例”的參照表示結合此實施例描述的特定特徵、結構或特性被包括在至少一個實施例中。因此,在整個本說明書各處出現的用語“在一個實施例中”或“在一實施例中”不一定都指的是相同的實施例。此外,可在一個或多個實施例中以任何合適的方式結合特定特徵、結構或特性。
如在本說明書以及所附申請專利範圍中所使用的單數形式“一”(“a”、“an”以及“the”)包括複數對象,除非內文另有明確規定。還應注意的是,用語“或”一般在其包括“以及/或”的意義上使用,除非內容另有明確規定。
以下揭露提供許多不同的實施例或示例,用以實現所提供標的的不同特徵。以下描述部件以及設置的具體示例以簡化本揭露。當然,這些僅為示例而不是限制性的。例如,在以下的描述中在第二特徵之上或在第二特徵上形成第一特徵可包括第一特徵以及第二特徵形成為直接接觸的實施例,且還可包括附加特徵可在第一特徵以及第二特徵之間形成的實施例,使得第一特徵以及第二特徵可不直接接觸。此外,本揭露可在各個示例中重複參考符號。這種重複是為了簡潔以及明確的目的,且其本身且不代表所論述的各個實施例以及/或配置之間的關係。
隨著特徵尺寸的不斷減小,半導體工件通過的腔室中的濕度可影響在這些腔室中對工件執行的製程品質。例如,利用某些注入製程(例如,在電介質以及導電特徵之間的間隙中注入離子)以填充電介質以及導電特徵之間的那些間隙。這種注入製程可能會受到注入發生的腔室中濕度過高的負面影響。例如,間隙內的過量的水分會對將注入離子填充這些間隙產生負面影響。例如,已經觀察到的是,當利用鍺注入以填充在電介質以及導電特徵之間的間隙且在濕度過量的環境中進行時,此注入不能成功地填充間隙。當間隙未被填充時,會為不需要的材料提供進接(access)特徵的途徑,且在某些情況下以導致這些特徵的材料損失的方式與這些特徵發生反應。此外,對於保護那些在半導體工件處理以及/或製程腔室排放氣體的環境中工作的人存在疑慮。
參照第1圖以及第2圖。第1圖是系統(例如,系統100)的俯視平面圖,第2圖顯示其示意性剖面側視圖。在一些實施例中,系統100包括具有中央傳送機器臂135的中央傳送腔室130、一個或多個製程腔室140、一個或多個裝載鎖定腔室120、具有裝載鎖定傳送機器臂115的設備前端模組(equipment front end module, EFEM)110以及一個或多個裝載端口112。中央傳送腔室130連接到製程腔室140以及裝載鎖定腔室120。這種配置允許中央傳送機器臂135輸送工件,例如在製程腔室140以及裝載鎖定腔室120之間的工件或基板(例如,如第4圖中所顯示的工件160)。在一些實施例中,製程腔室140包括製程腔室140A、製程腔室140B、製程腔室140C以及製程腔室140D,製程腔室140的數量僅用於說明用途,在一些其他實施例中也可採用更少或更多的製程腔室140。
在一些實施例中,工件160可為基板。工件160可包括一個或多個半導體、導體以及/或絕緣體層。半導體層可包括元素半導體(例如,矽或鍺),具有晶體、多晶、非晶以及/或其他合適結構;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦以及/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及/或GaInAsP;任何其他合適的材料;以及/或其組合。在一些實施例中,半導體的組合可採取混合物或漸差(gradient)的形式,例如其中矽和鍺的比例隨位置變化的基板。在一些實施例中,工件160可包括層狀半導體。示例包括在絕緣體上分層的半導體層,例如用於生產絕緣體上矽(silicon-on-insulator, SOI)基板、藍寶石上矽(silicon-on-sapphire)基板或絕緣體上矽鍺基板的層,或在玻璃上分層的半導體以生產薄膜電晶體(thin film transistor, TFT)。
將由中央傳送腔室130以及製程腔室140界定的系統100的區域密封。氣調控制(Atmospheric controls)(包括過濾)提供微粒以及空降分子污染物(airborne molecular contamination, AMC)水平極低的環境,微粒以及空降分子污染物都可能損壞工件160。藉由在系統100內創造微環境(microenvironment),可操作製程腔室140在比周圍設施更清潔的環境中。這允許在工件加工過程中以更低的成本更嚴格地控制污染物。
裝載鎖定腔室120藉由將中央傳送腔室130以及製程腔室140與設備前端模組(EFEM)110分開來保持中央傳送腔室130以及製程腔室140內的氣調。裝載鎖定腔室120包括兩個門:中央傳送腔室門132以及裝載鎖定門122。將工件160插入裝載鎖定腔室120中且將兩個門都密封。裝載鎖定腔室120能夠產生與設備前端模組110或中央傳送腔室130相容的氣調,這取決於裝載的工件160的下一個預定位置。這可能有關於藉由例如添加淨化氣體或產生真空之類的機制以及用於調節裝載鎖定腔室氣調的其他合適手段來改變裝載鎖定腔室120的氣體含量(gas content)。當達到正確的氣調時,可打開對應的門,且可進接工件160。
設備前端模組110提供封閉環境以將工件160傳送進以及傳送出系統100。設備前端模組110容納裝載鎖定機器臂115,其執行工件160的物理傳送。工件160通過裝載端口112裝載在一些實施例中,被容納在輸送承載件150(例如,前開式晶圓傳送盒(front-opening unified pod, FOUP)、前開式裝運箱(front-opening shipping box, FOSB)、標準機械接口(standard mechanical interface, SMIF)盒以及/或其他合適的容器)中的工件160到達裝載端口112。輸送承載件150是用於承載一個或多個工件160且用於在製造工具之間輸送工件160的置物盒(magazine)。在一些實施例中,輸送承載件150可具有例如耦接位置以及電子標籤的特徵以促進與自動化物料處理系統(automated materials handling system, AMHS)一起使用。輸送承載件150被密封以便為容納在其中的工件160提供微環境且保護工件160以及系統100不受污染。為了防止受控氣調的損失,輸送承載件150可具有門152,使得輸送承載件150維持密封,直到其與裝載端口112對接(docked)。
第3A圖是使用根據本揭露的一些實施例的系統的方法。
參照第1圖、第3A圖以及第4圖。方法1000以將輸送承載件對接到裝載端口且在輸送承載件內接收工件開始操作1002。在第4圖中,首先,輸送承載件150對接到裝載端口112。然後,輸送承載件150的門152打開,設備前端模組110內的裝載鎖定傳送機器臂115夾持設置在裝載端口112中的工件160,然後,將工件160移動到設備前端模組110中。
在一些實施例中,裝載鎖定傳送機器臂115包括支撐件115A。臂組115B安裝在支撐件115A上。在一些其他實施例中,臂組115B包括合適數量的臂,例如兩個或更多個。臂組115B的臂可旋轉地彼此連接。在臂組115B的遠端,末端執行器115C可旋轉地連接到其上。裝載鎖定傳送機器臂115可將末端執行器115C定位在圍繞支撐件115A的圓內的期望位置,具有實質上由臂組115B的長度決定的半徑。顯然,也可使用其他種類的機器臂。末端執行器115C設置以夾持物體,例如第4圖中所顯示的工件160。例如,末端執行器115C將工件160夾持在輸送承載件150中,然後將工件160移動到設備前端模組110中。
參照第1圖、第3A圖以及第5圖。方法1000以將工件傳送到裝載鎖定腔室中進行至操作1004。在第5圖中,藉由裝載鎖定傳送機器臂115將工件160從設備前端模組110傳送到裝載鎖定腔室120。在工件160即將被傳送時,裝載鎖定門122打開,且裝載鎖定傳送機器臂115將工件160移動到裝載鎖定腔室120中且將工件160放置在支撐座125上。一旦工件160放置在裝載鎖定腔室120的支撐座125上,裝載鎖定傳送機器臂115釋放工件160且移動回到設備前端模組110。
參照第1圖、第3A圖以及第6圖。方法1000以關閉裝載鎖定腔室的裝載鎖定門進行至操作1006。在第6圖中,裝載鎖定門122關閉,從而密封裝載鎖定腔室120。在半導體裝置的製造中,許多工件製程在真空或接近真空的壓力下在製程腔室140A中執行。裝載鎖定腔室120用作調節工件160上的壓力的緩衝區域。在工件160從設備前端模組110傳送到裝載鎖定腔室120中之後,裝載鎖定腔室120將大氣壓改變為維持在中央傳送腔室130中的壓力值。在一些實施例中,維持在中央傳送腔室130中的壓力值中央傳送腔室130的壓力遠低於大氣壓或幾乎接近真空,如某些工件製程所指定的那樣。裝載鎖定腔室120中的壓力經由附接到其上的氣泵(未顯示)來調節。在一些實施例中,氮氣(N2)的流入由氣泵產生,且用來控制裝載鎖定腔室120內部的壓力。
裝載鎖定腔室120經由中央傳送腔室門132連接到中央傳送腔室130。在一些實施例中,在控制裝載鎖定腔室120內部的壓力期間,裝載鎖定門122以及中央傳送腔室門132關閉以將裝載鎖定腔室120與設備前端模組110以及中央傳送腔室130分開(即,氣體隔離(gaseously isolated)),以密封裝載鎖定腔室120且維持其中的壓力。換句話說,在控制裝載鎖定腔室120內部的壓力的期間,裝載鎖定腔室120與設備前端模組110以及中央傳送腔室130氣體隔離。
參照第1圖、第3A圖以及第7圖。方法1000以打開裝載鎖定腔室的中央傳送腔室門進行至操作1008。在第7圖中,中央傳送腔室門132打開,因此裝載鎖定腔室120以及中央傳送腔室130彼此在空間上連通(即,氣體連通)。在一些實施例中,打開中央傳送腔室門132導致裝載鎖定腔室120以及中央傳送腔室130之間的壓力以及溫度通過裝載鎖定腔室120以及中央傳送腔室130之間的氣體連通而平衡。因此,在將裝載鎖定腔室120中的壓力調節為等於中央傳送腔室130的壓力之後,打開中央傳送腔室門132。
參照第1圖、第3A圖以及第8圖。方法1000以將工件傳送到第一製程腔室中而進行至操作1010。在第8圖中,工件160藉由中央傳送腔室130內的中央傳送機器臂135從裝載鎖定腔室120傳送到製程腔室140A。詳而言之,中央傳送機器臂135抓取在裝載鎖定腔室120內的支撐座125上的工件160,然後將工件160從裝載鎖定腔室120移動到製程腔室140中。在一些實施例中,機器臂135將工件160放置在製程腔室140中的吸座145上,使得工件160的底部表面與吸座145的頂部表面接觸。作為示例,將工件160傳送到第1圖中所顯示的製程腔室140A中,因此第8圖中的製程腔室140A也稱為製程腔室140A。在一些其他實施例中,工件160也可移動到第1圖中的製程腔室140B、製程腔室C以及製程腔室D中。
在一些實施例中,中央傳送腔室130作為中央對接站的作用,其中從裝載鎖定腔室120傳送的工件160被暫時保留以準備加工且由中央傳送機器臂135分配到複數個製程腔室140A。在一些實施例中,中央傳送腔室130保持在真空下(例如,在小於10
-2毫巴(mbar)的壓力下)。也就是說,中央傳送腔室130內部的氣體環境具有比中央傳送腔室130(或系統100)外部的氣體環境低的大氣壓。在一些實施例中,在製程腔室140A的入口處有腔室門142A。
在一些實施例中,中央傳送機器臂135包括支撐件135A。臂組135B安裝在支撐件135A上。在一些其他實施例中,臂組135B可包括合適數量的臂,例如兩個或更多個。臂組135B的臂可旋轉地相互連接。在臂組135B的遠端,末端執行器135C可旋轉地連接到臂組135B的一端。裝載鎖定傳送機器臂135可將末端執行器135C定位在圍繞支撐件135A的圓內的期望位置,具有與臂組135B的長度實質上相關的半徑。顯然,也可使用其他種類的機器人。末端執行器135C設置以抓取物體,例如工件160。例如,末端執行器135C抓取裝載鎖定腔室120中的工件160,然後將工件160移動到製程腔室140A中。
參照第1圖、第3A圖以及第9圖。方法1000以在第一製程腔室中對工件執行第一製程而進行至操作1012。在第9圖中,一旦工件160被傳送到製程腔室140A中,腔室門142A就關閉。然後,對工件160執行製程190。在一些實施例中,製程腔室140A可配置以對工件160執行合適的製造製程。製程190包括沉積製程,例如物理氣相沉積(physical vapor deposition, PVD)、化學氣相沉積(chemical vapor deposition, CVD)、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition, PECVD)、電化學沉積(electrochemical deposition, ECD)、分子束磊晶(molecular beam epitaxy, MBE)、原子層沉積(atomic layer deposition, ALD)以及/或其他沉積製程;蝕刻製程,包括濕蝕刻、乾蝕刻以及離子束磨削(ion beam milling);微影曝光;離子注入;退火以及/或熱氧化等熱處理;清洗製程,例如沖洗(rinsing)以及/或電漿灰化;化學機械拋光(chemical mechanical polishing)或化學機械平坦化(chemical mechanical planarizing)(統稱為CMP)製程;測試;有關於加工工件160任何程序;以及/或程序的任何組合。
參照第1圖、第3A圖、第10A圖以及第10B圖。方法1000以將工件從第一製程腔室傳送到第二製程腔室而進行至操作1014。第10A圖以及第10B圖分別是製程腔室140A以及製程腔室140B的剖面圖。在第10A圖中,工件160被從製程腔室140A移開,因此製程腔室140A是空的。也就是說,在工件160被移開之後,第一製程腔室140A沒有工件160。另一方面,如第10B圖中所顯示,將工件160傳送到製程腔室140B且放置在製程腔室140B中的吸座145上。詳而言之,在製程腔室140A中執行的製程完成之後,製程腔室140A的腔室門142A打開,且中央傳送腔室130內的中央傳送機器臂135移動到製程腔室140A中以抓取工件160。然後,製程腔室140B的另一個腔室門142B打開,然後中央傳送機器臂135將工件160從製程腔室140A移動到第二製程腔室140B,且將工件160放置在製程腔室140B中的吸座145上。工件160由中央傳送腔室130內的中央傳送機器臂135從製程腔室140A(第10A圖)傳送至製程腔室(第10B圖),以對工件160執行另一製程。在一些實施例中,第二製程腔室140B可相似於或不同於製程腔室140A。例如,製程腔室140A以及製程腔室140B可用來執行相同或不同的製程。
參照作為工件處理模組的示例的設備前端模組描述根據本揭露的實施例。設備前端模組110是一種可成為自動化物料處理系統(AMHS)一部分的裝置,一般容納機器人晶圓處理系統(未顯示),且包括一個或多個前開式晶圓傳送盒(FOUP)對接口(未顯示)。每一個前開式晶圓傳送盒對接口均根據前開式接口機械標準(Front-opening Interface Mechanical Standard, FIMS)進行配置,以接收前開式晶圓傳送盒以及進接內容物,且保護內容物不受污染。設備前端模組110配置為一個或多個加工工具的接口(interface),以進接前開式晶圓傳送盒且在前開式晶圓傳送盒以及加工工具之間移動晶圓。一般來說,設備前端模組110配置以在與前開式晶圓傳送盒對接口相對的一側耦接到加工工具,例如,經由裝載鎖定腔室120以及中央傳送腔室130。
在一些系統中,在製造半導體裝置的過程中,半導體材料晶圓維持在非反應性氣調中,例如惰性氣體或選擇為不與半導體晶圓製程中常用的材料反應的氣體。在這樣的系統中,氣體一般被再循環。其他系統使用再循環或未再循環的環境空氣。無論系統使用空氣還是其他氣體,系統的氣調都必須不斷淨化,以去除灰塵以及其他微粒污染物以及許多製造製程中產生的氣體污染物。如申請專利範圍中所用,除非另有明確定義,否則用語“氣體”不限於任何特定氣體,而是包括針對特定性質選擇的氣體,例如反應性或非反應性等;環境空氣;淨化或以其他方式改良的空氣;蒸氣;等。
根據各種實施例的設備前端模組110的特徵在以下參照第1圖以及第11A圖進行描述,但圖式為示意性的,且示意性地描繪了這種裝置的一些細節。為清楚起見,特徵以及元件已被省略,其中被省略的特徵在本領域中是已知的且對於理解所描述的原理為不需要的。
參照第1圖以及第11B圖,示出且描述了根據本揭露的實施例的工件處理模組的示例,例如設備前端模組110。第1圖是包括工件處理模組(例如,設備前端模組110)的系統100的俯視圖。第11B圖是根據本揭露的實施例的工件處理模組(例如,設備前端模組110)的實施例的側視圖。根據本揭露的一些實施例,設備前端模組110包括空氣源202(例如,潔淨乾空氣)、熱能單元230、閥203、充氣部204、流體移動裝置206、流體過濾單元208、腔室210、第二充氣部216以及控制器220,熱能單元230用以將熱能從來自空氣源202的空氣引入或去除,閥203與空氣源202流體連通,流體移動裝置206與充氣部204流體連通,流體過濾單元208與流體移動裝置206流體連通,腔室210與流體過濾單元208流體連通,腔室包括濕度感測器212、氣體感測器214以及壓力感測器215,第二充氣部216與腔室210流體連通,排氣閥218與第二充氣部216流體連通,控制器220與濕度感測器212、氣體感測器214、排氣閥218、流體移動裝置206、閥203以及排氣閥218通訊,例如數據通訊或電性通訊。在第11A圖中所示的實施例中,控制器220可通訊地連接到計算機222。數據通訊可為有線連接或無線連接。在第11A圖中所顯示的實施例中,設備前端模組110包括支撐件226(或底板),流體可通過其從腔室210進入第二充氣部216。在第11A圖所示的實施例中,充氣部204以及流體移動裝置(流體推進器)206被示為兩個單獨的部件。在其他實施例中,流體移動裝置206可包括用於接收來自空氣源202以及來自再循環管線224的空氣的整合充氣部。
在第11A圖所示的實施例中,設備前端模組110包括腔室210,配置以從例如前開式晶圓傳送盒或裝載鎖定腔室120接收工件。雖然未示出,但腔室可包括工件移動裝置,例如機器臂。腔室210的一側(例如,在腔室210的入口側)與流體過濾單元208(例如,配置以從預定進入腔室210的氣體中去除不想要的微粒以及其他污染物的氣體過濾裝置)的出口流體連通。氣體過濾裝置是眾所周知的,因此在此不提供其細節。流體過濾裝置208的入口從流體移動裝置206的出口接收氣體,例如風扇或配置以移動氣體的其他裝置。當流體移動裝置206是風扇時,其由馬達供電且包括多個葉片以驅動空氣。在一些實施例中,可調節馬達的速度以調節由風扇產生的流率。在一些實施例中,複數個葉片的角度是可調節的。調節葉片的角度會導致調節由風扇產生的空氣流率。流體移動裝置206的入口與充氣部204的出口流體連通。如下文更詳細地解釋的,可藉由調節流體移動裝置的操作來調節由流體移動裝置206移動的氣體量,例如,藉由調節流體推進器(流體移動裝置)的速度,或在流體推進器是風扇的實施例中藉由調節風扇葉片的角度,或藉由調節流體推進器和充氣部或流體過濾單元之間的開口尺寸。
充氣部204是設計以容納氣體的腔室或容積。充氣部204的入口與空氣源(氣體源)202(例如,潔淨乾空氣或其他氣體)流體連通。從空氣源202到充氣部204的氣流由位於充氣部204的入口以及空氣源202之間的可調氣閥203控制。在一些實施例中,熱能單元230位於充氣部204以及閥203之間。熱能單元配置以將熱能引入空氣中(即,加熱空氣)或從空氣中去除熱能(即,冷卻空氣)。如以下更詳細地解釋的,從空氣源202傳遞到充氣部204的氣體量可藉由調節可調氣閥203來調節。
已經進入腔室210的氣體流過腔室210的出口至第二充氣部216的入口。在所示實施例中,第二充氣部216藉由支撐件226與腔室210隔開。在一些實施例中,支撐件226可為腔室210的底板。支撐件226配置以使得氣體可通過支撐件226從腔室210進入第二充氣部216。第二充氣部216中的氣體可經由再循環管線224返回到充氣部204。在第11A圖中所示的實施例中,再循環管線224包括與計算機222或控制器220通訊的閥232,使得計算機222或控制器220可調節從第二充氣部216循環回充氣部204的空氣量。調節從第二充氣部216到充氣部204的再循環空氣量可用來補充調節腔室210中的濕度或氣體濃度,如下文詳細描述的。替代地或結合氣體經由再循環管線224返回充氣部(第一充氣部)204,第二充氣部216中的一部分氣體可通過排氣閥218從設備前端模組110排出。通過排氣閥218的氣體可輸送到污染物在其中被去除的氣體淨化器217,例如氣體洗滌器(gas scrubber)。如下文更詳細地解釋的,從第二充氣部216通過至氣體淨化器217的氣體量可藉由調節排氣閥218的打開或關閉來調節。
在第11A圖中所示的實施例中,腔室210包括化學感測器(氣體感測器)214中的濕度感測器212。濕度感測器212配置以感測腔室210內的濕度且產生表示腔室210內的實際濕度的訊號227。合適的濕度感測器是眾所周知的,因此在此不對其進行詳細描述。化學感測器214配置以感測腔室210內的不同化學物質的濃度。例如,化學感測器214配置以感測對人體有害或對製程或工具有害的氣體化學物質的濃度,這種氣體化學物質可能滲透。這種氣體的示例包括CO、SO
2、NO
2、SiH
4(矽烷)以及含鹽氣體。本揭露的實施例不限於能夠感測對人體有害或對製程或工具有害的氣體的化學感測器,這種氣體化學物質可能滲透。本揭露的實施例包括能夠感測對人體無害或對製程或工具無害的氣體的化學感測器,這種氣體化學物質可能滲透。如下文更詳細地描述的,表示氣體化學物質的實際濕度以及實際濃度的訊號由計算機222接收,計算機222處理訊號且產生訊號以發送至控制器220。腔室210還包括配置以感測腔室210內的壓力且產生表示腔室210內實際壓力的訊號的壓力感測器215。壓力感測器215還通訊耦接到計算機222,以使表示腔室210內實際壓力的訊號可傳送到計算機222。在第11A圖中所示的實施例中,計算機222被表示為獨立於控制器220。在其他實施例中,控制器220可包括處理器/計算機,或計算機222可包括用於控制閥203、閥218以及流體移動裝置206的控制器。
參照第3B圖(以及第1圖和第11A圖)示出用以控制工件處理模組內的環境(例如,根據本揭露的實施例的半導體工件製程腔室、中央傳送腔室、裝載鎖定腔室或設備前端模組)的方法2000。一般來說,在半導體工件製程腔室中,將材料添加到半導體工件或從半導體工件去除。這與在中央傳送腔室、裝載鎖定腔室或設備前端模組中執行的操作形成對比,在這些操作中,材料一般不會添加到半導體工件或從半導體工件去除,而是在不同的腔室或容器之間輸送半導體工件。
方法2000開始於操作2002,在操作2002的期間將工件接收在半導體工件處理模組,例如設備前端模組110。應當理解的是,在其他實施例中,可在半導體工件處理模組110內沒有工件的情況下啟動以及執行根據本揭露的方法。方法2000以操作2004繼續,操作2004有關於輸送氣體,例如到充氣部204的空氣。空氣可為潔淨乾空氣(CDA)或一些其他氣體。在操作2006中,流體移動裝置206使充氣部204內的氣體被輸送到流體過濾單元208。在操作2008中,在流體過濾單元208中過濾的氣體流入腔室210。在操作2010中,腔室210內的濕度由濕度感測器212感測。濕度感測器212產生表示腔室210內的實際濕度的訊號227,訊號227由計算機222接收。操作2010可選地包括利用化學感測器214感測腔室210內的氣體濃度的步驟。化學感測器214產生表示腔室210內實際氣體濃度的訊號228。訊號228由計算機222接收。在操作2012,腔室210內的空氣以及氣體流向第二充氣部216。在操作2014,基於腔室210中的實際濕度以及腔室210的期望濕度來調節空氣進入充氣部204的流率。在一些實施例中,基於腔室210中的實際氣體濃度以及腔室210的期望氣體濃度來調節空氣進入充氣部204的流率。在可選操作2016中,基於腔室210中的實際濕度以及腔室210中的期望濕度以及/或腔室210中實際氣體濃度以及腔室210中期望氣體濃度來調節從充氣部204排出的空氣量以及氣體量。根據本揭露的實施例,期望氣體濃度是低於工業監管機構(industry regulators) 設定為人類應該暴露的最大濃度的氣體濃度。在其他實施例中,期望氣體濃度是低於將負面影響被容納在氣體排放到其中的環境中的設備或在那些環境中執行的製程的濃度的氣體濃度。根據本揭露的實施例,當腔室是從加工工具接收工件的腔室時,期望濕度在從中傳送半導體工件的加工工具中的濕度的30%至70%的範圍中。在其他實施例中,期望濕度在從中傳送半導體工件的加工工具中的濕度的40%至60%的範圍中。從加工工具接收工件的腔室示例包括中央傳送腔室、裝載鎖定腔室、容納裝載鎖定機器人的腔室以及設備前端模組。當腔室是其中進行半導體製程的腔室時,期望濕度在大約30%至50%的範圍中。根據本揭露的實施例不限於前述範圍內的期望濕度。例如,期望濕度可高於這些範圍的下限或低於這些範圍的上限。選擇期望濕度以使腔室內的濕度不會對腔室中進行的製程產生不利影響。
參照第3C圖,根據所揭露的實施例的方法3000從操作3002開始,操作3002接收指示腔室210中的實際濕度的訊號227。在一些實施例中,操作3002包括接收指示腔室210中的氣體濃度的訊號228。在一些實施例中,操作3002包括接收指示腔室210中的實際濕度的訊號227以及接收指示腔室210中的實際氣體濃度的訊號228。在第11A圖中所示的實施例中,這些訊號由計算機222接收,但在其他實施例中,這些訊號由控制器220接收。方法3000以操作3004繼續,操作3004可由計算機222或控制器220執行。操作3004包括將由訊號227表示的實際濕度與儲存在計算機222或控制器220的記憶體中的期望濕度進行比較。在方法3000的操作3006中使用上述比較的結果來決定輸送到腔室210的空氣的體積或流率以在腔室210中產生期望濕度。在其他實施例中,比較的結果在方法3000的操作3006中使用以決定從第二充氣部216排出的空氣以及氣體的體積以在腔室210中產生期望濕度。方法3000以操作3008繼續,操作3008包括調節進入腔室210的氣流以及/或調節通過排氣閥218流出腔室210的氣體以及空氣,以在腔室210中達到期望濕度。然後,在第3C圖中所示的方法3000可選地以操作3010繼續,操作3010將表示腔室210中的實際氣體濃度的訊號228與儲存在計算機222或控制器220的記憶體中的期望氣體濃度進行比較。比較的結果可在方法3000的操作3012中使用以決定輸送到腔室210的空氣體積以在腔室210中產生期望氣體濃度。在其他實施例中,比較的結果可在方法3000的操作3012中使用以決定要從第二充氣部216排出的空氣以及氣體的體積,以在腔室210中產生期望氣體濃度。方法3000以操作3014繼續,操作3014包括調節流入腔室210的空氣以及/或調調節通過排氣閥218流出腔室210的氣體以及空氣,以在腔室210中實現期望氣體濃度。雖然方法3000在上文被描述為具有將實際濕度與期望濕度進行比較以及將實際氣體濃度與期望氣體濃度進行比較的單獨步驟3004以及步驟3010,然而在一些實施例中,這些步驟可同時並行執行。相似地,步驟3006、步驟3008、步驟3012以及步驟3014可同時並行執行,或也可依步驟3012、步驟3014、步驟3006以及步驟3008的順序執行。
當步驟3004的結果指示腔室210中的實際濕度大於期望濕度時,採取步驟以增加空氣量,例如輸送到腔室210的潔淨乾空氣。輸送更多的潔淨乾空氣到腔室210將降低腔室210內的濕度。可藉由增加流體移動裝置206的速度或調節流體移動裝置210的葉片角度來增加輸送到腔室210的空氣量,從而增加由流體移動裝置驅動的空氣的流率。在一些實施例中,進入腔室210的空氣的溫度可藉由將熱能從熱能單元230引入進入充氣部204的空氣中來增加。增加進入腔室210的空氣的溫度也可降低腔室210中的濕度。在一些實施例中,藉由將更多潔淨乾空氣引入腔室210來降低腔室210中的濕度,或可藉由增加從腔室排出的空氣量以及氣體量來輔助增加進入腔室210的潔淨乾空氣的溫度,特別是當從腔室210排出空氣以及氣體具有高於添加到腔室210的潔淨乾空氣的濕度的濕度時。
當步驟3004的結果指示腔室210中的實際濕度小於期望濕度時,採取步驟來減少輸送到腔室210的空氣(例如,潔淨乾空氣)量。輸送較少的潔淨乾空氣到腔室210將增加腔室210內的濕度。可藉由降低流體移動裝置206的速度或調節流體移動裝置210的葉片角度來減少輸送到腔室210的空氣量,從而降低由流體移動裝置驅動的空氣的流率。在一些實施例中,進入腔室210的空氣的溫度可藉由從熱能單元230中的空氣中去除熱能來降低。降低進入腔室210的空氣的溫度還可藉由將空氣引入具有大於腔室210中的實際濕度的濕度來增加腔室210中的濕度210。在一些實施例中,藉由向腔室210引入更多濕度較小的潔淨乾空氣來增加腔室210中的濕度,或可藉由降低從腔室排出的空氣量以及氣體量來輔助降低進入腔室210的潔淨乾空氣的溫度,特別是當從腔室210排出的空氣以及氣體的濕度低於添加到腔室210的潔淨乾空氣的濕度時。
當操作3010的結果指示腔室210中的氣體濃度大於期望氣體濃度時,採取步驟來降低腔室210中的氣體濃度。腔室210中的氣體濃度可藉由向腔室210輸送更多空氣(例如,潔淨乾空氣)來降低。可藉由增加流體移動裝置206的速度或調節流體移動裝置210的葉片角度來增加輸送到腔室210的空氣量,從而增加由流體移動裝置驅動的空氣的流率。在一些實施例中,藉由增加從腔室210排出的空氣量以及氣體量來輔助藉由向腔室引入更多潔淨乾空氣來降低腔室210中的氣體濃度。在一些實施例中,藉由增加從腔室210中排出的空氣量以及氣體量可實施降低腔室210中的氣體濃度。
當操作3010的結果指示腔室210中的氣體濃度小於期望氣體濃度時,採取步驟來增加腔室210中的氣體濃度。可藉由減少輸送到腔室210的空氣量(例如,到腔室210的潔淨乾空氣)來增加腔室210中的氣體濃度。可藉由降低流體移動裝置206的速度或調節流體移動裝置210的葉片角度來減少輸送到腔室210的空氣量,以使由流體移動裝置驅動的空氣的流率減少。在一些實施例中,藉由增加或減少從腔室210排出的空氣量以及氣體量來輔助藉由將較少的潔淨乾空氣引入腔室來增加腔室210中的氣體濃度。第11B圖示出根據本文描述的實施例的包括第一充氣部204(第11B圖中未顯示)以及第二充氣部216(第11B圖中未顯示)的工件處理模組110的示例。
第12A圖到第12F圖示出在各個製造階段的半導體裝置結構,且示出進行製造製程的一個或多個腔室中的過量的濕度如何對所產生的結構產生負面影響。在第12A圖中,金屬特徵402(例如,鈷)在第一層間電介質404內。第二層間電介質406藉由電介質層408(例如,氮化矽)與第一層間電介質404以及金屬特徵402隔開。導電栓塞410(例如,鎢)通過第二層間電介質406以及電介質層408且與金屬特徵402電性接觸。在第12B圖中,注入密封材料412(例如,鍺)以密封導電栓塞410與第二層間電介質406以及電介質層408之間的裂縫(cracks)。進行鍺注入的腔室內的過量的濕度414導致水分存在於裂縫中且阻礙鍺注入製程密封這種裂縫的能力。在鍺注入之後,在第12C圖中,在第二層間電介質406之上形成黏合層416(例如,鈦或氮化鈦)。在第12D圖中,在黏合層416之上形成一層導電材料418(例如,鎢)。在第12E圖中,藉由平坦化製程(例如,化學機械平坦化420)去除導電材料418、黏合層416以及導電特徵410的一部分的層。第12F圖示出導電栓塞410以及第二層間電介質406之間的裂縫422以及導電栓塞410以及電介質層408之間的裂縫424。據觀察,這些裂紋是發生鍺注入的腔室中水分過量的結果。過量的水分導致水分佔據第二層間電介質406和導電栓塞410之間的裂縫以及電介質層408和導電栓塞410之間的裂縫。水分的存在阻礙了鍺注入製程密封這種裂縫的能力。因此,裂縫在第12E圖中所示的化學機械平坦化製程期間以及之後暴露。當這樣的裂縫暴露時,腔室內的水分能夠通過裂縫到達下層的導電特徵402(例如,鈷),其中水分在此處與鈷反應。鈷與水的反應導致不必要的鈷損失。根據本揭露的用於調節腔室中的濕度的實施例設計以減少未被鍺填充的上述裂縫的存在,從而減少鈷損失量。
根據一些實施例,提供一種用以控制工件處理模組中的環境條件的系統。此系統包括空氣源、充氣部、流體移動裝置、腔室、第二充氣部、排氣閥以及控制器,充氣部204與空氣源流體連通,流體移動裝置206與充氣部流體連通,腔室與流體移動裝置流體連通,腔室包括濕度感測器212以及氣體感測器214,第二充氣部216與腔室流體連通,排氣閥218與第二充氣部流體連通,控制器220與濕度感測器、氣體感測器、排氣閥、流體移動裝置以及空氣源通訊。
根據一些實施例,腔室更包括壓力感測器。根據一些實施例,控制器與壓力感測器通訊。根據一些實施例,系統更包括在第二充氣部以及充氣部之間的再循環管線。根據一些實施例,系統更包括與控制器通訊的計算機。根據一些實施例,腔室位於流體移動裝置以及第二充氣部之間,且包括用以從工件加工工具接收已加工工件的端口。根據一些實施例,腔室為離子注入腔室所在的腔室。根據一些實施例,腔室為設備前端模組。
根據一些實施例,提供一種控制半導體基板製程腔室中環境條件的方法2000/3000,此方法包括:從與充氣部流體連通的空氣源向充氣部輸送空氣;利用流體移動裝置以將空氣輸送通過與充氣部流體連通的流體過濾單元;使空氣從流體過濾單元流向與流體過濾單元流體連通的腔室;感測腔室內的濕度;感測腔室內的氣體濃度;使空氣以及氣體從腔室流向與腔室流體連通的第二充氣部;基於感測到的腔室內的濕度來調節進入充氣部的空氣的流率;基於感測到的腔室內的氣體濃度來調節從腔室中排出的氣體量;以及將空氣以及氣體從第二充氣部再循環到充氣部。
根據一些實施例,此方法更包括感測腔室內的壓力。根據一些實施例,利用流體移動裝置以將空氣輸送通過流體過濾單元包括利用風扇。根據一些實施例,基於感測到的腔室內的濕度調節進入充氣部的空氣的流率包括調節風扇的速度以及/或調節風扇的葉片的角度。根據一些實施例,基於感測到的腔室內的濕度調節進入充氣部的空氣的流率包括調節位於空氣源以及充氣部之間的閥。根據一些實施例,基於感測到的腔室內的氣體濃度控制從腔室排出的氣體量包括調節與第二充氣部流體連通的閥。根據一些實施例,此方法更包括添加材料到半導體工件或從半導體工件去除材料。
根據一些實施例,提供一種加工半導體工件的方法,此方法包括在離子注入腔室中形成在層間電介質層中的導電特徵;感測腔室中的濕度,其中腔室為離子注入腔室;將感測到的濕度與期望濕度進行比較;基於比較的結果增加潔淨乾空氣到腔室的流率;降低腔室中的濕度;以及注入離子以填充導電特徵以及層間電介質層之間的間隙。
根據一些實施例,此方法更包括感測腔室內的氣體的濃度;將感測到的氣體濃度與期望氣體濃度進行比較;以及基於上述比較來調節從腔室排出的氣體量以及空氣量。根據一些實施例,此方法更包括使潔淨乾空氣通過熱能單元,在熱能單元中熱能被添加到潔淨乾空氣或從潔淨乾空氣中去除。根據一些實施例,增加進入腔室的潔淨乾空氣的流率包括增加在潔淨乾空氣的來源以及腔室之間的風扇的速度。根據一些實施例,增加進入腔室的潔淨乾空氣的流率包括調節風扇的葉片的角度。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
100:系統
110:設備前端模組/半導體工件處理模組/工件處理模組
112:裝載端口
115:裝載鎖定機器臂/裝載鎖定傳送機器臂
115A,135A,226:支撐件
115B,135B:臂組
115C,135C:末端執行器
120:裝載鎖定腔室
122:裝載鎖定門
125:支撐座
130:中央傳送腔室
132:中央傳送腔室門
135:機器臂
140,140A,140B,140C,140D:製程腔室
142A:腔室門
145:吸座
150:輸送承載件
152:門
160:工件
190:製程
202:空氣源
203:閥/可調氣閥
204:充氣部/第一充氣部
206:流體移動裝置
208:流體過濾單元
210:腔室
212:濕度感測器
214:氣體感測器/化學感測器
215:壓力感測器
216:第二充氣部
217:氣體淨化器
218:排氣閥/閥
220:控制器
222:計算機
224:再循環管線
227,228:訊號
230:熱能單元
232:閥
402:金屬特徵
404:第一層間電介質
406:第二層間電介質
408:電介質層
410:導電栓塞
412:密封材料
414:過量的濕度
416:黏合層
418:導電材料
420:化學機械平坦化
422,424:裂縫
1000,2000,3000:方法
1002,1004,1006,1008,1010,1012,1014,1016,1018,2002,
2004,2006,2008,2010,2012,2014,2016,2018,3002,3004,
3006,3008,3010,3012,3014:操作
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1圖是根據本揭露的一些實施例的用以加工半導體工件的系統的俯視圖。
第2圖是根據本揭露的一些實施例的用以加工半導體工件的系統的剖面圖。
第3A圖是根據本揭露的實施例執行的方法的一部分的流程圖。
第3B圖是根據本揭露的實施例執行的方法的一部分的流程圖。
第3C圖是根據本揭露的實施例執行的方法的一部分的流程圖。
第4圖至第10B圖示出根據本揭露的實施例的方法。
第11A圖是根據本揭露的實施例的用以控制或調節工件處理模組中的環境條件的系統的示意性側視圖。
第11B圖是其中可實施根據本揭露的實施例的工件處理模組或工具的立體圖。
第12A圖至第12F圖示出處於製造製程各個階段的半導體結構,示出過量的濕度如何對製造製程產生負面影響。
110:設備前端模組/半導體工件處理模組/工件處理模組
202:空氣源
203:閥/可調氣閥
204:充氣部/第一充氣部
206:流體移動裝置
208:流體過濾單元
210:腔室
212:濕度感測器
214:氣體感測器/化學感測器
215:壓力感測器
216:第二充氣部
217:氣體淨化器
218:排氣閥/閥
220:控制器
222:計算機
224:再循環管線
226:支撐件
227,228:訊號
230:熱能單元
232:閥
Claims (20)
- 一種半導體製程系統,用以控制一工件處理模組中的環境條件,該半導體製程系統包括: 一空氣源; 一充氣部,與該空氣源流體連通; 一流體移動裝置,與該充氣部流體連通; 一腔室,與該流體移動裝置流體連通,該腔室包括一濕度感測器以及一氣體感測器; 一第二充氣部,與該腔室流體連通; 一排氣閥,與該第二充氣部流體連通;以及 一控制器,與該濕度感測器、該氣體感測器、該排氣閥、該流體移動裝置以及該空氣源通訊。
- 如請求項1之半導體製程系統,其中該腔室更包括一壓力感測器。
- 如請求項2之半導體製程系統,其中該控制器與該壓力感測器通訊。
- 如請求項1之半導體製程系統,更包括一再循環管線,在該第二充氣部以及該充氣部之間。
- 如請求項1之半導體製程系統,更包括一計算機,與該控制器通訊。
- 如請求項1之半導體製程系統,其中該腔室位於該流體移動裝置以及該第二充氣部之間,且包括用以從一工件加工工具接收數個已加工工件的一端口。
- 如請求項1之半導體製程系統,其中該腔室為一離子注入腔室所在的一腔室。
- 如請求項1之半導體製程系統,其中該腔室為一設備前端模組。
- 一種半導體製程方法,控制半導體基板處理腔室中的環境條件,包括: 從與一充氣部流體連通的一空氣源向該充氣部輸送一空氣; 利用一流體移動裝置以將該空氣輸送通過與該充氣部流體連通的一流體過濾單元; 使該空氣從該流體過濾單元流向與該流體過濾單元流體連通的一腔室; 感測該腔室內的濕度; 感測該腔室內的一氣體的濃度; 使該空氣以及該氣體從該腔室流向與該腔室流體連通的一第二充氣部; 基於感測到的該腔室內的濕度調節進入該充氣部的該空氣的一流率; 基於感測到的該腔室內的該氣體的濃度調節從該腔室中排出的一氣體量;以及 將該空氣以及該氣體從該第二充氣部再循環到該充氣部。
- 如請求項9之半導體製程方法,更包括感測該腔室內的一壓力。
- 如請求項9之半導體製程方法,其中利用該流體移動裝置以將該空氣輸送通過該流體過濾單元包括利用一風扇。
- 如請求項11之半導體製程方法,其中基於感測到的該腔室內的濕度調節進入該充氣部的該空氣的該流率包括調節該風扇的一速度以及/或調節該風扇的數個葉片的一角度。
- 如請求項9之半導體製程方法,其中基於感測到的該腔室內的濕度調節進入該充氣部的該空氣的該流率包括調節位於該空氣源以及該充氣部之間的一閥。
- 如請求項9之半導體製程方法,其中基於感測到的該腔室內的該氣體的濃度控制從該腔室排出的該氣體量包括調節與該第二充氣部流體連通的一閥。
- 如請求項9之半導體製程方法,更包括添加材料到一半導體工件或從一半導體工件去除材料。
- 一種加工半導體工件的方法,包括: 在一腔室中,在一層間電介質層中形成一導電特徵; 感測該腔室中的一濕度,該腔室為一離子注入腔室; 將感測到的該濕度與一期望濕度進行一比較; 基於該比較的結果增加一潔淨乾空氣到該腔室的一流率; 降低該腔室中的該濕度;以及 注入離子以填充該導電特徵以及該層間電介質層之間的數個間隙。
- 如請求項16之加工半導體工件的方法,更包括: 感測該腔室內的一氣體的濃度; 將感測到的該氣體的濃度與期望的該氣體的濃度進行一比較;以及 基於該比較來調節從該腔室排出的一氣體量以及一空氣量。
- 如請求項16之加工半導體工件的方法,更包括使該潔淨乾空氣通過一熱能單元,在該熱能單元中熱能被添加到該潔淨乾空氣或從該潔淨乾空氣中去除。
- 如請求項16之加工半導體工件的方法,其中增加進入該腔室的該潔淨乾空氣的該流率包括增加在該潔淨乾空氣的一來源以及該腔室之間的一風扇的速度。
- 如請求項16之加工半導體工件的方法,其中增加進入該腔室的該潔淨乾空氣的該流率包括調節一風扇的數個葉片的一角度。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202263382611P | 2022-11-07 | 2022-11-07 | |
US63/382,611 | 2022-11-07 | ||
US18/168,265 | 2023-02-13 | ||
US18/168,265 US20240153787A1 (en) | 2022-11-07 | 2023-02-13 | Control of environment within processing modules |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202420423A true TW202420423A (zh) | 2024-05-16 |
Family
ID=90928086
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW112111646A TW202420423A (zh) | 2022-11-07 | 2023-03-28 | 半導體製程系統、半導體製程方法及加工半導體工件的方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US20240153787A1 (zh) |
CN (1) | CN221427679U (zh) |
TW (1) | TW202420423A (zh) |
-
2023
- 2023-02-13 US US18/168,265 patent/US20240153787A1/en active Pending
- 2023-03-28 TW TW112111646A patent/TW202420423A/zh unknown
- 2023-10-30 CN CN202322920895.8U patent/CN221427679U/zh active Active
Also Published As
Publication number | Publication date |
---|---|
US20240153787A1 (en) | 2024-05-09 |
CN221427679U (zh) | 2024-07-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7263639B2 (ja) | 基板搬送部 | |
US6696367B1 (en) | System for the improved handling of wafers within a process tool | |
US6797617B2 (en) | Reduced cross-contamination between chambers in a semiconductor processing tool | |
JP4553574B2 (ja) | 基板移送モジュールの汚染を制御することができる基板処理方法 | |
US11581181B2 (en) | Orientation chamber of substrate processing system with purging function | |
US11610794B2 (en) | Side storage pods, equipment front end modules, and methods for operating the same | |
US10978329B2 (en) | Wafer pod handling method | |
JP2003045933A (ja) | ロードポート、基板処理装置および雰囲気置換方法 | |
US10283393B1 (en) | Wafer carrying fork, semiconductor device manufacturing system, and wafer transporting method | |
CN221427679U (zh) | 半导体制程系统 | |
US11581204B2 (en) | Semiconductor device manufacturing system and method for manufacturing semiconductor device | |
US11177150B2 (en) | Cluster tool and method using the same | |
JP4359109B2 (ja) | 基板処理装置および基板処理方法 | |
CN110660706B (zh) | 定向腔室及处理基板的方法 | |
KR20240051070A (ko) | 중복성을 갖는 팩토리 인터페이스 |