TWI755852B - 排斥網及沉積方法 - Google Patents

排斥網及沉積方法 Download PDF

Info

Publication number
TWI755852B
TWI755852B TW109131258A TW109131258A TWI755852B TW I755852 B TWI755852 B TW I755852B TW 109131258 A TW109131258 A TW 109131258A TW 109131258 A TW109131258 A TW 109131258A TW I755852 B TWI755852 B TW I755852B
Authority
TW
Taiwan
Prior art keywords
mesh
processing chamber
deposition
plasma
deposition method
Prior art date
Application number
TW109131258A
Other languages
English (en)
Other versions
TW202111778A (zh
Inventor
瑪杜桑托什庫馬爾 穆迪亞拉
山傑 卡瑪斯
迪尼斯 帕奇
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202111778A publication Critical patent/TW202111778A/zh
Application granted granted Critical
Publication of TWI755852B publication Critical patent/TWI755852B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

示例性的沉積方法可以包括以下步驟:用第一電壓將半導體基片靜電地卡緊在半導體處理腔室的處理區域內。該等方法可以包括以下步驟:執行沉積製程。該沉積製程可以包括以下步驟:在該半導體處理腔室的該處理區域內形成電漿。該等方法可以包括以下步驟:停止在該半導體處理腔室內形成該電漿。該等方法可以包括以下步驟:與該停止步驟同時,將靜電卡緊的該第一電壓增加到第二電壓。該等方法可以包括以下步驟:吹掃該半導體處理腔室的該處理區域。

Description

排斥網及沉積方法
此申請案主張於2019年9月12日提出的第62/899,351號的美國臨時專利申請案的優先權權益,該文獻的整體實質內容特此以引用方式併入。
本技術與半導體製程及腔室元件相關。更具體而言,本技術與修改的元件及沉積方法相關。
藉由在基片表面上產生錯綜複雜地圖案化的材料層的製程使得積體電路成為可能。在基片上產生圖案化的材料需要受控的形成方法及暴露的材料的移除。隨著器件尺寸不斷縮小,顆粒污染可能日益嚴峻的挑戰。在沉積方法期間,可能將材料沉積在腔室元件上,且此材料可能掉落到基片的後續沉積,這可能影響器件品質。
因此,需要可以用來生產高品質的器件及結構的改善的系統及方法。本技術解決了這些及其他的需要。
示例性的沉積方法可以包括以下步驟:用第一電壓將半導體基片靜電地卡緊在半導體處理腔室的處理區域內。該等方法可以包括以下步驟:執行沉積製程。該沉積製程可以包括以下步驟:在該半導體處理腔室的該處理區域內形成電漿。該等方法可以包括以下步驟:停止在該半導體處理腔室內形成該電漿。該等方法可以包括以下步驟:與該停止步驟同時,將靜電卡緊的該第一電壓增加到第二電壓。該等方法可以包括以下步驟:吹掃該半導體處理腔室的該處理區域。
在一些實施例中,該第一電壓可以為200 V或更小。該第二電壓可以為500 V或更大。可以將該半導體基片靜電地卡緊到基片支撐件。該半導體處理腔室可以包括淋噴頭,且該沉積製程可以在該半導體基片相對於該淋噴頭定位在第一距離處的情況下進行。該基片支撐件可以包括設置在該基片支撐件內的網。該網可以由該網的內部位置處的第一網密度所表徵,且該網可以由該網的外部位置處的第二網密度所表徵,該外部位置環繞該網的該內部位置。該方法可以更包括以下步驟:當將該第一電壓增加到該第二電壓時相對於該淋噴頭將該半導體基片重新定位到第二距離。該第二距離可以大於該第一距離。該第二距離可以比該第一距離大25%以上。該沉積製程可以包括以下步驟:使用正矽酸四乙酯來沉積氧化矽。
本技術的一些實施例可以包含半導體處理腔室。該等腔室可以包括:托座,被配置為支撐半導體基片。該等腔室可以包括:導電網,合併在該托座內。該導電網可以由該導電網的中心區域處的第一網密度所表徵,且該導電網可以由該導電網的外部區域處的第二網密度所表徵,該第二網密度大於該第一網密度。
在一些實施例中,該導電網的該外部區域可以由包圍該導電網的該中心區域的環形形狀所表徵。該導電網可以由從中心軸線延伸通過該導電網的半徑所表徵。該外部區域可以從該導電網的外緣朝向該中心軸線延伸到高達約該半徑的30%。可以將該托座配置為在該半導體處理腔室內垂直地平移該半導體基片。該處理腔室也可以包括:淋噴頭,被配置為操作為該半導體處理腔室內的電漿產生電極。
本技術的一些實施例可以包含沉積方法。該等方法可以包括以下步驟:在半導體處理腔室的處理區域內形成含氧前驅物的電漿。該處理區域可以將半導體基片收容在基片支撐件上。該等方法可以包括以下步驟:在維持該含氧前驅物的該電漿的同時,用第一流速使含矽前驅物流動到該半導體處理腔室的該處理區域中。該等方法可以包括以下步驟:在一定時間段內將該含矽前驅物的該第一流速逐漸增加到第二流速,該第二流速大於該第一流速。該等方法可以包括以下步驟:用該含矽前驅物的該第二流速執行沉積。
在一些實施例中,該含矽前驅物可以包括正矽酸四乙酯。該時間段可以小於或為約10秒。逐漸增加該第一流速的步驟可以在從約每秒2克的該含矽前驅物到約每秒5克的該含矽前驅物的恆定增加下進行。可以在小於或為約400℃的溫度下執行沉積。在形成該含氧前驅物的該電漿的同時,可以將該半導體處理腔室的該處理區域維持不含該含矽前驅物。該半導體基片可以包括矽,且形成該含氧前驅物的該電漿的步驟可以產生該半導體基片的該矽的氧自由基化的表面終端。
相對於常規的系統及技術,此類技術可以提供許多益處。例如,系統可以藉由在吹掃期間排斥顆粒來限制或最小化後續沉積製程的掉落顆粒的沉積。此外,本技術的實施例的操作可以在基片上產生改善的材料界面密度,這可以減少後續蝕刻期間的底切。與以下說明及隨附圖式組合來更詳細地描述這些及其他實施例以及許多它們的優點及特徵。
在材料沉積(例如氧化矽或其他含矽材料的材料沉積)期間,電漿增強的沉積可以在淋噴頭或氣體分佈器與基片支撐件之間產生局部電漿。當前驅物在電漿中活化時,沉積材料可以形成及沉積在基片上。在此種沉積發生的同時,額外的沉積也可能在處理腔室中發生,例如腔室內的死區,在死區處,流體流動可能不是理想的。此外,電漿產生的過程可能在基片上方產生殼層,該殼層可能循環及捕集某些顆粒。當關斷電漿時,附著到腔室元件的材料可能剝落且掉落到基片上,且先前捕集在電漿中的顆粒也可能掉落到基片上。這些額外的顆粒可能在沉積的膜上產生缺陷,這可能劣化或用其他方式影響器件品質。
常規技術通常接受一定量的這些殘餘顆粒效應。然而,本技術可以調整處理序列及利用修改的腔室元件來防止一定量的這些缺陷。例如,本技術可以激勵靜電場以從基片排斥這些缺陷顆粒,從而允許將該等缺陷顆粒從腔室抽出。藉由增加基片支撐件的內部網的部分,可以增加電場強度以將這些顆粒維持在基片後續處理之外。
此外,利用某些矽前驅物(例如正矽酸四乙酯)的處理可能產生較低密度的膜,例如氧化矽膜。雖然可以改善一些製程(例如間隙填充及低品質形成),但膜與下伏基片的界面區域可能由多孔及較弱的膜覆蓋性所表徵。在後續的蝕刻處理(例如乾或濕蝕刻)期間,在到達下伏基片之後,蝕刻劑就可能沿著沉積的膜與基片之間的界面區域對沉積的膜進行底切,這可能在後續的拋光或處理操作期間導致進一步剝落及膜劣化。
藉由經常將替代的前驅物用於沉積,或執行較高溫度的沉積(其可以增加膜密度),常規技術已經解決了此問題。藉由對基片表面進行塗底及形成較高品質的界面,本技術可以克服這些限制。這可以允許形成低密度的膜(這在中間製程操作期間可以是有用的),同時在後續的蝕刻期間限制或防止底切。此外,藉由改善界面膜品質,可以在較低的溫度下執行沉積,這相對於常規的製程而言可以增加沉積速率。在描述了依據本技術的實施例的腔室的一般方面之後,可以論述具體方法及元件配置,電漿處理可以在該腔室中執行。要了解,本技術不旨在限於所論述的特定的膜及處理,因為所述的技術可以用來改善多種膜形成製程,且可以可適用於各種處理腔室及操作。
圖1示出依據本技術的實施例的示例性處理腔室100的橫截面圖。該圖式可以繪示併入本技術的一或更多個方面及/或可以執行依據本技術的實施例的一或更多個操作的系統的概述。腔室100或所執行的方法的額外細節可以在下文進一步描述。腔室100可以用來依據本技術的一些實施例形成膜層,然而要了解,可以在內部可以進行膜形成的任何腔室中類似地執行該等方法。處理腔室100可以包括腔室主體102、設置在腔室主體102內部的基片支撐件104、及與腔室主體102耦接且將基片支撐件104包圍在處理容積120中的蓋組件106。可以通過開口126向處理容積120提供基片103,該開口在常規上可以使用縫閥或門來密封以供進行處理。基片103可以在處理期間位在基片支撐件的表面105上。基片支撐件104可以沿著軸線147如由箭頭145所指示地可旋轉,基片支撐件104的軸桿144可以位於該軸線。或者,可以在沉積製程期間向上升舉基片支撐件104以在必要時旋轉。
可以將電漿分佈調變器111設置在處理腔室100中以控制跨設置在基片支撐件104上的基片103的電漿分佈。電漿分佈調變器111可以包括第一電極108,該第一電極可以設置在腔室主體102附近,且可以將腔室主體102與蓋組件106的其他元件分離。第一電極108可以是蓋組件106的一部分,或可以是單獨的側壁電極。第一電極108可以是環形或環狀的構件,且可以是環形電極。第一電極108可以是處理腔室100的圓周周圍環繞處理容積120的連續環,或如果需要的話可以在選定位置處不連續。第一電極108也可以是有孔的電極(例如有孔環或網狀電極),或可以是板狀電極(舉例而言,例如輔助氣體分佈器)。
一或更多個隔離器110a、110b(其可以是介電材料,例如陶瓷或金屬氧化物,例如氧化鋁及/或氮化鋁)可以接觸第一電極108及將第一電極108與氣體分佈器112及腔室主體102電氣分離及熱分離。氣體分佈器112可以界定孔118以供將製程前驅物分佈到處理容積120中。氣體分佈器112可以與第一電源142(例如RF產生器、RF電源、DC電源、脈衝式DC電源、脈衝式RF電源、或可以與處理腔室耦接的任何其他電源)耦接。在一些實施例中,第一電源142可以是RF電源。
氣體分佈器112可以是導電的氣體分佈器或不導電的氣體分佈器。氣體分佈器112也可以由導電及不導電的元件所形成。例如,氣體分佈器112的主體可以是導電的,而氣體分佈器112的面板可以是不導電的。氣體分佈器112可以例如藉由如圖1中所示的第一電源142來供電,或氣體分佈器112在一些實施例中可以與接地耦接。
第一電極108可以與第一調諧電路128耦接,該第一調諧電路可以控制處理腔室100的接地路徑。第一調諧電路128可以包括第一電子感測器130及第一電子控制器134。第一電子控制器134可以是或包括可變電容器或其他的電路構件。第一調諧電路128可以是或包括一或更多個電感器132。第一調諧電路128可以是在處理期間存在於處理容積120中的電漿條件下實現可變或可控阻抗的任何電路。在如所繪示的一些實施例中,第一調諧電路128可以包括並聯耦接在接地與第一電子感測器130之間的第一電路支路及第二電路支路。第一電路支路可以包括第一電感器132A。第二電路支路可以包括與第一電子控制器134串聯耦接的第二電感器132B。可以將第二電感器132B設置在第一電子控制器134與將第一電路支路及第二電路支路連接到第一電子感測器130的節點之間。第一電子感測器130可以是電壓或電流感測器,且可以與第一電子控制器134耦接,該第一電子控制器可以提供對處理容積120內的電漿條件的一定程度的閉環控制。
第二電極122可以與基片支撐件104耦接。可以將第二電極122嵌入在基片支撐件104內或與基片支撐件104的表面耦接。第二電極122可以是板、有孔的板、網、絲網、或任何其他分佈式的導電構件佈置。第二電極122可以是調諧電極,且可以藉由設置在基片支撐件104的軸桿144中的電路146(例如具有選定電阻(舉例而言,例如50歐姆)的纜線)與第二調諧電路136耦接。第二調諧電路136可以具有第二電子感測器138及第二電子控制器140,該第二電子控制器可以是第二可變電容器。第二電子感測器138可以是電壓或電流感測器,且可以與第二電子控制器140耦接以提供對處理容積120中的電漿條件的進一步控制。
第三電極124(其可以是偏壓電極及/或靜電卡緊電極)可以與基片支撐件104耦接。第三電極可以通過濾波器148(其可以是阻抗匹配電路)與第二電源150耦接。第二電源150可以是DC功率、脈衝式DC功率、RF偏壓功率、脈衝式RF源或偏壓功率、或這些或其他的電源的組合。在一些實施例中,第二電源150可以是RF偏壓功率。
可以將圖1的蓋組件106及基片支撐件104與用於電漿或熱處理的任何處理腔室一起使用。操作時,處理腔室100可以提供對處理容積120中的電漿條件的實時控制。可以將基片103設置在基片支撐件104上,且可以依據任何所需的流動計劃使用入口114使製程氣體流動通過蓋組件106。氣體可以通過出口152離開處理腔室100。電功率可以與氣體分佈器112耦接以在處理容積120中建立電漿。在一些實施例中,可以使用第三電極124使基片經受電偏壓。
在處理容積120中激勵電漿之後,就可以在電漿與第一電極108之間建立電勢差。也可以在電漿與第二電極122之間建立電勢差。電子控制器134、140可以接著用來調整由兩個調諧電路128及136所表示的接地路徑的流動性質。可以向第一調諧電路128及第二調諧電路136遞送設定點以提供對沉積速率及從中心到邊緣的電漿密度均勻性的獨立控制。在電子控制器可以都是可變電容器的實施例中,電子感測器可以調整可變電容器以獨立地最大化沉積速率及最小化厚度不均勻性。
調諧電路128、136中的每一者均可以具有可變阻抗,該可變阻抗可以使用相應的電子控制器134、140來調整。若電子控制器134、140是可變電容器,則可以將可變電容器中的每一者的電容範圍及第一電感器132A及第二電感器132B的電感選擇為提供一定的阻抗範圍。此範圍可以取決於電漿的頻率及電壓特性,其在每個可變電容器的電容範圍中可以具有最小值。因此,在第一電子控制器134的電容處於最小值或最大值時,第一調諧電路128的阻抗可能是高的,從而造成一種電漿形狀,該電漿形狀在基片支撐件上具有最小的空中或側向覆蓋性。當第一電子控制器134的電容接近最小化第一調諧電路128的阻抗的值時,電漿的空中覆蓋性可以增長到最大,從而有效地覆蓋基片支撐件104的整個工作區域。在第一電子控制器134的電容偏離最小阻抗設定時,電漿形狀可能從腔室壁收縮且基片支撐件的空中覆蓋性可能下降。第二電子控制器140可以具有類似的效果(增加及減少基片支撐件上方的電漿的空中覆蓋性),因為第二電子控制器140的電容可以改變。
電子感測器130、138可以用來調諧閉環中的相應電路128、136。取決於所使用的感測器的類型,可以將電流或電壓的設定點安裝在每個感測器中,且感測器可以裝設有控制軟體,該控制軟體決定對每個相應的電子控制器134、140的調整以最小化相對於設定點的偏差。從而,可以在處理期間選定及動態地控制電漿形狀。要了解,雖然前述論述基於可以是可變電容器的電子控制器134、140,但也可以使用具有可調整特性的任何電子元件來提供具有可調整阻抗的調諧電路128及136。
圖2示出依據本技術的一些實施例的沉積方法200中的示例性操作。該方法可以執行在各種處理腔室中,包括上述的處理腔室100。將在下文進一步論述處理腔室100的額外方面。該方法可以包括以下步驟:在製程中利用特定的基片支撐網以限制或防止顆粒污染。方法200可以包括多個可選的操作,該等操作可以或可以不特別與依據本技術的方法的一些實施例相關聯。例如,描述了許多操作以提供結構形成較廣的範圍,但該等操作對於本技術不是關鍵的,或可以由會容易理解的替代方法執行。
方法200可以在所列出的操作起動之前包括額外的操作。例如,額外的處理操作可以包括以下步驟:在半導體基片上形成結構,這可以包括形成及移除材料。可以在內部可以執行方法200的腔室中執行先前的處理操作,或可以在將基片遞送到內部可以執行方法200的半導體處理腔室中之前在一或更多個其他的處理腔室中執行處理。無論如何,方法200都可以可以可選地包括以下步驟:將半導體基片遞送到半導體處理腔室(例如上述的處理腔室100,或可以包括如上所述的元件的其他腔室)的處理區域。可以將基片設置於基片支撐件上,該基片支撐件可以是諸如基片支撐件104的托座,且該基片支撐件可以位在腔室的處理區域(例如上述的處理容積120)中。在操作205處,可以用第一電壓將基片靜電卡緊在半導體處理腔室的處理區域內。托座可以包括設置在基片支撐件內的導電網,舉例而言,例如第三電極124。可以向基片施加電壓以夾緊基片以補償及限制基片上的伸張效應。
可以在操作210處執行沉積製程,在該操作中將材料沉積於基片上。在示例性的實施例中,例如,沉積製程可以涉及在半導體處理腔室的處理區域內形成電漿以執行各種材料中的任一者的電漿增強的沉積製程,然而也可以執行非電漿沉積製程。示例性的製程可以涉及沉積氧化矽,且可以包括以下步驟:利用正矽酸四乙酯作為前驅物。下文針對圖4論述可以執行的示例性的沉積製程,然而此製程不旨在限於由本技術所包含的各種沉積製程,或限於可以對以執行本顆粒排斥及吹掃操作的製程。在沉積之後,製程可以完成或停止。這可以包括以下步驟:在操作215處停止在半導體處理腔室內形成電漿,及吹掃腔室。
常規的處理可以在電漿吹掃期間將基片去卡緊。例如,當關斷電漿且泵送或排氣系統正忙於移除副產物或殘餘的前驅物材料時,許多常規的系統也可以關斷用於靜電卡盤的電壓。當電漿停止時,可能已經懸浮在電漿殼層中的顆粒接著可能掉落到晶圓上且污染表面。此外,當吹掃操作起動時,已經附著到淋噴頭或腔室表面的顆粒或沉積材料可能分離。雖然此材料的一部分將正確地從腔室吹掃掉,但這些顆粒中的一些也可能從表面抽出且掉落到基片表面上,從而造成進一步污染。如先前所述,許多常規的技術可能單純接受此污染量,且例如試圖用額外的拋光或後處理改正問題。
相對於常規的技術,本技術可以調整吹掃製程,或處理與吹掃之間的過渡。例如,雖然許多常規操作關斷靜電卡盤,但本技術可以維持為了卡緊而施加的電壓。如上文所論述,嵌入式電極(例如先前所述的第三電極124)可以產生使晶圓安置及限制偏轉的靜電力或夾緊力。換言之,電極產生輻射通過晶圓的靜電場,且除了所產生的夾緊力以外,場還可以提供延伸通過晶圓的靜電排斥力。由於靜電卡緊,此力可以與顆粒上以及基片上的電荷的大小成比例。
用於靜電卡緊的電壓可以是第一電壓,該第一電壓可以為約200 V或更小。作用在晶圓上的力部分地與網中的導電材料的量相關,可以將該量認為是網密度,或網的含有導電材料或是導電材料的面積量。用於靜電卡緊的標準網可以是規則的金屬絲網,其跨電極具有一致的圖案及網密度。此網與所施加的電壓組合可以提供適當的卡緊。然而,為了如上文所論述地產生足夠的排斥力以限制顆粒污染,網及/或電壓可能不夠。例如,網的密度及向網施加的第一電壓可能不提供足夠的朝向顆粒引導的電荷大小,該等顆粒仍然可能克服力且掉落到基片上。本技術可以執行對材料及所執行的方法的一或更多個修改,其可以產生適當的排斥力以減少或限制到達基片表面的污染顆粒。
如下文將進一步解釋,本技術的一些實施例可以併入相對於標準的網具有增加的網密度的網,且在方法200期間利用的托座或基片支撐件可以包括由下文所述的密度圖案中的任一者所表徵的導電網。附加性地或替代性地,本技術可以在電漿吹掃操作期間利用施加的卡緊電壓,這可以對處理環境內的顆粒產生靜電排斥力。如上所述,方法200可以包括以下步驟:在操作215處停止電漿形成及/或沉積。與可能類似地停止靜電卡緊的常規技術不同,本技術可以維持靜電卡緊,且在一些實施例中可以增加電壓。例如,在操作220處,且與停止電漿或關斷電漿同時,該方法可以包括以下步驟:將靜電卡緊的第一電壓增加到大於第一電壓的第二電壓。這可以產生對可能原本會掉落到基片上的顆粒提供排斥力的電場。
在操作225處,可以吹掃半導體處理腔室的處理區域。這可以涉及維持或增加與處理腔室耦接的排氣或泵送系統的操作,這一般可以發生在半導體處理中。因為可以在此吹掃操作期間維持排斥顆粒的靜電力,污染顆粒可以在掉落在基片上之前被移除。
如上文所論述,在一些實施例中,靜電卡緊可以施加約200 V或更小的電壓。在將具有增強的網密度的網與基片支撐件合併在一起(例如用於第三電極124)時,依據本技術的實施例,可以利用較少的電壓來維持類似的夾緊效果。因為電場的強度可以隨著網密度增加而增加,可以減少電壓以提供類似的卡緊。因此,在一些實施例中,且取決於導電網的配置,第一電壓可以小於或為約200 V,且可以小於或為約180 V、小於或為約160 V、小於或為約150 V、小於或為約140 V、小於或為約130 V、小於或為約120 V、小於或為約110 V、小於或為約100 V、小於或為約90 V、或更小。
當電壓從第一電壓過渡到第二電壓(其可以實質上是在調整處理腔室時立即發生的)時,可以將電壓增加到大於或為約300 V,且可以增加到大於或為約400 V、大於或為約500 V、大於或為約600 V、大於或為約700 V、大於或為約800 V、大於或為約900、或更大。雖然在向導電網施加的增加的電壓與顆粒排斥的減少之間可能存在相關性,但取決於基片特性,將電壓增加到超出某個閾值也可能由於所施加的夾緊力而使得基片彎曲、變形、或甚至破裂。因此,在一些實施例中,可以將第二電壓維持為小於或為約1,100 V,且可以維持為小於或為約1,000 V、小於或為約900 V、小於或為約800 V、或更小。
處理操作也可能受到基片與淋噴頭之間所維持的距離的影響。如與腔室100一起描述,托座或基片支撐件可以在一些實施例中垂直地可平移,且可以在一些沉積操作或其他的處理操作期間將基片定位在淋噴頭(例如氣體分佈器112)附近。可以在整個沉積製程內相對於淋噴頭將基片維持在此第一距離下。在由本技術所包含的一些處理腔室中,排氣流可以延伸於基片支撐件下方,例如利用圖1的出口152來延伸。當將基片與淋噴頭之間的距離維持得足夠低時,吹掃流可能不完全跨基片延伸。因此,在一些實施例中,方法200可以可選地包括以下步驟:在吹掃操作期間重新定位基片支撐件。
例如,一旦已經關斷或停止電漿形成,且吹掃操作可以開始,托座就可以相對於淋噴頭將基片重新定位到第二距離,該第二距離可以是大於第一距離的距離。這也可以在將第一電壓增加到第二電壓的時候或同時發生。藉由增加元件之間的距離,排氣流可以更佳地跨淋噴頭抽出,且可以改善顆粒或污染物移除。因此,藉由增加距離,可以提供改善的移除。因此,在一些實施例中,第二距離可以比第一距離大至少25%,且在一些實施例中,第二距離可以大於或為約第一距離的150%,且可以大於或為約第一距離的200%、大於或為約第一距離的250%、大於或為約第一距離的300%、大於或為約第一距離的350%、大於或為約第一距離的400%、大於或為約第一距離的450%、大於或為約第一距離的500%、大於或為約第一距離的550%、或更大。
藉由執行依據本技術的實施例的靜電排斥,相對於常規的技術,可以減少顆粒污染。例如,取決於導電網及所施加的電壓,實驗已經表明,將閾值尺寸的顆粒從超過一千個顆粒減少到小於300個顆粒。在如所述的增加的電壓下,增加的網密度可以在先前所述的常規操作期間進一步將顆粒污染減少到小於或為約基線顆粒量的30%,且可以將顆粒減少到小於或為約基線顆粒的25%、小於或為約基線顆粒的20%、小於或為約基線顆粒的15%、小於或為約基線顆粒的14%、小於或為約基線顆粒的13%、小於或為約基線顆粒的12%、小於或為約基線顆粒的11%、小於或為約基線顆粒的10%、小於或為約基線顆粒的9%、小於或為約基線顆粒的8%、小於或為約基線顆粒的7%、小於或為約基線顆粒的6%、小於或為約基線顆粒的5%、小於或為約基線顆粒的4%、或更小。
3A-3C 示出依據本技術的一些實施例的示例性導電網300的示意圖。如先前所解釋,一些導電網實施例可以由跨網相對於另一個區域增加的網密度的區域所表徵。可以將導電網300合併在如先前所述的托座或基片支撐件內以用於任何數量的示例性處理腔室。例如,導電網300可以是如上文所論述的第三電極124,且可以合併到半導體處理腔室內的托座中。示例性托座可以垂直地可平移,以使基片接近或遠離示例性腔室的淋噴頭。在一些實施例中,可以將淋噴頭配置為操作為腔室內的電漿產生電極。
如圖1中所繪示,氣體分佈器112可以具有包括用於遞送及分佈前驅物的孔的區域,然而在邊緣區域中,也可以不存在任何孔,這可以產生死區。這些區域可能是沉積材料可能聚集然後在吹掃期間剝落的位置。從而,與中心區域中相比,基片的外區域處的顆粒密度可能是個較大的問題。為了增加基片的可能增加顆粒累積的邊緣區域處的排斥,在一些實施例中,導電網的相關聯的環形區域可以由比另一個區域大的網密度所表徵。例如,圖3A可以繪示依據本技術的一些實施例的示例性導電網。導電網300a可以由中心區域305a所表徵,該中心區域可以包括導電網的標準網圖案且可以由第一網密度所表徵。導電網300a也可以由外部區域310a所表徵,該外部區域可以包括大於第一網密度的第二網密度。
如所繪示,外部區域310a可以由圍繞中心區域延伸或包圍中心區域的環形形狀所表徵,然而本技術也類似地包含了不同幾何形狀的導電網。例如,外部區域310a可以與圍繞中心區域的框架類似,無論導電網的幾何形狀如何。第二網密度可以大於或為約第一網密度的1.5倍,且在一些實施例中,第二網密度可以大於或為約第一網密度的2.0倍、大於或為約第一網密度的2.5倍、大於或為約第一網密度的3.0倍、大於或為約第一網密度的3.5倍、大於或為約第一網密度的4.0倍、大於或為約第一網密度的4.5倍、大於或為約第一網密度的5.0倍、或更大。在一些實施例中,因為可以隨著網密度增加而調整電壓,所以可以將第二網密度維持為低於或為約第一網密度的5倍以維持跨晶圓的足夠夾緊。
導電網300a可以由從中心軸線延伸通過導電網的半徑所表徵。半徑可以從任何方向測量,且可以適應任何幾何形狀,作為到導電網的邊緣的長度的測量。在一些實施例中,外部區域310a可以包圍大於或為約從導電網的外邊緣朝向中心軸線的半徑的5%,且在一些實施例中可以包含大於或為約半徑的10%、大於或為約半徑的15%、大於或為約半徑的20%、大於或為約半徑的25%、大於或為約半徑的30%、大於或為約半徑的35%、大於或為約半徑的40%、大於或為約半徑的45%、大於或為約半徑的50%、或更大。
圖3B繪示與圖3A類似的導電網圖案,然而內部區域或中心區域305b第一網密度及外部區域310b第二網密度都可以相對於圖3A加倍。操作時,因為增加的導電材料可以產生從材料引導的較大的電荷大小,所以可以用導電網300b施加減少的電壓。圖3C繪示由從導電網的中心向外延伸的擴展環及脊所表徵的額外的導電網圖案300c。基片的邊緣區域可能更容易受到如先前所解釋的顆粒效應的影響,且因此增加外部區域處的網密度可以改善這些效應。雖然中心區域也可以由增加的網密度所表徵,但與邊緣區域處相比,夾緊效果一般在基片的中心區域中可能較大。藉由增加中心區域中的網密度增加靜電力可能取決於所施加的電壓在一些情況下使基片彎曲。因此,在一些實施例中,導電網的中心區域或內部區域可以由相對於外部區域減少的網密度所表徵。
除了調整如上所述的吹掃製程以外,本技術還可以額外提供改善的氧化矽及其他材料的沉積。可以將下文所述的沉積技術與先前所述的排斥力製程或設備中的任一者組合。
正矽酸四乙酯(「TEOS」)可以由比其他含矽前驅物(例如矽烷)低的黏附係數所表徵。雖然此效果可以利用減少的空隙及懸垂改善間隙填充,但這可能類似地產生具有增加的多孔性及較低的密度的膜。雖然可能在所沉積的膜的主體中尋求這些特性,其例如可以提供較容易的移除及蝕刻,但增加界面區域處的多孔性可能造成其他挑戰。例如,可以執行後續的沉積、蝕刻製程。當這些蝕刻到達基片時,可能在界面區域處對膜進行底切。這可能使得膜剝落或碎裂,這可能隨著拋光操作而加劇。
雖然緻密化操作(例如退火)可以改善此密度,但退火也可能緻密化膜的主體,這可能移除所尋求的較低的密度,且可能增加通過膜的張應力。此種增加的應力也可能造成膜剝落或其他的效應。從而,許多常規操作在相對高的溫度(例如大於或為約400℃,或大於或為約500℃)下執行這些沉積,這增加了整個膜內的密度,但該溫度可以小於退火溫度。因為TEOS可能會以更多的冷凝式效果沉積,所以增加溫度也可能減少沉積速率。
本技術也可以藉由改善膜的界面密度來改善用TEOS沉積的氧化物膜的低溫沉積,同時維持主體中多孔、低密度的結構,及相對於常規的技術增加沉積速率。製程可以包括以下步驟:在使基片的界面表面自由基化之後,逐漸增加將TEOS引入到處理腔室中的速率。這可以在產生較低密度的主體區域之前改善結合及降低界面層的多孔性。
4 示出依據本技術的一些實施例的沉積方法400中的示例性操作。該方法可以執行在一或更多個腔室中,包括先前所述的腔室中的任一者,且該等腔室可以包括任何先前所述的元件,或在處理之後利用先前論述的任何方法。方法400可以包括多個可選的操作,該等操作可以或可以不特別與依據本技術的方法的一些實施例相關聯。例如,描述了許多操作以提供結構形成較廣的範圍,但該等操作對於本技術不是關鍵的,或可以由會容易理解的替代方法執行。例如,且如先前所述,可以在將基片遞送到處理腔室(例如上述的處理腔室100)中之前執行操作,在該處理腔室中,可以在有或沒有先前所述的方法200的一些或所有方面的情況下執行方法400。
方法400可以包括以下步驟:在操作405處在半導體處理腔室的處理區域內形成含氧前驅物的電漿。處理區域可以收容基片,例如收容在基片支撐件上,且可以在該基片上執行沉積製程。可以利用任何數量的含氧前驅物,包括雙原子氧、臭氧、併入氧、水、乙醇的含氮前驅物、或其他材料。在電漿形成期間,起初,可以將處理區域維持實質上或完全不含含矽前驅物,例如TEOS或任何其他的含矽前驅物。可以將任何數量的惰性或載體氣體(舉例而言,例如包括氦氣、氬氣、氮氧、或其他材料)與氧氣一起遞送。
在第一時間段之後,且在含氧前驅物的電漿被維持的同時,在操作410處,可以使含矽前驅物流動到半導體處理腔室的處理區域中。可以在可以小於目標流速的第一流速下遞送含矽前驅物,以供沉積較低密度的含矽和氧的材料。在操作415處,可以將含矽前驅物的流速在第二時間段內逐漸增加。可以在第二時間段內用恆定的速率逐漸增加流速,或可以在第二時間段期間用增減(減少或增加)的速率逐漸增加流速,直到含矽前驅物可以達到目標流速為止。接著可以在操作420處在目標流速下進行沉積以產生所需的膜厚度。藉由依據方法400執行製程,在後續的蝕刻操作期間(例如在可選操作425中的濕或乾蝕刻期間),可以最小化或防止具有下伏結構的膜界面處的底切蝕刻。
如上所述,在一些實施例中,含矽前驅物可以是TEOS,然而本技術也類似地包含其他的含矽前驅物。基於基片的幾何形狀及特性,以及前驅物的目標流速及初始流速,第一時間段及第二時間段可以是可變的。在一些實施例中,時間段中的任一者或兩者可以小於或為約1分鐘,且可以小於或為約30秒、小於或為約20秒、小於或為約15秒、小於或為約10秒、小於或為約9秒、小於或為約8秒、小於或為約7秒、小於或為約6秒、小於或為約5秒、小於或為約4秒、小於或為約3秒、小於或為約2秒、小於或為約1秒、或更小。
在一些實施例中,第一流速可以小於或為約含矽前驅物的目標流速的50%,且可以小於或為約目標流速的40%、小於或為約目標流速的30%、小於或為約目標流速的20%、小於或為約目標流速的10%、或更小。藉由利用較低的流速,可以在初始沉積時形成較少的矽材料。這可以提供適當的時間供副產物從膜散逸,這可以減少多孔性及增加膜密度。
藉由起初利用氧電漿(舉例而言,例如用在矽或含矽基片上,然而可以在任何其他的材料上類似地執行製程),氧可以使表面自由基化,從而形成氧自由基化的表面終端。因此,此自由基化的界面區域可以增強與自由基TEOS分子在被遞送時的反應,這可以改善此表面處的沉積。這可以在增加較低密度的膜的沉積之前增加膜的密度。
在一些實施例中,可以在配置為緩慢地或快速地達到目標流速的流速下執行逐漸增加操作。例如,在一些實施例中,流速可以用大於或為約每秒1克的速率增加,且可以用大於或為約每秒2克的速率增加、大於或為約每秒3克的速率增加、大於或為約每秒4克的速率增加、大於或為約每秒5克的速率增加、大於或為約每秒6克的速率增加、大於或為約每秒7克的速率增加、大於或為約每秒8克的速率增加、大於或為約每秒9克的速率增加、大於或為約每秒10克的速率增加、或更大。此外,可以在從約每秒2克的含矽前驅物到約每秒5克的含矽前驅物的範圍內增加流速。流速逐漸增加也可以在逐漸增加時期內改變以在逐漸增加時間內較快或較慢地進行。當比此範圍更慢地逐漸增加流速時,膜沉積可能不會均勻地進行,且長時間暴露於電漿可能會影響膜。為了改善遞送的均勻性,可以用大於或為約1 slm的流速提供如先前所述的載體氣體,且該流速可以大於或為約2 slm、大於或為約3 slm、大於或為約4 slm、大於或為約5 slm、大於或為約6 slm、或更大。
當比此範圍更快地逐漸增加流速時,沉積可能會更快地發生,這可能會捕集更多副產物,且可能導致增加的多孔性及較低的密度,以及在蝕刻期間對膜的底切。因此,可以用測量過的速率增加流速以維持膜形成與界面處的品質之間的平衡。界面區域可以由在轉移到較低密度的材料之前小於或為約10 nm的厚度所表徵,且在一些實施例中較高密度的界面區域的厚度可以小於或為約9 nm、小於或為約8 nm、小於或為約7 nm、小於或為約6 nm、小於或為約5 nm、小於或為約4 nm、小於或為約3 nm、小於或為約2 nm、小於或為約1 nm、或更小。
藉由在界面處提供增加密度的膜,可以執行較低溫的沉積,同時在後續的操作期間維持品質界面,且這可以限制或防止蝕刻期間的底切。從而,本技術可以允許在小於或為約400℃的溫度下執行沉積,且該沉積可以在小於或為約390℃、小於或為約380℃、小於或為約370℃、小於或為約360℃、小於或為約350℃、小於或為約340℃、小於或為約330℃、小於或為約320℃、小於或為約310℃、小於或為約300℃、小於或為約290℃、或更小的溫度下執行。
藉由利用依據本技術的實施例的方法及元件,可以改善材料的沉積或形成。藉由在界面處提供緻密化的材料,可以減少膜收縮,且可以限制或防止底切。這些改進可以減少基片上的膜剝落,且可以限制對膜的下游損傷。此外,藉由執行如先前所述的顆粒排斥操作,相對於常規技術,可以減少膜污染,這可以增加器件品質及良率。
在前述說明中,出於解釋的目的,已經闡述了許多細節以提供對本技術的各種實施例的了解。然而,本領域中的技術人員將理解,可以在沒有這些細節中的一些的情況下或在有額外的細節的情況下實行某些實施例。
在已經揭露了幾個實施例的情況下,本領域中的技術人員將認可,在不脫離實施例的精神的情況下,可以使用各種變體、替代構造、及等效物。此外,未描述許多眾所周知的製程及構件以避免不必要地模糊了本技術。因此,不應將以上說明視為限制了本技術的範圍。此外,可能將方法或製程描述為是有順序的或分步驟的,但要了解,可以並行地或用與所列出的順序不同的順序執行操作。
若提供了值的範圍,則應了解,除非上下文另有明確指出,否則也具體揭露了該範圍的上限與下限之間的每個中介的值(達下限的單位的最小分數)。包括了任何陳述的值之間的任何較窄的範圍或陳述的範圍中的未陳述的中介值以及該陳述的範圍中的任何其他陳述的值或中介值。可以將彼等較小範圍的上限及下限獨立地在範圍中包括或排除,且將限值中的任一者或兩者包括在較小範圍中或不將該等限值包括在較小範圍中的每個範圍也被包括在本技術內(受制於陳述的範圍中的任何具體排除的限值)。若陳述的範圍包括限值中的一或兩者,則也包括了排除彼等所包括的限值中的任一者或兩者的範圍。
如本文中及隨附請求項中所使用的,除非上下文另有明確指出,否則單數形式「一」及「該」包括了複數的指稱。因此,例如,對於「一種前驅物」的指稱包括了複數種此類的前驅物,而對於「該層」的指稱包括了對一或更多個層及其由本領域中的技術人員所已知的等效物的指稱等等。
並且,用詞「包括」及「包含」在被用在此說明書中及以下請求項中時,旨在指定陳述的特徵、整數、元件、或操作的存在,但該等用詞並不排除一或更多個其他的特徵、整數、元件、操作、行動、或群組的存在或添加。
100:處理腔室 102:腔室主體 103:基片 104:基片支撐件 105:表面 106:蓋組件 108:第一電極 111:電漿分佈調變器 112:氣體分佈器 114:入口 118:孔 120:處理容積 122:第二電極 124:第三電極 126:開口 128:第一調諧電路 130:第一電子感測器 134:第一電子控制器 136:第二調諧電路 138:第二電子感測器 140:第二電子控制器 142:第一電源 144:軸桿 145:箭頭 146:電路 147:軸線 148:濾波器 150:第二電源 152:出口 200:沉積方法 205:操作 210:操作 215:操作 220:操作 225:操作 400:沉積方法 405:操作 410:操作 415:操作 420:操作 425:操作 110a:隔離器 110b:隔離器 132A:第一電感器 132B:第二電感器 300a:導電網 300b:導電網 300c:導電網圖案 305a:中心區域 305b:中心區域 310a:外部區域 310b:外部區域
可以藉由參照說明書及附圖的其餘部分來實現對所揭露的技術的本質及優點的進一步了解。
圖1示出依據本技術的一些實施例的示例性處理腔室的示意橫截面圖。
圖2示出依據本技術的一些實施例的沉積方法中的示例性操作。
圖3A-3C示出依據本技術的一些實施例的示例性導電網的示意圖。
圖4示出依據本技術的一些實施例的沉積方法中的示例性操作。
將圖式中的幾個包括作為示意圖。要了解,圖式乃用於說明的用途,且除非具體說明是按比例的,否則不被認為是按比例的。此外,作為示意圖,圖式被提供為協助理解,且與現實的表示相比,可以不包括所有方面或資訊,且可以出於說明的目的而包括誇大的材料。
在附圖中,類似的元件及/或特徵可以具有相同的參考標記。進一步地,可以藉由在參考標記之後加上一個字母來區隔相同類型的各種元件,該字母在類似的元件之間作出區隔。若在本說明書中僅使用第一參考標記,則說明可適用於具有相同第一參考標記的類似元件中的任何一者而不論字母如何。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:沉積方法
205:操作
210:操作
215:操作
220:操作
225:操作

Claims (20)

  1. 一種沉積方法,包括以下步驟:用一第一電壓將一半導體基片靜電地卡緊在一半導體處理腔室的一處理區域內;執行一沉積製程,其中該沉積製程包括以下步驟:在該半導體處理腔室的該處理區域內形成一電漿;停止在該半導體處理腔室內形成該電漿;與該停止步驟同時,將靜電卡緊的該第一電壓增加到一第二電壓;及吹掃該半導體處理腔室的該處理區域。
  2. 如請求項1所述的沉積方法,其中該第一電壓為200V或更小。
  3. 如請求項1所述的沉積方法,其中該第二電壓為500V或更大。
  4. 如請求項1所述的沉積方法,其中將該半導體基片靜電地卡緊到一基片支撐件,其中該半導體處理腔室包括一淋噴頭,且其中該沉積製程在該半導體基片相對於該淋噴頭定位在一第一距離處的情況下進行。
  5. 如請求項4所述的沉積方法,其中該基片支撐件包括設置在該基片支撐件內的一網,且其中該網由該網的一內部位置處的一第一網密度所表徵,且其中該網由該網的一外部位置處的一第二網密度所表徵,該外部位置環繞該網的該內部位置。
  6. 如請求項4所述的沉積方法,更包括以下步 驟:當將該第一電壓增加到該第二電壓時相對於該淋噴頭將該半導體基片重新定位到一第二距離,其中該第二距離大於該第一距離。
  7. 如請求項6所述的沉積方法,其中該第二距離比該第一距離大25%以上。
  8. 如請求項1所述的沉積方法,其中該沉積製程包括以下步驟:使用正矽酸四乙酯來沉積氧化矽。
  9. 一種半導體處理腔室,包括:一托座,被配置為支撐一半導體基片;及一導電網,合併在該托座內,其中該導電網由該導電網的一中心區域處的一第一網密度所表徵,且其中該導電網由該導電網的一外部區域處的一第二網密度所表徵,該第二網密度大於該第一網密度。
  10. 如請求項9所述的半導體處理腔室,其中該導電網的該外部區域由包圍該導電網的該中心區域的一環形形狀所表徵。
  11. 如請求項10所述的半導體處理腔室,其中該導電網由從一中心軸線延伸通過該導電網的一半徑所表徵,且其中該外部區域從該導電網的一外緣朝向該中心軸線延伸到高達約該半徑的30%。
  12. 如請求項9所述的半導體處理腔室,其中該托座被配置為在該半導體處理腔室內垂直地平移該半導體基片。
  13. 如請求項9所述的半導體處理腔室,更包括:一淋噴頭,被配置為操作為該半導體處理腔室內的一電漿產生電極。
  14. 一種沉積方法,包括以下步驟:在一半導體處理腔室的一處理區域內形成一含氧前驅物的一電漿,其中該處理區域將一半導體基片收容在一基片支撐件上;在維持該含氧前驅物的該電漿的同時,用一第一流速使一含矽前驅物流動到該半導體處理腔室的該處理區域中;在一時間段內將該含矽前驅物的該第一流速逐漸增加到一第二流速,該第二流速大於該第一流速;及用該含矽前驅物的該第二流速執行一沉積。
  15. 如請求項14所述的沉積方法,其中該含矽前驅物包括正矽酸四乙酯。
  16. 如請求項14所述的沉積方法,其中該時間段小於或為約10秒。
  17. 如請求項14所述的沉積方法,其中逐漸增加該第一流速的步驟在從約每秒2克的該含矽前驅物到約每秒5克的該含矽前驅物的一恆定增加下進行。
  18. 如請求項14所述的沉積方法,其中在小於或為約400℃的一溫度下執行該沉積。
  19. 如請求項14所述的沉積方法,其中在形成該含氧前驅物的該電漿的同時,將該半導體處理腔室的 該處理區域維持不含該含矽前驅物。
  20. 如請求項14所述的沉積方法,其中該半導體基片包括矽,且其中形成該含氧前驅物的該電漿產生該半導體基片的該矽的一氧自由基化的表面終端。
TW109131258A 2019-09-12 2020-09-11 排斥網及沉積方法 TWI755852B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962899351P 2019-09-12 2019-09-12
US62/899,351 2019-09-12

Publications (2)

Publication Number Publication Date
TW202111778A TW202111778A (zh) 2021-03-16
TWI755852B true TWI755852B (zh) 2022-02-21

Family

ID=74866360

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109131258A TWI755852B (zh) 2019-09-12 2020-09-11 排斥網及沉積方法

Country Status (5)

Country Link
US (1) US20210082732A1 (zh)
KR (1) KR20220057624A (zh)
CN (1) CN114467164A (zh)
TW (1) TWI755852B (zh)
WO (1) WO2021050308A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10431428B2 (en) * 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201137967A (en) * 2009-11-18 2011-11-01 Tokyo Electron Ltd Substrate processing apparatus, cleaning method thereof and storage medium storing program
US20120009802A1 (en) * 2010-04-15 2012-01-12 Adrien Lavoie Plasma activated conformal dielectric film deposition
US20160013043A1 (en) * 2013-02-08 2016-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom-up peald process
TW201906066A (zh) * 2017-06-19 2019-02-01 美商應用材料股份有限公司 用於高溫處理腔室的靜電吸座
TW201920716A (zh) * 2017-08-25 2019-06-01 日商東京威力科創股份有限公司 被處理體之處理方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5573597A (en) * 1995-06-07 1996-11-12 Sony Corporation Plasma processing system with reduced particle contamination
JP2758860B2 (ja) * 1995-08-30 1998-05-28 山形日本電気株式会社 半導体装置の製造方法
JP3457477B2 (ja) * 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
US5779807A (en) * 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
JP4418193B2 (ja) * 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
KR101353041B1 (ko) * 2007-03-08 2014-02-17 (주)소슬 플라즈마 식각 장치 및 방법
KR20090052024A (ko) * 2007-11-20 2009-05-25 삼성전기주식회사 절연층의 손상 없는 금속 패턴 형성 방법
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US20130107415A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
CN108807208B (zh) * 2013-03-25 2023-06-23 瑞萨电子株式会社 半导体装置
US9530626B2 (en) * 2014-07-25 2016-12-27 Tokyo Electron Limited Method and apparatus for ESC charge control for wafer clamping
EP3024019A1 (en) * 2014-11-24 2016-05-25 IMEC vzw Method for direct bonding of semiconductor substrates.
US10818502B2 (en) * 2016-11-21 2020-10-27 Tokyo Electron Limited System and method of plasma discharge ignition to reduce surface particles

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201137967A (en) * 2009-11-18 2011-11-01 Tokyo Electron Ltd Substrate processing apparatus, cleaning method thereof and storage medium storing program
US20120009802A1 (en) * 2010-04-15 2012-01-12 Adrien Lavoie Plasma activated conformal dielectric film deposition
US20160013043A1 (en) * 2013-02-08 2016-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom-up peald process
TW201906066A (zh) * 2017-06-19 2019-02-01 美商應用材料股份有限公司 用於高溫處理腔室的靜電吸座
TW201920716A (zh) * 2017-08-25 2019-06-01 日商東京威力科創股份有限公司 被處理體之處理方法

Also Published As

Publication number Publication date
WO2021050308A1 (en) 2021-03-18
TW202111778A (zh) 2021-03-16
US20210082732A1 (en) 2021-03-18
CN114467164A (zh) 2022-05-10
KR20220057624A (ko) 2022-05-09

Similar Documents

Publication Publication Date Title
TWI755852B (zh) 排斥網及沉積方法
CN106663652B (zh) 具有介电常数设计的原位电荷捕获材料的高温静电夹盘
JP4656364B2 (ja) プラズマ処理方法
WO2020036715A1 (en) Coating material for processing chambers
JP6273188B2 (ja) プラズマ処理方法
TWI810682B (zh) 減少多層pecvd teos氧化物膜中的缺陷的方法
TWI419259B (zh) 半導體裝置之製造方法
US11821082B2 (en) Reduced defect deposition processes
CN117529575A (zh) 用于高温清洁的处理
TWI797833B (zh) 用於使用電容耦合電漿的氧化矽間隙填充的沉積方法
US20220020589A1 (en) Dielectric coating for deposition chamber
US11817313B2 (en) Methods for pressure ramped plasma purge
US20220293416A1 (en) Systems and methods for improved carbon adhesion
TWI807230B (zh) 用於電漿沉積的初始調制
JP7482657B2 (ja) クリーニング方法及び半導体装置の製造方法
TW202225453A (zh) 拉伸的氮化物沉積系統及方法
TW202410123A (zh) 低溫碳隙填充
JP2023504673A (ja) チャンバ堆積とエッチングプロセス
KR101324941B1 (ko) 비정질 탄소막 형성 방법
JP2007048912A (ja) 半導体製造装置