TWI753688B - 記憶裝置及其形成方法 - Google Patents

記憶裝置及其形成方法 Download PDF

Info

Publication number
TWI753688B
TWI753688B TW109142941A TW109142941A TWI753688B TW I753688 B TWI753688 B TW I753688B TW 109142941 A TW109142941 A TW 109142941A TW 109142941 A TW109142941 A TW 109142941A TW I753688 B TWI753688 B TW I753688B
Authority
TW
Taiwan
Prior art keywords
layer
source
drain
layer stack
forming
Prior art date
Application number
TW109142941A
Other languages
English (en)
Other versions
TW202145541A (zh
Inventor
呂俊頡
世海 楊
楊柏峰
晨晨 王
漢中 賈
志安 徐
林佑明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/070,536 external-priority patent/US11631698B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145541A publication Critical patent/TW202145541A/zh
Application granted granted Critical
Publication of TWI753688B publication Critical patent/TWI753688B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/10Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region

Abstract

一種形成記憶裝置的方法包括:在基底之上依序形成第 一層堆疊及第二層堆疊,第一層堆疊與第二層堆疊具有相同的分層結構,分層結構包括介電材料、位於介電材料之上的通道材料、及位於通道材料之上的源極/汲極材料;形成延伸穿過第一層堆疊及第二層堆疊的開口;以第一介電材料置換源極/汲極材料的被開口暴露出的部分而形成內部間隙壁;將鐵電材料襯於開口的側壁;以導電材料填充開口而形成閘極電極;形成穿過第一層堆疊及第二層堆疊的凹槽,凹槽自第二層堆疊的側壁朝閘極電極延伸;以及使用第二介電材料填充凹槽。

Description

記憶裝置及其形成方法
本發明實施例一般而言是有關於半導體記憶裝置,且在特定實施例中,是有關於使用鐵電材料形成的三維記憶裝置。
半導體裝置被用於例如個人電腦、蜂巢電話、數位相機、及其他電子裝備等各種電子應用中。半導體裝置通常是藉由以下方式來製作:在半導體基底之上依序沈積絕緣層或介電層、導電層、及半導體材料層;以及使用微影及蝕刻技術對各種材料層進行圖案化以在各種材料層上形成電路組件及元件。
半導體行業藉由不斷縮小最小特徵尺寸來不斷提高各種電子組件(例如,電晶體、二極體、電阻器、電容器等)的積體密度,以使得更多的組件能夠被整合至給定面積中。然而,隨著最小特徵尺寸減小,出現了其他應被解決的問題。
本發明實施例提出一種形成記憶裝置的方法,所述方法包括:在基底之上形成第一層堆疊,所述第一層堆疊包括依序形成於所述基底之上的第一介電層、第一通道層及第一源極/汲極 層;在所述第一層堆疊之上形成第二層堆疊,所述第二層堆疊包括依序形成於所述第一層堆疊之上的第二介電層、第二通道層及第二源極/汲極層;形成延伸穿過所述第一層堆疊及所述第二層堆疊的開口;以第一介電材料置換被所述開口暴露出的所述第一源極/汲極層的第一部分及所述第二源極/汲極層的第二部分而在所述第一源極/汲極層中及在所述第二源極/汲極層中形成內部間隙壁;在形成所述內部間隙壁之後,將鐵電材料襯於所述開口的側壁;在將所述鐵電材料襯於襯於所述開口的所述側壁之後,以導電材料填充所述開口,以形成閘極電極;形成延伸穿過所述第一層堆疊及所述第二層堆疊的凹槽,所述凹槽自所述第一層堆疊的側壁朝向所述閘極電極延伸;以及以第二介電材料填充所述凹槽。
本發明實施例提出另一種形成記憶裝置的方法,所述方法包括:在基底之上依序形成第一層堆疊及第二層堆疊,其中所述第一層堆疊與所述第二層堆疊具有相同的分層結構,所述分層結構包括介電材料、位於所述介電材料之上的通道材料以及位於所述通道材料之上的源極/汲極材料;形成延伸穿過所述第一層堆疊及所述第二層堆疊的開口陣列;以第一介電材料置換所述源極/汲極材料的被所述開口陣列暴露出的部分而形成內部間隙壁;將鐵電材料襯於所述開口陣列的側壁;以導電材料填充所述開口陣列而形成閘極電極;形成穿過所述第一層堆疊及所述第二層堆疊的凹槽,所述凹槽自所述第二層堆疊的側壁朝向所述閘極電極延伸;以及以第二介電材料填充所述凹槽。
本發明實施例提出一種記憶裝置,包括:第一層堆疊,包括第一介電層、位於所述第一介電層之上的第一通道層以及位於所述第一通道層之上的第一源極/汲極層;第二層堆疊,位於所述第一層堆疊之上,所述第二層堆疊包括第二介電層、位於所述第二介電層之上的第二通道層以及位於所述第二通道層之上的第二源極/汲極層,其中所述第一層堆疊延伸超過所述第二層堆疊的側向界限,且所述第二層堆疊暴露出部分的所述第一源極/汲極層;閘極電極,延伸穿過所述第一層堆疊及所述第二層堆疊;鐵電材料,位於所述閘極電極周圍且接觸所述閘極電極;以及隔離區,延伸穿過所述第一層堆疊及所述第二層堆疊,其中所述閘極電極與所述隔離區間隔開且沿著所述隔離區的縱向軸線設置。
100:半導體裝置
101:基底
103:半導體鰭
105:隔離區
107:源極/汲極區
109、212:閘極電極
110:第一區
111:閘極間隙壁
113:接觸窗
115、125:導電線
117、119、121、201T:介電層
120:第二區
123:積體記憶裝置/三維(3D)記憶裝置/記憶裝置
123A:積體記憶裝置/記憶裝置
123B:積體記憶裝置/記憶裝置
124、233:通孔
130:記憶區
140:內連線結構
200、200A、200B、200C:記憶裝置/三維(3D)記憶裝置
201:構成層/最頂部介電層/介電層
202、202A、202B、202C:層堆疊
203:通道層/構成層/層
205:源極/汲極層/源極/汲極金屬層/構成層
205A、205B:源極/汲極區
207:開口陣列/開口
207B:開口
209/217:凹槽
211:內部間隙壁層/內部間隙壁
213:鐵電材料/層
213L:最下部部分
213S1、213S2:外側壁
215:層
216:箭頭
218:虛線框
219:介電材料/隔離區
220:虛線框
221:虛線
223:記憶單元
225:閘極接觸窗/金屬特徵
227、227A、227B、227C、229、229A、229B、229C:源極/汲極接觸窗
231:電晶體
300:等效電路圖/電路圖
301、303:電流曲線
400:佈局
1010、1020、1030、1040、1050、1060、1070:方塊
A-A、B-B、C-C、D-D、E-E、F-F:橫截面
BL:位元線/端子/導電線
BL0-0、BL0-1~BL0-(m-1):導電線
SL:源極線/端子/導電線
SL0-0、SL0-1~SL0-(m-1):導電線
VH:高起始電壓/起始電壓值
VL:低起始電壓/起始電壓值
VT:起始電壓
W1:距離/寬度
W2、W3:寬度
WL:字元線/端子/導電線
WL0、WL3~WL(2L-1):導電線
WL1、WL2:導電線/端子
為更完整地理解本發明以及本發明的優點,現結合附圖參照以下說明,在附圖中:圖1示出在實施例中具有積體記憶裝置的半導體裝置的剖視圖。
圖2至圖7、圖8A、圖8B、圖9、圖10A、圖10B、圖10C、圖10F、圖10G、圖10H、圖10I、圖10J、圖11及圖12示出在實施例中在製造的不同階段處的三維記憶裝置的各種視圖。
圖10D示出在實施例中圖10C所示三維記憶裝置的鐵電材料的電性極化方向的切換。
圖10E示出在實施例中具有鐵電材料的記憶裝置的電性特性。
圖13示出在另一實施例中三維記憶裝置的立體圖。
圖14示出在另一實施例中三維記憶裝置的立體圖。
圖15示出在又一實施例中三維記憶裝置的立體圖。
圖16示出在實施例中三維記憶裝置的等效電路圖。
圖17示出在實施例中三維記憶裝置的佈局。
圖18示出在一些實施例中形成記憶裝置的方法的流程圖。
以下揭露提供用於實施本發明的不同特徵的許多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。
此外,為易於說明,本文中可能使用例如「位於...之下(beneath)」、「位於...下方(below)」、「下部的(lower)」、「位於...上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或 操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。在本文的整個論述中,除非另有說明,否則不同圖中的相同或相似的參考編號是指使用相同或相似的材料藉由相同或相似的製程形成的相同或相似的元件。
在一些實施例中,一種形成記憶裝置的方法包括:在基底之上依序形成第一層堆疊及第二層堆疊,第一層堆疊與第二層堆疊具有相同的分層結構,分層結構包括介電材料、位於介電材料之上的通道材料以及位於通道材料之上的源極/汲極材料;形成延伸穿過第一層堆疊及第二層堆疊的開口;以第一介電材料置換被開口暴露出的部分源極/汲極材料,而形成內部間隙壁;將鐵電材料襯於開口的側壁;以導電材料填充開口而形成閘極電極;形成穿過第一層堆疊及第二層堆疊的凹槽,凹槽自第二層堆疊的側壁朝閘極電極延伸;以及以第二介電材料填充凹槽。
圖1示出在實施例中具有積體記憶裝置123(例如,123A及123B)的半導體裝置100的剖視圖。在所示實施例中,半導體裝置100是具有在半導體製造的後段(back-end-of-line,BEOL)製程中整合的三維(three-dimensional,3D)記憶裝置123的鰭式場效電晶體(fin-field effect transistor,FinFET)裝置。為了避免混亂,3D記憶裝置123的細節在圖1中未示出,但在下文中進行論述。
如圖1中所示,半導體裝置100包括用於形成不同類型 電路的不同區。舉例而言,半導體裝置100可包括用於形成邏輯電路的第一區110,且可包括用於形成例如周邊電路、輸入/輸出(input/output,I/O)電路、靜電放電(electrostatic discharge,ESD)電路及/或類比電路的第二區120。用於形成其他類型電路的其他區是可能的且完全旨在包括於本揭露的範圍內。
半導體裝置100包括基底101。基底101可為塊狀基底(例如經摻雜或未經摻雜的矽基底)、或者絕緣體上半導體(semiconductor-on-insulator,SOI)基底的主動層。基底101可包含例如以下其他半導體材料:鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、氮化鎵、磷化銦、砷化銦、及/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;或其組合。亦可使用其他基底,例如多層式基底或梯度基底。
在半導體製造的前段(front-end-of-line,FEOL)製程中,在基底101中或基底101上形成電子構件(例如電晶體、電阻器、電容器、電感器、二極體等)。在圖1所示實例中,在基底101上方突出形成半導體鰭103(亦被稱為鰭)。在半導體鰭103之間或周圍形成隔離區105(例如淺溝渠隔離(shallow-trench isolation,STI)區)。在半導體鰭103之上形成閘極電極109。沿著閘極電極109的側壁形成閘極間隙壁111。在閘極電極109的相對兩側上形成源極/汲極區107(例如磊晶源極/汲極區)。在相應的下伏導電性特徵(例如,閘極電極109或源極/汲極區107)之 上形成接觸窗113(例如閘極接觸窗及源極/汲極接觸窗)且接觸窗113電性耦接至所述相應的下伏導電性特徵。在基底101之上以及半導體鰭103及閘極電極109周圍形成一或多個介電層117(例如層間介電(inter-layer dielectric,ILD)層)。亦可在所述一或多個介電層117中形成其他導電性特徵(例如導電線115)。圖1中的FinFET可藉由本領域中所知或使用的任何合適的方法形成,此處不再贅述。
仍然參照圖1,在所述一或多個介電層117之上形成可為蝕刻停止層(etch stop layer,ESL)的介電層119。在實施例中,介電層119是使用電漿增強型化學氣相沈積(PECVD)形成的氮化矽,但可替代地使用其他介電材料(例如氮化物、碳化物、其組合等)以及形成介電層119的替代技術(例如低壓化學氣相沈積(low-pressure chemical vapor deposition,LPCVD)、物理氣相沈積(physical vapor deposition,PVD)等)。接下來,在介電層119之上形成介電層121。介電層121可為藉由合適的方法(例如,PVD、CVD等)形成的任何合適的介電材料(例如氧化矽、氮化矽等)。在介電層121中形成一或多個記憶裝置123A(所述一或多個記憶裝置123A中的每一者包括多個記憶單元)且所述一或多個記憶裝置123A耦接至介電層121中的導電性特徵(例如,通孔124及導電線125)。下文詳細論述圖1中的記憶裝置123的各種實施例,例如記憶裝置200、200A、200B及200C。
圖1進一步示出形成於記憶裝置123A之上的第二層的 記憶裝置123B。記憶裝置123A與記憶裝置123B可具有相同或相似的結構,且可被統稱為記憶裝置123或3D記憶裝置123。圖1示例示出兩層的記憶裝置123以做為非限制性實例。其他數目層(例如一個層、三個層、或更多層)的記憶裝置123的層數亦是可能的且完全旨在包括於本揭露的範圍內。記憶裝置123的所述一層或多層被統稱為半導體裝置100的記憶區130,且可在半導體製造的後段(BEOL)製程中形成。
仍然參照圖1,在形成記憶區130之後,在記憶區130之上形成內連線結構140,內連線結構140包括介電層121及介電層121中的導電性特徵(例如,通孔124及導電線125)。內連線結構140電性連接形成於基底101中/基底101上的元件與記憶裝置123,以形成功能電路。內連線結構的形成為本領域所周知,因此此處不再贅述。
圖2至圖7、圖8A、圖8B、圖9、圖10A、圖10B、圖10C、圖10F、圖10G、圖10H、圖10I、圖10J、圖11及圖12示出在實施例中在製造的各個階段處的三維(3D)記憶裝置200各種視圖(例如,立體圖、剖視圖)。3D記憶裝置200是具有鐵電材料的三維記憶裝置,且可以例如是3D非或型記憶裝置(NOR-type memory device)。3D記憶裝置200可用作圖1中的記憶裝置123A及123B。注意,為了簡單起見,在圖中未示出3D記憶裝置200的所有特徵。另外,為了示出可能被視線遮擋而看不到的記憶裝置200的細節,在所述圖中的一些圖中僅示出記憶裝 置200的部分。舉例而言,圖2至圖7以及圖8A示出記憶裝置200的部分(例如,圖8B中的橫截面A-A左側的部分)的剖視圖。
參照圖2,圖2示出在製作的初期階段的記憶裝置200的立體圖。如圖2中所示,在基底101(圖2中未示出,但圖1中示出)之上依序形成層堆疊202A、202B及202C。層堆疊202A、202B及202C在本文中可被統稱為層堆疊202。在所示實施例中,層堆疊202A、202B及202C具有相同的分層結構。舉例而言,層堆疊202中的每一者包括介電層201、位於介電層201之上的通道層203以及位於通道層203之上的源極/汲極層205。
在一些實施例中,為了形成層堆疊202A,首先以合適的沈積方法(例如PVD、CVD、原子層沈積(atomic layer deposition,ALD)等)沈積合適的介電材料(例如氧化矽、氮化矽等)來形成介電層201。接下來,在介電層201之上形成通道層203。在一些實施例中,通道層203由半導體材料(例如非晶矽(amorphous-silicon,a-Si)或多晶矽(polysilicon,poly-Si))形成。在一些實施例中,通道層203由例如以下氧化物半導體材料(亦可被稱為半導電氧化物)形成:氧化銦鎵鋅(indium gallium zinc oxide,IGZO)、氧化鋅(zinc oxide,ZnO)、氧化銦鎢(indium tungsten oxide,IWO)等。通道層203可由例如PVD、CVD、ALD、其組合等形成。接下來,在通道層203之上形成源極/汲極層205。在一些實施例中,源極/汲極層205由金屬材料(例如,N型金屬或P型金屬)形成,且因此,源極/汲極層205亦可被稱為源極/ 汲極金屬層205。
依據所形成的裝置的類型(N型或P型),源極/汲極金屬層205可由N型金屬或P型金屬形成。在一些實施例中,使用Sc、Ti、Cr、Ni、Al等做為形成源極/汲極金屬層205的N型金屬。在一些實施例中,使用Nb、Pd、Pt、Au等做為形成源極/汲極金屬層205的P型金屬。N型金屬層或P型金屬層可由合適的形成方法(例如PVD、CVD、ALD、濺鍍、電鍍等)形成。在一些實施例中,源極/汲極金屬層205由例如以下金屬或含金屬材料形成:Al、Ti、TiN、W、Mo、或氧化銦錫(indium tin oxide,ITO)。
在形成層堆疊202A之後,可重複形成層堆疊202A的製程,以在層堆疊202A之上依序形成層堆疊202B及202C,如圖1中所示。在形成層堆疊202A、202B及202C之後,在層堆疊202C之上形成介電層201T。在所示實施例中,介電層201T由與層堆疊202中的介電層201相同的介電材料形成,因此在隨後的論述中亦可被稱為介電層201。
接下來,在圖3中,形成延伸穿過層堆疊202A、202B、202C以及層堆疊202C之上的介電層201的開口陣列207。做為非限制性實例,圖3中的開口陣列207被排列成一行。在其他實施例中,開口陣列207可包括開口的多個列及/或多個行。為了易於下文論述,將開口陣列207稱為開口207。
開口207可使用微影(photolithography)及蝕刻技術來形成。在層堆疊202的邊界(例如,周邊或側壁)內形成開口207, 使得開口207中的每一者被層堆疊202環繞(例如,包圍)。注意,圖3中的開口207B儘管被示出為被層堆疊202部分地環繞,但實際上與其他開口207一樣被層堆疊202完全環繞。此乃因圖3僅示出3D記憶裝置200的位於圖8B中的切穿開口207B的位置的橫截面A-A的左側的部分,以示出後續製程可能會被遮擋而看不到的細節。在圖3所示實例中,開口207對齊成一行,且相鄰的開口207分隔開距離W1。在一些實施例中,距離W1介於約10奈米與約50奈米之間。
接下來,在圖4中,移除源極/汲極層205的被開口207暴露出(例如,面對開口207)的部分以形成凹槽209。舉例而言,可使用利用對於源極/汲極層205的材料的具有選擇性(例如,對於源極/汲極層205的材料具有較高的蝕刻速率)的蝕刻劑的等向性蝕刻製程,來移除源極/汲極層205的面對開口207的部分,使得源極/汲極層205自開口207的側壁橫向凹陷。所使用的蝕刻劑可為,例如,標準清潔1(standard cleaning 1,SC1)溶液。做為實例,在源極/汲極層205的凹陷之前的源極/汲極層205的側壁的位置與在源極/汲極層205的凹陷之後的源極/汲極層205的側壁的位置之間測量的凹槽209的寬度W2介於約1奈米與約5奈米之間。
在所示實施例中,寬度W2大於或等於W1的一半(例如,W2
Figure 109142941-A0305-02-0013-1
0.5×W1)。由於源極/汲極層205在所有方向上自開口207的側壁橫向凹陷,且由於W2大於或等於W1的一半,因此源 極/汲極層205的位於相鄰的開口207之間的部分被完全移除。因此,隨後形成的內部間隙壁層211(參見,例如圖10I)完全填充相鄰的開口207之間的空間(或者等效地,隨後沿著開口207的側壁形成的鐵電材料213之間的空間)。
注意,在本文論述中,層堆疊202A、202B或202C的側壁包括所述層堆疊的所有構成層(例如,201、203及205)的對應側壁。舉例而言,層堆疊202A的被開口207暴露出的側壁包括被開口207暴露出的介電層201的對應側壁、通道層203的對應側壁以及源極/汲極層205的對應側壁。在所示實施例中,在源極/汲極層205凹陷之前,層堆疊202的構成層(例如,201、203及205)的對應側壁沿著同一垂直平面對齊。在所示實施例中,在源極/汲極層205凹陷形成凹槽209之後,層堆疊202的介電層201的對應側壁與通道層203的對應側壁沿著同一垂直平面對齊。
接下來,在圖5中,在開口207中形成(例如,共形地形成)內部間隙壁層211以襯於開口207的側壁及底部。亦可在圖5中的最頂部介電層201的上表面之上形成內部間隙壁層211。內部間隙壁層211是使用合適的方法(例如CVD、PVD、ALD等)由合適的介電材料(例如氮化矽(SiN)、氮化矽碳(SiCN)、氮氧化矽碳(SiCn)等)形成。做為實例,內部間隙壁層211的厚度可介於約1奈米與約5奈米之間。如圖5中所示,內部間隙壁層211填充凹槽209。
接下來,在圖6中,例如藉由非等向性蝕刻製程(例如 電漿蝕刻製程)移除沿著開口207的側壁及沿著開口207的底部的部分的內部間隙壁層211。在進行非等向性蝕刻製程之後,在凹槽209之中的內部間隙壁層211保留下來,且亦可被稱為內部間隙壁211。在圖6所示實例中,內部間隙壁211的面對開口207的側壁對齊介電層201的對應側壁以及及通道層203的對應側壁。在一些實施例中,內部間隙壁211有利於降低所形成的裝置的寄生電容。
接下來,在圖7中,在開口207中形成(例如,共形地形成)鐵電材料213以襯於開口207的側壁及底部。亦可在圖7中的最頂部介電層201的上表面之上形成鐵電材料213。在實施例中,鐵電材料213是由Al、Si、Zr、La、Gd、或Y摻雜的氧化鉿(HfO2)。在一些實施例中,使用鐵電材料(例如HZO、HSO、HfSiO、HfLaO、HfZrO2、或ZrO2)做為鐵電材料213。鐵電材料213可使用合適的形成方法(例如PVD、CVD、ALD等)形成。接下來,可執行蝕刻製程(例如非等向性蝕刻製程),以移除最頂部介電層201(若形成的話)的上表面上及開口207的底部上的鐵電材料213。在蝕刻製程之後,鐵電材料213襯於開口207的側壁。
接下來,在圖8中,形成導電材料(亦被稱為閘極材料或閘極金屬)(例如Al、W、Mo、TiN、TaN、其組合、或其多層),以填充開口207。閘極材料可藉由合適的方法(例如PVD、CVD、ALD、鍍覆等)形成。在形成閘極材料之後,可執行平坦化製程(例如化學機械平坦化(chemical mechanical planarization, CMP)),以自最頂部介電層201的上表面移除閘極材料的多餘部分,開口207中的閘極材料的剩餘部分形成閘極電極212。如圖8中所示,鐵電材料213環繞閘極電極212中的每一者。舉例而言,鐵電材料213在實體上接觸閘極電極212的側壁且沿著閘極電極212的側壁延伸。內部間隙壁211嵌置於源極/汲極層205中且在實體上接觸鐵電材料213。
圖8B示出記憶裝置200的更完整的立體圖,圖8B示出被層堆疊202完全環繞的所有閘極電極212。圖8A所示立體圖與圖8B中的裝置的一部分(例如,橫截面A-A左側的部分)的立體圖對應。
接下來,在圖9中,形成延伸穿過最頂部介電層201以及層堆疊202A、202B及202C的凹槽217(亦可被稱為開口或溝渠)。凹槽217可使用微影法及蝕刻技術形成。凹槽217自層堆疊202的側壁朝向閘極電極212延伸。在圖9所示實例中,凹槽217與閘極電極212在同一行上對齊。凹槽217在凹槽217的相對側壁之間具有寬度W3(參見圖10H)。做為實例,寬度W3可介於約50奈米與約150奈米之間。在一些實施例中,凹槽217的相對側壁與鐵電材料213(參見,例如圖10H)的相應外側壁213S1/213S2對齊。
接下來,在圖10A中,形成用於填充凹槽217的介電材料219。介電材料219可為藉由合適的方法(例如CVD、PVD、ALD等)形成的例如氧化矽、氮化矽等。可執行平坦化製程(例 如CMP),以自最頂部介電層201的上表面移除介電材料219的多餘部分。介電材料219因此形成隔離區219。
圖10B示出圖10A所示記憶裝置200的一部分的立體圖。具體而言,圖10B示出圖10A中的記憶裝置200的一部分(例如,橫截面B-B左側的一部分)。
圖10C示出圖10B中的虛線框220內的記憶裝置200的切除部分。為簡單起見,僅將記憶裝置200的位於相同垂直位準(例如,距基底101的距離相同)的部分做為層堆疊202C,如圖10C中所示。
如圖10C中所示,鐵電材料213沿著閘極電極212的側壁延伸,且設置於閘極電極212與相應的通道層203之間。圖10C中的虛線221示出在3D記憶裝置200的操作期間(例如,在閘極電極212處施加閘極電壓時)在通道層203中形成的通道區。圖10C中的箭頭216示出做為圖10C所示切除部分的外部(例如,前部及後部)的源極/汲極區(參見圖10I中的205A/205B)之間的示例性電流流動方向。
圖10D示出三維記憶裝置200的鐵電材料213的電性極化方向的切換。在圖10D的左側示出圖10C所示虛線框218內的三個不同材料層(例如,215、213及203)。圖10D示出當施加至鐵電材料213的電場(electrical field,E-field)的方向被切換時,鐵電材料213的極化方向相應地切換。舉例而言,可藉由在閘極電極212與電性耦接至圖10C中的通道層203(例如,位於通道 層203之上且接觸所述通道層203)的相應的源極/汲極層205之間施加電壓而向圖10D中的鐵電材料213施加電場。
圖10E示出在實施例中具有鐵電材料的記憶裝置(例如,200、200A、200B、200C)的電性特性。本文論述的記憶裝置(例如,200、200A、200B、200C)的記憶單元包括在閘極電極212與通道層203之間具有鐵電材料213的電晶體。鐵電材料213的電性極化方向影響記憶單元的電晶體的起始電壓。圖10E示出示例性記憶單元的兩個電壓對於電流曲線301及303。曲線301及303與鐵電材料213的兩個不同的極化方向對應。圖10E中的水平虛線示出與電晶體的導通狀態對應的電流值。如圖10E中所示,鐵電材料213的所述兩個不同的極化方向導致記憶單元的電晶體的兩個不同的起始電壓。
圖10F及圖10G分別示出3D記憶裝置200沿著圖10B中的橫截面E-E及F-F的剖視圖。圖10F示出層堆疊202A、202B及202C、以及最頂部介電層201。圖10G示出3D記憶裝置200的一部分沿著橫截面F-F的剖視圖,所述3D記憶裝置200的所述一部分包括三個相鄰的閘極電極212以及在這些閘極電極212之間的層。
注意,在圖10G中,每一個層堆疊202中的源極/汲極層205被內部間隙壁211置換。如圖10G中所示(亦參見圖10I),內部間隙壁211填充沿著相鄰的閘極電極212的側壁設置的鐵電材料213之間的空間,且具有寬度W1。換言之,在圖10G所示剖 視圖中看不到源極/汲極層205。回想一下,凹槽209的寬度W2(參見圖4及圖10I)大於或等於相鄰的開口207之間距離W1的一半。因此,內部間隙壁211填充凹槽209且完全填充相鄰的開口207之間的空間。注意,在圖10G中,鐵電材料213的面對內部間隙壁211的側壁與開口207的側壁位於相同的位置。
圖10G中的虛線221(亦在圖10C中示出)示出在3D記憶裝置200的操作期間形成的通道區。在圖10G所示剖視圖中,電流沿著通道區流入及流出紙張。圖10G進一步示出多個記憶單元223,其中每一記憶單元223包括記憶單元223的區域內的各種層/材料的部分。舉例而言,每一記憶單元223包括閘極電極212(的部分)、鐵電材料213(的部分)、內部間隙壁211(的部分)、介電層201(的部分)、通道層203(的部分)及源極/汲極區205A/205B(的部分)(參見圖10I)。因此,每一記憶單元223是具有位於閘極電極212與通道層203之間的鐵電材料213的電晶體。注意,為了避免混亂,圖10G僅示出3D記憶裝置200的一個記憶單元223周圍的虛線框,且3D記憶裝置200的其他記憶單元周圍未示出虛線框。
圖10H示出圖10A所示3D記憶裝置200沿著橫截面D-D的剖視圖。橫截面D-D沿著切穿通道層203的水平面。如圖10H中所示,鐵電材料213沿著閘極電極212的側壁延伸,且設置於閘極電極212與通道層203之間。鐵電材料213的側壁213S1/213S2與介電材料219的對應側壁對齊,使得沿圖10H所 示水平方向測量的圖10H中的鐵電材料213的寬度與沿著相同水平方向測量的介電材料219的寬度W3相同。另外,圖10H示出在記憶單元223中的一者周圍的虛線框,且虛線221示出記憶單元223中的通道區。
圖10I示出圖10A所示3D記憶裝置200沿著橫截面C-C的剖視圖。橫截面C-C沿著切穿源極/汲極層205的水平面。如圖10I中所示,做為圖10I所示剖視圖中的連續區的內部間隙壁層211完全填充沿著相鄰的閘極電極212延伸的部分的鐵電材料213之間的空間,且亦填充鐵電材料213的最下部部分213L與介電材料219之間的空間。因此,內部間隙壁層211及介電材料219將源極/汲極層205分隔成兩個單獨的(例如,間隔開的)源極/汲極區205A及205B。
圖10J示出圖10A所示3D記憶裝置200的俯視圖。在記憶單元223中的一者周圍示出虛線框。
接下來,在圖11中,在3D記憶裝置200中形成階梯形接觸區,以暴露出每一層堆疊202中的部分源極/汲極層205。做為實例,可藉由多個蝕刻製程形成階梯形接觸區,其中每一蝕刻製程是藉由以下方式執行:以不同的蝕刻罩幕暴露出用於移除不同部分的3D記憶裝置200;以及以不同持續時間的蝕刻來達成不同的蝕刻深度。3D記憶裝置200的未蝕刻部分(所述未蝕刻部分包括閘極電極212及閘極電極212周圍的鐵電材料213)形成3D記憶裝置200的記憶單元陣列。
如圖11中所示,移除每一層堆疊202的橫向遠離記憶單元陣列的部分,以形成階梯形接觸區。層堆疊202的被移除部分的面積(例如,俯視圖中的表面積)沿著遠離基底101(參見圖1)的垂直方向增加。換言之,層堆疊202(例如,202A、202B或202C)越高(離基底101越遠),被移除的層堆疊的面積越大,使得層堆疊暴露出部分下伏的層堆疊。注意,每一層堆疊202的源極/汲極層205被分隔成設置於介電材料219的相對兩側上的兩個單獨的源極/汲極區205A及205B。
接下來,在圖12中,在閘極電極212之上形成閘極接觸窗225且閘極接觸窗225電性耦接至閘極電極212,在源極/汲極區205A之上形成源極/汲極接觸窗227(例如,227A、227B及227C)且源極/汲極接觸窗227電性耦接至源極/汲極區205A,以及在源極/汲極區205B之上形成源極/汲極接觸窗229(例如,229A、229B及229C)且源極/汲極接觸窗229耦接至源極/汲極區205B。在記憶裝置中,每一閘極接觸窗225亦可被稱為字元線(word line,WL),每一源極/汲極接觸窗227亦可被稱為源極線(source line,SL),而每一源極/汲極接觸窗229亦可被稱為位元線(bit line,BL)。閘極接觸窗225及源極/汲極接觸窗227/229可藉由以下方式形成:在圖11所示結構之上形成介電層(未示出);在與閘極接觸窗225及源極/汲極接觸窗227/229對應的位置處的介電層中形成開口,其中開口暴露出下伏的導電特徵(例如,閘極電極212或源極/汲極區205A/205B);以及以例如以下所述的 導電材料填充開口:Cu、W、Au、Ag、Co、Ti、Ta、TaN、TiN、其組合、其多層等。
如圖12中所示,由於層堆疊202的源極/汲極層205的不同上表面位於不同的垂直位準(例如,與基底101的距離不同),因此不同層堆疊202上的源極/汲極接觸窗227(或229)的下表面亦位於不同的垂直位準。舉例而言,層堆疊202A上的源極/汲極接觸窗227(或229)的下表面較層堆疊202B/202C上的源極/汲極接觸窗227(或229)的下表面靠近基底101。
在圖12所示實例中,示出四個閘極接觸窗225。每一閘極接觸窗225以及與位於同一垂直位準的源極/汲極區205A/205B耦接的源極/汲極接觸窗227/229界定記憶單元(例如,具有鐵電材料213的電晶體)的三個端子。因此,在圖12所示實例中,所述四個閘極接觸窗225及所述三對源極/汲極接觸窗227/229界定總共12個記憶單元。
參照圖12以及圖10G至圖10J,為了對特定記憶單元(例如,圖10G中的記憶單元223)執行寫入操作,跨越記憶單元223內的部分鐵電材料213施加寫入電壓。舉例而言,可藉由以下方法來施加寫入電壓:對記憶單元223的閘極電極212(藉由閘極接觸窗225)施加第一電壓;以及對源極/汲極區205A/205B(藉由源極/汲極接觸窗227/229)施加第二電壓。第一電壓與第二電壓之間的電壓差設定鐵電材料213的極化方向。依據端視鐵電材料213的極化方向,記憶單元223的對應的電晶體的起始電 壓VT可自低起始電壓VL切換至高起始電壓VH,或者反之亦然。電晶體的起始電壓值(VL或VH)可用於指示儲存於記憶單元中的位元「0」或「1」。
為了對記憶單元223執行讀取操作,對電晶體(例如,閘極電極212與源極/汲極區205A之間)施加做為低起始電壓VL與高起始電壓VH之間的電壓的讀取電壓。依據鐵電材料213的極化方向(或電晶體的起始電壓),記憶單元223的電晶體可導通或可不導通。因此,當例如在源極/汲極區205B處施加電壓時,電流在源極/汲極區205A與源極/汲極區205B之間可流動或者可不流動。可因此偵測到電流以確定儲存於記憶單元中的數位位元。
圖13示出在另一實施例中三維(3D)記憶裝置200A的立體圖。3D記憶裝置200A類似於圖12所示3D記憶裝置200,但具有多個列及多個行的閘極電極212以及多個隔離區219,隔離區219將每一源極/汲極層205分隔成多個單獨的源極/汲極區。記憶裝置200A可藉由以下方式形成3D:例如藉由在圖4所示製程步驟中形成多個列及多個行的開口207來修改3D記憶裝置200的製作製程;然後接著在圖8A/圖8B中進行類似的製程步驟以形成多個閘極電極212;然後在圖9所示製程步驟中形成多個凹槽217;以及以介電材料219填充所述多個凹槽217。隨後的製程步驟(例如形成階梯形接觸區、形成閘極接觸窗225、以及形成源極/汲極接觸窗227/229)是易懂的,因此不再贅述。
圖14示出在另一實施例中三維(3D)記憶裝置200B 的立體圖。3D記憶裝置200B類似於圖13所示3D記憶裝置200A,但閘極接觸窗225形成於層堆疊202A之下。由於閘極電極212延伸穿過層堆疊202,因此閘極電極的下表面暴露於層堆疊202的下表面處。因此,可容易地達成在閘極電極212之下形成閘極接觸窗225。舉例而言,在形成圖2中的層堆疊202A之前,可在圖1中的介電層119之上形成金屬層,以在隨後製程中形成閘極電極212的位置處形成金屬特徵(例如,225)。在隨後的製程中,一旦形成,便將閘極電極212電性耦接至金屬層中的閘極接觸窗225。
圖14進一步示出電晶體231及將閘極接觸窗225電性耦接至電晶體231的通孔233。在所示實施例中,電晶體231及通孔233是圖1所示的部分半導體裝置100,而非部分的3D記憶裝置200B。電晶體231可為形成於圖1所示基底101之上的FinFET,而通孔233可形成於3D記憶裝置200A之下以電性耦接至FinFET。
圖15示出在又一實施例中三維(3D)記憶裝置200C的立體圖。3D記憶裝置200C類似於圖13所示3D記憶裝置200A,但記憶單元陣列形成於3D記憶裝置200C的中間部分之中,其中在記憶單元陣列的相對兩側上形成有兩個階梯形接觸區。3D記憶裝置200C可藉由修改3D記憶裝置200A的製作製程來形成。舉例而言,在圖3所示製程步驟中,在層堆疊202的中心區中形成開口207。在隨後的製程中(例如在圖9中),在閘極電極212的相對兩側上形成凹槽217。其餘的製程步驟類似於3D記憶裝置 200A的製程步驟,因此不再贅述。
圖16示出在實施例中三維記憶裝置的等效電路圖300。在實施例中,電路圖300與3D記憶裝置200、200A、200B或200C的一部分對應。電路圖300中的記憶單元被示出為具有被標記為SL、BL及WL(例如,WL1、WL2)的端子的電晶體,其中端子SL、BL及WL分別與閘極接觸窗225、源極/汲極接觸窗227及源極/汲極接觸窗229對應。圖16中示出記憶單元的三層,此對應於圖12至圖15中的形成於所述三個層堆疊202中的記憶單元。WL在垂直方向上延伸以電性連接實施於不同層堆疊202中的記憶單元。
圖17示出在實施例中三維記憶裝置(例如,200、200A、200B或200C)的佈局400。佈局400對應於3D記憶裝置的平面圖,其中不同垂直位準處的特徵投影在同一平面上。在圖17中,示出源極/汲極層205中的三者的邊界。源極/汲極層205的底部部分對應於階梯形接觸區。圖17亦示出閘極電極212、鐵電材料213、閘極接觸窗225及源極/汲極接觸窗227/229。此外,圖17示出電性耦接至閘極接觸窗225及源極/汲極接觸窗227/229的導電線(例如,銅線)。舉例而言,耦接至閘極接觸窗225的導電線使用WL(例如WL0、WL1、...、WL(2L-1))進行標記。耦接至源極/汲極接觸窗227的導電線被標記為SL,例如SL0-0、SL0-1、...、SL0-(m-1)、等等。耦接至源極/汲極接觸窗229的導電線被標記為BL,例如BL0-0、BL0-1、...、BL0-(m-1)、等等。 注意,階梯形接觸區易於接近源極/汲極層205。
對所揭露的實施例的變化及修改是可能的且完全旨在包括於本揭露的範圍內。舉例而言,做為非限制性實例,在3D記憶裝置200、200A、200B及200C中示出三個層堆疊202(例如,202A、202B及202C)。如本領域人員容易理解的,3D記憶裝置中的層堆疊202的數目可為任何合適的數目(例如一個、兩個或多於三個)。做為另一實例,閘極電極212的列及行的數目及/或隔離區219的數目可為任何合適的數目。另外,儘管開口207的俯視圖被示出為矩形或正方形,但亦可使用開口207的其他形狀(因此閘極電極212的其他形狀),例如圓形、橢圓形或多邊形。
實施例可達成各種優點。在BEOL製程期間,所揭露的3D記憶裝置可以容易地整合至現有的半導體裝置中。3D記憶裝置之下的區域仍可用於在FEOL製程期間形成各種電路(例如邏輯電路、I/O電路或ESD電路)。因此,除了用於3D記憶裝置的周邊電路(例如,解碼器、放大器)及繞線電路外,對於整合所揭露的3D記憶裝置而言,在佔用面積方面幾乎不存在損失。另外,所揭露的3D記憶裝置具有高效的結構來減小其記憶單元大小。舉例而言,耦接至層堆疊的源極/汲極層205的BL及SL由形成於同一層堆疊內的多個記憶單元共享。WL連接至延伸穿過所有層堆疊202的閘極電極212,且因此,WL亦被形成於不同層堆疊202中的在垂直方向上對齊的記憶單元共用。如上所述,所揭露的3D記憶裝置具有可容易地按比例縮放以允許形成高密度記憶陣列 的結構,此對於例如物聯網(Internet of Things,IoT)及機器學習等新興應用而言是重要的。藉由在BEOL製程期間在晶片上整合3D記憶陣列,會避免例如由於晶片外記憶體存取而導致的能耗瓶頸等問題。因此,具有整合的所揭露的3D記憶裝置的半導體裝置可被製造得更小、更便宜,同時以更快的速度進行操作且消耗更少的功率。另外的優點可包括藉由形成內部間隙壁而降低寄生電容。
圖18示出在一些實施例中形成記憶裝置的方法的流程圖。應理解,圖18中所示的實施例方法僅僅是許多可能的實施例方法的實例。本領域中具有通常知識者將認識到許多變化、替代及修改。舉例而言,可對如圖18中所示的各種步驟進行添加、移除、置換、重新排列或重複。
參照圖18,在方塊1010處,在基底之上依序形成第一層堆疊及第二層堆疊,其中第一層堆疊與第二層堆疊具有相同的分層結構,所述分層結構包括介電材料、位於介電材料之上的通道材料、及位於通道材料之上的源極/汲極材料。在方塊1020處,形成延伸穿過第一層堆疊及第二層堆疊的開口陣列。在方塊1030處,以第一介電材料置換源極/汲極材料的被開口陣列暴露出的部分而形成內部間隙壁。在方塊1040處,將鐵電材料襯於開口陣列的側壁。在方塊1050處,以導電材料填充開口陣列而形成閘極電極。在方塊1060處,形成穿過第一層堆疊及第二層堆疊的凹槽,所述凹槽自第二層堆疊的側壁朝閘極電極延伸。在方塊1070處, 以第二介電材料填充凹槽。
根據實施例,一種形成記憶裝置的方法包括:在基底之上形成第一層堆疊,所述第一層堆疊包括依序形成於所述基底之上的第一介電層、第一通道層及第一源極/汲極層;在所述第一層堆疊之上形成第二層堆疊,所述第二層堆疊包括依序形成於所述第一層堆疊之上的第二介電層、第二通道層及第二源極/汲極層;形成延伸穿過所述第一層堆疊及所述第二層堆疊的開口;以第一介電材料置換被所述開口暴露出的所述第一源極/汲極層的第一部分及所述第二源極/汲極層的第二部分而在所述第一源極/汲極層中及在所述第二源極/汲極層中形成內部間隙壁;在形成所述內部間隙壁之後,將鐵電材料襯於所述開口的側壁;在將所述鐵電材料襯於所述開口的所述側壁之後,以導電材料填充所述開口,以形成閘極電極;形成延伸穿過所述第一層堆疊及所述第二層堆疊的凹槽,所述凹槽自所述第一層堆疊的側壁朝所述閘極電極延伸;以及以第二介電材料填充所述凹槽。在實施例中,所述方法更包括:在填充所述凹槽之後,藉由移除所述第二層堆疊的橫向遠離所述閘極電極的部分,以暴露出所述第一層堆疊的所述第一源極/汲極層的第一部分,而在所述記憶裝置中形成第一階梯形區。在實施例中,所述方法在形成所述第一階梯形區之後更包括:在所述第一源極/汲極層的所述第一部分上形成第一源極/汲極接觸窗;在所述第二源極/汲極層的第一部分上形成第二源極/汲極接觸窗,其中所述第一源極/汲極層的所述第一部分及所述第二源極/ 汲極層的所述第一部分設置於所述閘極電極的同一側上;以及形成電性耦接至所述閘極電極的閘極接觸窗。在實施例中,所述方法更包括:在形成所述開口之前,在所述第二層堆疊之上形成第三介電層,其中所述開口被形成為延伸穿過所述第三介電層。在實施例中,所述方法更包括:在形成所述第二源極/汲極接觸窗之前,移除所述第三介電層的橫向遠離所述閘極電極的部分,以暴露出所述第二源極/汲極層的所述第一部分。在實施例中,所述閘極接觸窗形成於所述閘極電極的遠離所述基底的上表面之上。在實施例中,所述閘極接觸窗形成於所述第一層堆疊之下,使得所述閘極接觸窗位於所述第一層堆疊與所述基底之間。在實施例中,所述方法更包括:藉由移除所述第二層堆疊的另一部分,以暴露出所述第一源極/汲極層的第二部分,而在所述記憶裝置中形成第二階梯形區,其中所述閘極電極橫向位於所述第一源極/汲極層的所述第一部分與所述第一源極/汲極層的所述第二部分之間;在所述第一源極/汲極層的所述第二部分上形成第三源極/汲極接觸窗;以及在所述第二源極/汲極層的第二部分上形成第四源極/汲極接觸窗,其中所述閘極電極橫向位於所述第二源極/汲極層的所述第一部分與所述第二源極/汲極層的所述第二部分之間。在實施例中,置換所述第一源極/汲極層的所述第一部分及所述第二源極/汲極層的所述第二部分包括:執行蝕刻製程,以移除被所述開口暴露出的所述第一源極/汲極層的所述第一部分及所述第二源極/汲極層的所述第二部分;在執行所述蝕刻製程之後,在所述開口 中沈積所述第一介電材料,其中所述第一介電材料襯於所述開口的側壁及底部,且填充由所述第一源極/汲極層的被移除的所述第一部分及由所述第二源極/汲極層的被移除的所述第二部分留下的空間;以及執行非等向性蝕刻製程,以自所述開口的所述側壁及所述底部移除所述第一介電材料。在實施例中,所述第一源極/汲極層的被移除的所述第一部分的第一寬度及所述第二源極/汲極層的被移除的所述第二部分的第二寬度等於或大於所述開口中的相鄰的開口之間的距離的一半。在實施例中,在俯視圖中所述凹槽的側壁形成U型。在實施例中,所述第一介電層與所述第二介電層是由相同的介電材料形成,所述第一源極/汲極層及所述第二源極/汲極層是由第一材料形成,且所述第一通道層及所述第二通道層是由第二材料形成。在實施例中,所述第一材料是含金屬材料,且所述第二材料是半導體氧化物材料。
根據實施例,一種形成記憶裝置的方法包括:在基底之上依序形成第一層堆疊及第二層堆疊,其中所述第一層堆疊與所述第二層堆疊具有相同的分層結構,所述分層結構包括介電材料、位於所述介電材料之上的通道材料以及位於所述通道材料之上的源極/汲極材料;形成延伸穿過所述第一層堆疊及所述第二層堆疊的開口陣列;以第一介電材料置換所述源極/汲極材料的被所述開口陣列暴露出的部分而形成內部間隙壁;將鐵電材料襯於所述開口陣列的側壁;以導電材料填充所述開口陣列而形成閘極電極;形成穿過所述第一層堆疊及所述第二層堆疊的凹槽,所述凹 槽自所述第二層堆疊的側壁朝向所述閘極電極延伸;以及以第二介電材料填充所述凹槽。在實施例中,在填充所述凹槽之後,所述第二介電材料及所述第一介電材料將所述第一層堆疊及所述第二層堆疊中的每一者中的所述源極/汲極材料分隔成多個單獨的源極/汲極區。在實施例中,所述方法更包括:形成電性耦接至所述閘極電極的閘極接觸窗;移除部分的所述第二層堆疊,以暴露出所述第一層堆疊的部分的所述源極/汲極材料;以及形成源極/汲極接觸窗,所述將所述鐵電材料襯於電性耦接至所述第一層堆疊的被暴露出的所述部分的所述源極/汲極材料。在實施例中,所述通道材料是氧化物半導體材料,且所述源極/汲極材料是含金屬材料。
根據實施例,一種記憶裝置包括:第一層堆疊,包括第一介電層、位於所述第一介電層之上的第一通道層及位於所述第一通道層之上的第一源極/汲極層;第二層堆疊,位於所述第一層堆疊之上,所述第二層堆疊包括第二介電層、位於所述第二介電層之上的第二通道層及位於所述第二通道層之上的第二源極/汲極層,其中所述第一層堆疊延伸超過所述第二層堆疊的側向界限,且所述第二層堆疊暴露出部分的所述第一源極/汲極層;閘極電極,延伸穿過所述第一層堆疊及所述第二層堆疊;鐵電材料,位於所述閘極電極周圍且接觸所述閘極電極;以及隔離區,延伸穿過所述第一層堆疊及所述第二層堆疊,其中所述閘極電極與所述隔離區間隔開且沿著所述隔離區的縱向軸線設置。在實施例中,所述記憶裝置更包括:字元線(WL),電性耦接至所述閘極電極; 第一位元線(BL)及第二位元線,分別電性耦接至所述第一源極/汲極層的第一部分及所述第二源極/汲極層的第一部分;以及第一源極線(SL)及第二源極線,分別電性耦接至所述第一源極/汲極層的第二部分及所述第二源極/汲極層的第二部分,其中所述第一源極/汲極層的所述第一部分及所述第二源極/汲極層的所述第一部分設置於所述隔離區的第一側上,其中所述第一源極/汲極層的所述第二部分及所述第二源極/汲極層的所述第二部分設置於所述隔離區的相對的第二側上。在實施例中,所述字元線在所述第一層堆疊下方延伸,其中所述第一位元線、所述第二位元線、所述第一源極線及所述第二源極線在所述第二層堆疊上方延伸。
儘管已參照例示性實施例闡述了本發明,然而此說明並非旨在被視為具有限制性意義。參照所述說明,例示性實施例的各種修改及組合以及本發明的其他實施例對於熟習此項技術者而言將顯而易見。因此,隨附的申請專利範圍旨在囊括任何此種修改或實施例。
1010、1020、1030、1040、1050、1060、1070:方塊

Claims (10)

  1. 一種形成記憶裝置的方法,所述方法包括:在基底之上形成第一層堆疊,所述第一層堆疊包括依序形成於所述基底之上的第一介電層、第一通道層及第一源極/汲極層;在所述第一層堆疊之上形成第二層堆疊,所述第二層堆疊包括依序形成於所述第一層堆疊之上的第二介電層、第二通道層及第二源極/汲極層;形成延伸穿過所述第一層堆疊及所述第二層堆疊的開口;以第一介電材料置換被所述開口暴露出的所述第一源極/汲極層的第一部分及所述第二源極/汲極層的第二部分,而在所述第一源極/汲極層中及在所述第二源極/汲極層中形成內部間隙壁;在形成所述內部間隙壁之後,將鐵電材料襯於所述開口的側壁;在將所述鐵電材料襯於襯於所述開口的所述側壁之後,以導電材料填充所述開口,以形成閘極電極;形成延伸穿過所述第一層堆疊及所述第二層堆疊的凹槽,所述凹槽自所述第一層堆疊的側壁朝向所述閘極電極延伸;以及以第二介電材料填充所述凹槽。
  2. 如請求項1所述形成記憶裝置的方法,更包括:在填充所述凹槽之後,藉由移除所述第二層堆疊的橫向遠離所述閘極電極的部分,以暴露出所述第一層堆疊的所述第一源極/汲極層的第一部分,而在所述記憶裝置中形成第一階梯形區。
  3. 如請求項2所述形成記憶裝置的方法,在形成所述第一階梯形區之後更包括:在所述第一源極/汲極層的所述第一部分上形成第一源極/汲極接觸窗;在所述第二源極/汲極層的第一部分上形成第二源極/汲極接觸窗,其中所述第一源極/汲極層的所述第一部分及所述第二源極/汲極層的所述第一部分設置於所述閘極電極的同一側上;以及形成電性耦接至所述閘極電極的閘極接觸窗。
  4. 如請求項3所述形成記憶裝置的方法,其中所述閘極接觸窗形成於所述閘極電極的遠離所述基底的上表面之上。
  5. 如請求項3所述形成記憶裝置的方法,其中所述閘極接觸窗形成於所述第一層堆疊之下,使得所述閘極接觸窗位於所述第一層堆疊與所述基底之間。
  6. 如請求項3所述形成記憶裝置的方法,更包括:藉由移除所述第二層堆疊的另一部分,以暴露出所述第一源極/汲極層的第二部分,而在所述記憶裝置中形成第二階梯形區,其中所述閘極電極橫向位於所述第一源極/汲極層的所述第一部分與所述第一源極/汲極層的所述第二部分之間;在所述第一源極/汲極層的所述第二部分上形成第三源極/汲極接觸窗;以及在所述第二源極/汲極層的第二部分上形成第四源極/汲極接觸窗,其中所述閘極電極橫向位於所述第二源極/汲極層的所述第 一部分與所述第二源極/汲極層的所述第二部分之間。
  7. 一種形成記憶裝置的方法,所述方法包括:在基底之上依序形成第一層堆疊及第二層堆疊,其中所述第一層堆疊與所述第二層堆疊具有相同的分層結構,所述分層結構包括介電材料、位於所述介電材料之上的通道材料以及位於所述通道材料之上的源極/汲極材料;形成延伸穿過所述第一層堆疊及所述第二層堆疊的開口陣列;以第一介電材料置換所述源極/汲極材料的被所述開口陣列暴露出的部分而形成內部間隙壁;將鐵電材料襯於所述開口陣列的側壁;以導電材料填充所述開口陣列而形成閘極電極;形成穿過所述第一層堆疊及所述第二層堆疊的凹槽,所述凹槽自所述第二層堆疊的側壁朝向所述閘極電極延伸;以及以第二介電材料填充所述凹槽。
  8. 如請求項7所述形成記憶裝置的方法,其中在填充所述凹槽之後,所述第二介電材料及所述第一介電材料將所述第一層堆疊及所述第二層堆疊中的每一者中的所述源極/汲極材料分隔成多個單獨的源極/汲極區。
  9. 如請求項7所述形成記憶裝置的方法,更包括:形成電性耦接至所述閘極電極的閘極接觸窗;移除部分的所述第二層堆疊,以暴露出所述第一層堆疊的部 分的所述源極/汲極材料;以及形成源極/汲極接觸窗,所述源極/汲極接觸窗電性耦接至所述第一層堆疊的被暴露出的所述部分的所述源極/汲極材料。
  10. 一種記憶裝置,包括:第一層堆疊,包括第一介電層、位於所述第一介電層之上的第一通道層以及位於所述第一通道層之上的第一源極/汲極層;第二層堆疊,位於所述第一層堆疊之上,所述第二層堆疊包括第二介電層、位於所述第二介電層之上的第二通道層以及位於所述第二通道層之上的第二源極/汲極層,其中所述第一層堆疊延伸超過所述第二層堆疊的側向界限,且所述第二層堆疊暴露出部分的所述第一源極/汲極層;閘極電極,延伸穿過所述第一層堆疊及所述第二層堆疊;鐵電材料,位於所述閘極電極周圍且接觸所述閘極電極;以及隔離區,延伸穿過所述第一層堆疊及所述第二層堆疊,其中所述閘極電極與所述隔離區間隔開且沿著所述隔離區的縱向軸線設置。
TW109142941A 2020-05-28 2020-12-04 記憶裝置及其形成方法 TWI753688B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031103P 2020-05-28 2020-05-28
US63/031,103 2020-05-28
US17/070,536 US11631698B2 (en) 2020-05-28 2020-10-14 Three-dimensional memory device with ferroelectric material
US17/070,536 2020-10-14

Publications (2)

Publication Number Publication Date
TW202145541A TW202145541A (zh) 2021-12-01
TWI753688B true TWI753688B (zh) 2022-01-21

Family

ID=77616866

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109142941A TWI753688B (zh) 2020-05-28 2020-12-04 記憶裝置及其形成方法

Country Status (5)

Country Link
US (1) US20220384483A1 (zh)
KR (1) KR102521845B1 (zh)
CN (1) CN113394232B (zh)
DE (1) DE102020127584A1 (zh)
TW (1) TWI753688B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210399013A1 (en) * 2020-06-18 2021-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method of forming the same
US11450686B2 (en) * 2020-06-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. High density 3D FERAM
CN117413628A (zh) * 2022-01-17 2024-01-16 华为技术有限公司 存储阵列、制备方法、读写控制方法、存储器及电子设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201232538A (en) * 2011-01-19 2012-08-01 Macronix Int Co Ltd Memory architecture of 3D nor array
CN108401468A (zh) * 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3d半导体器件和结构
US20180350823A1 (en) * 2015-10-24 2018-12-06 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US20190148286A1 (en) * 2015-09-21 2019-05-16 Monolithic 3D Inc. Multi-level semiconductor device and structure with memory

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3390704B2 (ja) * 1999-08-26 2003-03-31 株式会社半導体理工学研究センター 強誘電体不揮発性メモリ
JP4373647B2 (ja) * 2002-06-19 2009-11-25 独立行政法人産業技術総合研究所 強誘電体不揮発性記憶装置及びその駆動方法
US6970370B2 (en) * 2002-06-21 2005-11-29 Micron Technology, Inc. Ferroelectric write once read only memory for archival storage
KR101787041B1 (ko) * 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US9281044B2 (en) * 2013-05-17 2016-03-08 Micron Technology, Inc. Apparatuses having a ferroelectric field-effect transistor memory array and related method
WO2015012359A1 (ja) * 2013-07-25 2015-01-29 独立行政法人産業技術総合研究所 強誘電体デバイス及びその製造方法
US9953994B2 (en) 2015-11-07 2018-04-24 Monolithic 3D Inc. Semiconductor memory device and structure
US11289499B2 (en) * 2016-09-30 2022-03-29 Institute of Microelectronics, Chinese Academy of Sciences Memory device, method of manufacturing the same, and electronic device including the same
KR101872122B1 (ko) * 2016-11-25 2018-06-27 연세대학교 산학협력단 3 차원 강유전체 메모리 소자 및 이의 제조 방법
US10580781B2 (en) * 2017-10-12 2020-03-03 Globalfoundries Singapore Pte. Ltd. Increased gate coupling effect in multigate transistor
US10593692B2 (en) 2018-04-30 2020-03-17 Sandisk Technologies Llc Three-dimensional nor-type memory device and method of making the same
US11502103B2 (en) * 2018-08-28 2022-11-15 Intel Corporation Memory cell with a ferroelectric capacitor integrated with a transtor gate
US10930333B2 (en) * 2018-08-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded ferroelectric memory cell

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201232538A (en) * 2011-01-19 2012-08-01 Macronix Int Co Ltd Memory architecture of 3D nor array
CN108401468A (zh) * 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3d半导体器件和结构
US20190148286A1 (en) * 2015-09-21 2019-05-16 Monolithic 3D Inc. Multi-level semiconductor device and structure with memory
US10515981B2 (en) * 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US20180350823A1 (en) * 2015-10-24 2018-12-06 Monolithic 3D Inc. Multi-level semiconductor memory device and structure

Also Published As

Publication number Publication date
US20220384483A1 (en) 2022-12-01
KR102521845B1 (ko) 2023-04-13
CN113394232A (zh) 2021-09-14
DE102020127584A1 (de) 2021-12-02
TW202145541A (zh) 2021-12-01
CN113394232B (zh) 2023-09-29
KR20210148827A (ko) 2021-12-08

Similar Documents

Publication Publication Date Title
TWI753688B (zh) 記憶裝置及其形成方法
US11587823B2 (en) Three-dimensional memory device and method
TWI770897B (zh) 記憶體陣列及其製造方法以及半導體裝置
US11581337B2 (en) Three-dimensional memory device and manufacturing method thereof
US11910615B2 (en) Memory device and manufacturing method thereof
CN113488483B (zh) 三维存储器器件及其制造方法
US11532343B2 (en) Memory array including dummy regions
CN113517299B (zh) 铁电随机存取存储器器件及形成方法
TW202201755A (zh) 記憶體裝置與其製造方法
US20230008998A1 (en) Three-Dimensional Memory Device and Method
TWI758995B (zh) 記憶裝置及其形成方法
US11631698B2 (en) Three-dimensional memory device with ferroelectric material
US11404444B2 (en) Three-dimensional memory device and methods of forming
CN113594175B (zh) 铁电随机存取存储器器件及其形成方法
US20230232621A1 (en) Memory device and method for manufacturing the same using hard mask
US20240064994A1 (en) Memory device and method of forming the same
KR20230159337A (ko) 반도체 장치 및 그의 제조 방법
CN117062443A (zh) 三维存储器器件和方法