TWI748286B - 半導體裝置以及其形成方法 - Google Patents

半導體裝置以及其形成方法 Download PDF

Info

Publication number
TWI748286B
TWI748286B TW108142257A TW108142257A TWI748286B TW I748286 B TWI748286 B TW I748286B TW 108142257 A TW108142257 A TW 108142257A TW 108142257 A TW108142257 A TW 108142257A TW I748286 B TWI748286 B TW I748286B
Authority
TW
Taiwan
Prior art keywords
layer
passivation layer
forming
etching process
semiconductor device
Prior art date
Application number
TW108142257A
Other languages
English (en)
Other versions
TW202121516A (zh
Inventor
羅熙凱
賴明宏
Original Assignee
華邦電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 華邦電子股份有限公司 filed Critical 華邦電子股份有限公司
Priority to TW108142257A priority Critical patent/TWI748286B/zh
Priority to US17/099,129 priority patent/US11569150B2/en
Publication of TW202121516A publication Critical patent/TW202121516A/zh
Application granted granted Critical
Publication of TWI748286B publication Critical patent/TWI748286B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/02165Reinforcing structures
    • H01L2224/02166Collar structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0381Cleaning, e.g. oxide removal step, desmearing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本揭露提供一種半導體裝置的形成方法,包含以下步驟:提供半導體基底;形成焊墊層於半導體基底上;形成第一鈍化層於焊墊層上;形成第二鈍化層於第一鈍化層上,其中第二鈍化層包含多晶矽;形成氧化層於第二鈍化層上;形成氮化層於氧化層上;移除氧化層的一部分以及氮化層的一部分,以暴露出第二鈍化層的一部分;移除經暴露的該第二鈍化層的一部分,以暴露出第一鈍化層的一部分;以及移除經暴露的第一鈍化層的一部分,以暴露出焊墊層的一部分。

Description

半導體裝置以及其形成方法
本揭露係有關於一種半導體裝置以及其形成方法,且特別係有關於半導體裝置的焊墊層以及其形成方法。
半導體積體電路產業經歷快速成長,積體電路設計與材料的科技發展生產了數世代的積體電路,其中每個世代具備比上個世代更小及更複雜的電路。積體電路廣泛地應用於消費性電子產品中,例如,個人電腦、智慧型手機或平板電腦等。
一般而言,半導體積體電路裝置具有與外部電子元件電性連接的焊墊結構,由於焊墊結構在封裝製程完成之前通常會暴露於環境之中一段時間,若製程中有化學物質殘留於焊墊結構上,化學物質與環境中的空氣或水氣反應,將更容易導致焊墊結構的氧化或腐蝕,進而降低最終產品的良率。
雖然現存的焊墊結構的形成方法可大致滿足它們原先預定的用途,但其仍未在各個方面皆徹底地符合需求。因此,發展出能夠進一步改善焊墊結構的良率的製程,仍為目前業界致力研究的課題之一。
根據本揭露一些實施例,提供一種半導體裝置的形成方法,包含以下步驟:提供半導體基底;形成焊墊層於半導體基底上;形成第一鈍化層於焊墊層上;形成第二鈍化層於第一鈍化層上,其中第二鈍化層包含多晶矽;形成氧化層於第二鈍化層上;形成氮化層於氧化層上;移除氧化層的一部分以及氮化層的一部分,以暴露出第二鈍化層的一部分;移除經暴露的該第二鈍化層的一部分,以暴露出第一鈍化層的一部分;以及移除經暴露的第一鈍化層的一部分,以暴露出焊墊層的一部分。
根據本揭露一些實施例,提供一種半導體裝置,包含:半導體基底、焊墊層、第一鈍化層、第二鈍化層、氧化層以及氮化層。焊墊層位於半導體基底上,第一鈍化層位於焊墊層上,第二鈍化層位於第一鈍化層上,其中第二鈍化層包含多晶矽,氧化層位於第二鈍化層上,且氮化層位於氧化層上。此外,半導體裝置更包含開口,其貫穿第一鈍化層、第二鈍化層、氧化層以及氮化層,且暴露出焊墊層的頂表面。
為讓本揭露之特徵、或優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下。
以下針對本揭露實施例的半導體裝置的形成方法以及由前述方法所形成的半導體裝置作詳細說明。應了解的是,以下所述特定的元件及排列方式僅為簡單清楚描述本揭露一些實施例,這些僅用以舉例而非本揭露之限定。
本揭露實施例可配合圖式一併理解,本揭露之圖式亦被視為揭露說明之一部分。應理解的是,本揭露之圖式並未按照比例繪製,事實上,可能任意的放大或縮小元件的尺寸以便清楚表現出本揭露的特徵。再者,當述及一第一材料層位於一第二材料層上或之上時,包含第一材料層與第二材料層直接接觸,或者不直接接觸而間隔有一或更多其它材料層之情形。
於文中,「約」或「實質上」之用語通常表示在一給定值或範圍的10%內,或0.5%之內。在此給定的數量為大約的數量,亦即在沒有特定說明「約」或「實質上」的情況下,仍可隱含「約」或「實質上」之含義。
根據本揭露一些實施例,提供之半導體裝置的形成方法包含形成含有多晶矽的鈍化層於焊墊層上,使其作為蝕刻停止層。此外,根據本揭露一些實施例,於半導體裝置的形成方法中,用於移除鈍化層的蝕刻製程不使用含氟氣體,藉此可減少蝕刻製程殘留的化學物質與焊墊層反應進而造成焊墊層容易腐蝕的問題,可有效改善作為頂部金屬層(topmost metal layer)的焊墊層的良率。
第1A至1G圖顯示根據本揭露一些實施例中,半導體裝置10於其形成方法中各階段的結構剖面示意圖。應理解的是,可於半導體裝置10的製造方法進行前、進行中及/或進行後提供額外的操作。根據一些實施例,以下所述的一些階段可以被取代或刪除。根據一些實施例,可添加額外特徵於半導體裝置10。根據一些實施例,以下所述的半導體裝置10的部分特徵可以被取代或刪除。
根據一些實施例,半導體裝置10可包含記憶體結構,例如,揮發性記憶體(volatile memory)或非揮發性記憶體(nonvolatile memory)例如快閃記憶體(flash memory),但本揭露不以此為限。
請參照第1A圖,首先,提供半導體基底102。在一些實施例中,半導體基底102中已先形成有合適的半導體元件,例如,半導體基底102可包含電晶體元件與電容元件等。
接著,形成焊墊層104於半導體基底102上,焊墊層104可作為與外部電子元件電性連接的頂部金屬層。在一些實施例中,焊墊層104可包含金屬導電材料,例如可包含鋁(Al)、銅(Cu)、鎢(W)、鋁合金、銅合金、鎢金、或前述之組合,但不限於此。
再者,焊墊層104可具有厚度T1 。在一些實施例中,焊墊層104的厚度T1 的範圍可介於約600nm至約1200nm之間、或介於約700nm至約1000nm之間,例如約800nm。
如第1A圖所示,接著,形成第一鈍化層106於焊墊層104上,第一鈍化層106可保護焊墊層104,減緩焊墊層104氧化的速度,或可降低電子遷移(electron migration)的現象。在一些實施例中,第一鈍化層106的材料可包含氮化鈦(titanium nitride,TiN),但不限於此。
再者,第一鈍化層106可具有厚度T2 。在一些實施例中,第一鈍化層106的厚度T2 的範圍可介於約20nm至約100nm之間、或介於約30nm至約60nm之間,例如約40nm、或約50nm。
接著,形成第二鈍化層108於第一鈍化層106上,第二鈍化層108可作為蝕刻停止層,防止用於移除氧化層110及氮化層112的蝕刻製程影響到位於第二鈍化層108下方的層別,例如,第一鈍化層106與焊墊層104。在一些實施例中,第二鈍化層108的材料與第一鈍化層106不同,且亦與位於其上方的氧化層110不同。此外,在一些實施例中,第二鈍化層108的材料與氧化層110的材料具有不同的蝕刻選擇比。具體而言,在一些實施例中,第二鈍化層108的材料可包含多晶矽(polysilicon)。
再者,第二鈍化層108可具有厚度T3 。在一些實施例中,第二鈍化層108的厚度T3 的範圍可介於約20nm至約100nm之間、或介於約30nm至約60nm之間,例如約40nm、或約50nm。在一些實施例中,第二鈍化層108的厚度T3 與第一鈍化層106的厚度T2 實質上相同。此外,應理解的是,若第二鈍化層108的厚度T3 過小(例如,小於20nm),則可能無法有效作為蝕刻停止層,相反地,若第二鈍化層108的厚度T3 太大(例如,大於100nm),則可能導致製程成本增加。
值得注意的是,第二鈍化層108由特定的材料形成且具有特定的厚度,且後續將由特定的蝕刻製程進行移除,因此可有效地作為蝕刻停止層並且可避免用於移除氧化層110及氮化層112的蝕刻製程所殘留的化學物質(例如,鹵素物質)與焊墊層104反應進而造成焊墊層104容易腐蝕的問題。關於移除第二鈍化層108的詳細製程將於下文進行說明。
接著,形成氧化層110於第二鈍化層108上。在一些實施例中,氧化層110的材料可包含氧化矽(silicon oxide),但不限於此。在一些實施例中,氧化層110的材料可為藉由高密度電漿(high density plasma,HDP)化學氣相沉積(chemical vapor deposition,CVD)製程所形成的氧化矽。
再者,氧化層110可具有厚度T4 。在一些實施例中,氧化層110的厚度T4 的範圍可介於約800nm至約1400nm之間、或介於約900nm至約1200nm之間,例如約1000nm、或約1100nm。
如第1A圖所示,接著,形成氮化層112於氧化層110上。在一些實施例中,氮化層112的材料可包含氮化物,例如氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、或前述之組合,但不限於此。
再者,氮化層112可具有厚度T5 。在一些實施例中,氮化層112的厚度T5 的範圍可介於約400nm至約800nm之間、或介於約500nm至約700nm之間,例如約600nm。
在一些實施例中,可藉由化學氣相沉積(chemical vapor deposition,CVD)製程、物理氣相沉積製程(physical vapor deposition,PVD)、電鍍製程、無電鍍製程、旋轉塗佈(spin on coating)、熱氧化(thermal oxidation)製程、前述之組合、或其它合適的製程形成前述的半導體基底102、焊墊層104、第一鈍化層106、第二鈍化層108、氧化層110及氮化層112。
接著,請參照第1B圖,可形成罩幕層PR於氮化層112上,以定義出後續將形成於氧化層110及氮化層112中之開口202的位置。在一些實施例中,罩幕層PR可包含光阻材料。
接著,請參照第1C圖,移除氧化層110的一部分以及氮化層112的一部分,以暴露出第二鈍化層108的一部分。詳細而言,在一些實施例中,可使用經圖案化的罩幕層PR作為遮罩,移除未被罩幕層PR遮蔽的氧化層110及氮化層112,以形成貫穿氧化層110及氮化層112的開口202,且開口202可暴露出第二鈍化層108的一部分的頂表面108t。
再者,在一些實施例中,移除氧化層110的一部分以及氮化層112的一部分係藉由第一蝕刻製程E1 進行。第一蝕刻製程E1 可將氧化層110及氮化層112移除,並於第二鈍化層108的位置停止蝕刻,亦即,第一蝕刻製程E1 並未移除第二鈍化層108。
在一些實施例中,第一蝕刻製程E1 可為乾蝕刻製程,例如,可包含反應性離子蝕刻(reactive-ion etching,RIE)製程、電漿蝕刻製程、或前述之組合。在一些實施例中,第一蝕刻製程E1 包含使用第一蝕刻氣體,且第一蝕刻氣體可包含四氟化碳(tetrafluoromethane,CF4 )。
此外,如第1C圖所示,在一些實施例中,第一蝕刻製程E1 會產生高分子副產物PL於氧化層110的側壁110s以及氮化層112的側壁112s上。應理解的是,雖然圖中並未繪示,但第二鈍化層108的頂表面108t上亦可能存在高分子副產物PL。詳細而言,第一蝕刻氣體可能會與罩幕層PR、氧化層110、氮化層112及第二鈍化層108等進行化學反應,進而於開口202中產生不容易清除的高分子副產物PL。
接著,請參照第1D圖,在一些實施例中,於移除氧化層110的一部分以及氮化層112的一部分之後,可移除前述經圖案化的罩幕層PR。在一些實施例中,可藉由濕式剝除製程、電漿灰化製程、或前述之組合移除罩幕層PR。
接著,請參照第1E圖,在一些實施例中,於移除圖案化的罩幕層PR之後,可藉由清潔製程C1 移除高分子副產物PL。在一些實施例中,清潔製程C1 包含使用鹼性溶液以移除高分子副產物PL。在一些實施例中,前述鹼性溶液可為強鹼溶液。
接著,請參照第1F圖,移除經暴露的第二鈍化層108的一部分,以暴露出第一鈍化層106的一部分,例如,暴露出第一鈍化層106的頂表面106t。具體而言,在一些實施例中,移除經暴露的第二鈍化層106的一部分係藉由第二蝕刻製程E2 進行。
在一些實施例中,第二蝕刻製程E2 可為乾蝕刻製程,例如,可包含反應性離子蝕刻製程、電漿蝕刻製程、或前述之組合。在一些實施例中,第二蝕刻製程E2 包含使用第二蝕刻氣體,且第二蝕刻氣體可包含溴化氫(hydrogen bromide,HBr)。在一些實施例中,第二蝕刻氣體可選地包含氯化物。在一些實施例中,第二蝕刻氣體可選地包含氯氣(Cl2 )。在第二蝕刻氣體包含溴化氫以及氯氣的一些實施例中,溴化氫與氯氣的比例可為約10:2、或約10:1。此外,值得注意的是,第二蝕刻氣體不包含四氟化碳(CF4 ),因此,較不會有氟殘留而造成焊墊層104腐蝕的問題。
在另一實施例中,第二蝕刻製程E2 可包含使用氨水(NH4 OH),移除經暴露的第二鈍化層108,以暴露出第一鈍化層106。
接著,請參照第1G圖,移除經暴露的第一鈍化層106的一部分,以暴露出焊墊層104的一部分,例如,暴露出焊墊層104的頂表面104t。具體而言,在一些實施例中,移除經暴露的第一鈍化層106的一部分係藉由第三蝕刻製程E3 進行。
在一些實施例中,第三蝕刻製程E3 可為乾蝕刻製程,例如,可包含反應性離子蝕刻製程、電漿蝕刻製程、或前述之組合。在一些實施例中,第三蝕刻製程E3 包含使用第三蝕刻氣體,且第三蝕刻氣體可包含氯氣(Cl2 ),且第三蝕刻氣體不包含四氟化碳(CF4 )。
應理解的是,雖然前述實施例中,第二蝕刻製程E2 及第三蝕刻製程E3 為分開進行的兩個步驟,然而,根據另一些實施例,第二蝕刻製程E2 及第三蝕刻製程E3 可於同一步驟中進行,亦即,可同時移除第一鈍化層106以及第二鈍化層108。例如,在一些實施例中,可使用同時包含溴化氫及氯氣的蝕刻氣體,並使用合適比例的溴化氫及氯氣,以同時移除第一鈍化層106以及第二鈍化層108。
此外,在一些實施例中,於移除第一鈍化層106以暴露出焊墊層104之後,可藉由清潔製程(未標示)清除第三蝕刻製程E3 殘留的氯氣,且此清潔製程係原位(in situ)進行。詳細而言,清潔製程與第三蝕刻製程E3 可在同一腔室中進行,且在不破真空的狀態下,以水潤洗焊墊層104的頂表面104t,藉此將殘留的氯氣移除,避免焊墊層104腐蝕。
如第1G圖所示,於此階段完成的半導體裝置10可包含半導體基底102、焊墊層104、第一鈍化層106、第二鈍化層108以及氧化層110。焊墊層104可位於半導體基底102上,第一鈍化層106可位於焊墊層104上,第二鈍化層108可位於第一鈍化層106上,氧化層110可位於第二鈍化層108上,氮化層112可位於該氧化層上。此外,半導體裝置10可具有開口202,開口202貫穿第一鈍化層106、第二鈍化層108、氧化層110以及氮化層112,且暴露出焊墊層104的頂表面104t。
承前述,根據一些實施例,焊墊層104可作為半導體裝置10的頂部金屬層,將會持續暴露於環境中直到封裝製程完成,並進一步與合適的外部電子元件進行耦接,但本揭露不以此為限。根據一些實施例,本揭露提供之半導體裝置的形成方法亦可應用於形成接觸結構之導通孔(via)的製程。
綜上所述,根據本揭露一些實施例,提供之半導體裝置的形成方法包含形成含有多晶矽的第二鈍化層於焊墊層上,且第二鈍化層可作為蝕刻停止層。此外,根據本揭露一些實施例,用於移除第一鈍化層及第二鈍化層的蝕刻製程不使用含氟氣體(例如四氟化碳(CF4 )),且更包含與此蝕刻製程原位(in situ)進行的清潔製程,藉此可進一步減少蝕刻製程殘留的化學物質與焊墊層反應進而造成焊墊層容易腐蝕的問題,可有效改善焊墊層的良率,例如,改善電性連接的品質。
雖然本揭露的實施例及其優點已揭露如上,但應該瞭解的是,任何所屬技術領域中具有通常知識者,在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。本揭露之保護範圍當視後附之申請專利範圍所界定者為準。
10:半導體裝置 102:半導體基底 104:焊墊層 104t:頂表面 106:第一鈍化層 106t:頂表面 108:第二鈍化層 108t:頂表面 110:氧化層 110s:側壁 112:氮化層 112s:側壁 202:開口 C1 :清潔製程 E1 :第一蝕刻製程 E2 :第二蝕刻製程 E3 :第三蝕刻製程 PL:高分子副產物 PR:罩幕層 T1 、T2 、T3 、T4 、T5 :厚度
第1A至1G圖顯示根據本揭露一些實施例中,半導體裝置於其形成方法中各階段的結構剖面示意圖。
10:半導體裝置
102:半導體基底
104:部分表面
106:第一鈍化層
106t:頂表面
108:第二鈍化層
110:氧化層
112:氮化層
202:開口
E2 :第二蝕刻製程

Claims (8)

  1. 一種半導體裝置的形成方法,包括:提供一半導體基底;形成一焊墊層於該半導體基底上;形成一第一鈍化層於該焊墊層上;形成一第二鈍化層於該第一鈍化層上,其中該第二鈍化層包括多晶矽;形成一氧化層於該第二鈍化層上;形成一氮化層於該氧化層上;移除該氧化層的一部分以及該氮化層的一部分,以暴露出該第二鈍化層的一部分;移除經暴露的該第二鈍化層的一部分,以暴露出該第一鈍化層的一部分;以及移除經暴露的該第一鈍化層的一部分,以暴露出該焊墊層的一部分,其中移除經暴露的該第二鈍化層的一部分係藉由一第二蝕刻製程進行,該第二蝕刻製程包括使用一第二蝕刻氣體,且該第二蝕刻氣體包括溴化氫(hydrogen bromide,HBr)以及氯氣(Cl2),其中該第二蝕刻氣體中的溴化氫與氯氣的比例介於10:2至10:1之間。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中移除該氧化層的一部分以及該氮化層的一部分係藉由一第 一蝕刻製程進行,該第一蝕刻製程包括使用一第一蝕刻氣體,且該第一蝕刻氣體包括四氟化碳(CF4)。
  3. 如申請專利範圍第2項所述之半導體裝置的形成方法,更包括藉由一清潔製程移除該第一蝕刻製程產生於該氧化層及該氮化層的側壁上之一高分子副產物,其中該清潔製程包括使用一鹼性溶液。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第二蝕刻氣體不包括四氟化碳(CF4)。
  5. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中移除經暴露的該第一鈍化層的一部分係藉由一第三蝕刻製程進行,該第三蝕刻製程包括使用一第三蝕刻氣體,且該第三蝕刻氣體包括氯氣(Cl2)。
  6. 如申請專利範圍第5項所述之半導體裝置的形成方法,其中在移除經暴露的該第一鈍化層的一部分之後,藉由一清潔製程清除該第三蝕刻製程殘留的氯氣,且該清潔製程係原位(in situ)進行。
  7. 如申請專利範圍第5項所述之半導體裝置的形成方法,其中該第二蝕刻製程及該第三蝕刻製程於同一步驟中進行。
  8. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中其中該第二蝕刻製程包括使用氨水(NH4OH)。
TW108142257A 2019-11-21 2019-11-21 半導體裝置以及其形成方法 TWI748286B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW108142257A TWI748286B (zh) 2019-11-21 2019-11-21 半導體裝置以及其形成方法
US17/099,129 US11569150B2 (en) 2019-11-21 2020-11-16 Semiconductor bonding pad device and method for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW108142257A TWI748286B (zh) 2019-11-21 2019-11-21 半導體裝置以及其形成方法

Publications (2)

Publication Number Publication Date
TW202121516A TW202121516A (zh) 2021-06-01
TWI748286B true TWI748286B (zh) 2021-12-01

Family

ID=75971290

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108142257A TWI748286B (zh) 2019-11-21 2019-11-21 半導體裝置以及其形成方法

Country Status (2)

Country Link
US (1) US11569150B2 (zh)
TW (1) TWI748286B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448117B1 (en) * 1999-12-20 2002-09-10 Hannstar Display Corp. Tri-layer process for forming TFT matrix of LCD with gate metal layer around pixel electrode as black matrix
TW201906001A (zh) * 2017-06-20 2019-02-01 台灣積體電路製造股份有限公司 製造半導體結構的方法
US20190096831A1 (en) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device comprising top conductive pads

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3847687A (en) * 1972-11-15 1974-11-12 Motorola Inc Methods of forming self aligned transistor structure having polycrystalline contacts
US5384281A (en) * 1992-12-29 1995-01-24 International Business Machines Corporation Non-conformal and oxidizable etch stops for submicron features
US5342801A (en) * 1993-03-08 1994-08-30 National Semiconductor Corporation Controllable isotropic plasma etching technique for the suppression of stringers in memory cells
KR100200234B1 (ko) * 1996-08-30 1999-06-15 전주범 박막형 광로 조절 장치의 제조 방법
US6218309B1 (en) * 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
JP2002015971A (ja) * 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
JP3848070B2 (ja) * 2000-09-27 2006-11-22 株式会社東芝 パターン形成方法
US20040072446A1 (en) * 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US20070238304A1 (en) * 2006-04-11 2007-10-11 Jui-Hung Wu Method of etching passivation layer
KR101001875B1 (ko) * 2006-09-30 2010-12-17 엘지이노텍 주식회사 등방성 에칭을 이용한 미세 패턴 형성방법 및 이를 이용하여 제조된 미세패턴이 형성된 반도체 기판 면상 부재
US20080290368A1 (en) * 2007-05-21 2008-11-27 Day4 Energy, Inc. Photovoltaic cell with shallow emitter
KR20090082627A (ko) * 2008-01-28 2009-07-31 주식회사 하이닉스반도체 비휘발성 메모리 소자의 제조 방법
JP5313626B2 (ja) * 2008-10-27 2013-10-09 新光電気工業株式会社 電子部品内蔵基板及びその製造方法
EP2293351B1 (en) * 2009-09-07 2017-04-12 Lg Electronics Inc. Solar cell
US9947004B2 (en) 2012-06-28 2018-04-17 Green Dot Corporation Wireless client transaction systems and related methods
US9556017B2 (en) * 2013-06-25 2017-01-31 Analog Devices, Inc. Apparatus and method for preventing stiction of MEMS devices encapsulated by active circuitry
CN104900481B (zh) * 2014-03-04 2018-06-01 中芯国际集成电路制造(上海)有限公司 清洗焊盘的方法
US9903020B2 (en) * 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448117B1 (en) * 1999-12-20 2002-09-10 Hannstar Display Corp. Tri-layer process for forming TFT matrix of LCD with gate metal layer around pixel electrode as black matrix
TW201906001A (zh) * 2017-06-20 2019-02-01 台灣積體電路製造股份有限公司 製造半導體結構的方法
US20190096831A1 (en) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device comprising top conductive pads

Also Published As

Publication number Publication date
US20210159150A1 (en) 2021-05-27
US11569150B2 (en) 2023-01-31
TW202121516A (zh) 2021-06-01

Similar Documents

Publication Publication Date Title
US7687446B2 (en) Method of removing residue left after plasma process
TWI430373B (zh) 半導體裝置之製造方法
JP2001319928A (ja) 半導体集積回路装置およびその製造方法
CN105336662B (zh) 半导体结构的形成方法
CN101364565A (zh) 半导体器件的制造方法
CN106409751B (zh) 半导体结构的形成方法
CN105826245B (zh) 半导体结构的形成方法
CN104900481B (zh) 清洗焊盘的方法
US6733597B2 (en) Method of cleaning a dual damascene structure
TWI748286B (zh) 半導體裝置以及其形成方法
US6265305B1 (en) Method of preventing corrosion of a titanium layer in a semiconductor wafer
US20120149195A1 (en) Method for manufacturing integrated circuit device
CN113097075B (zh) 半导体装置以及其形成方法
TWI467697B (zh) 內連線結構的製造方法
CN106847740B (zh) 一种形成空气隙/铜互连的工艺方法
CN102136451A (zh) 形成金属互连的方法
US10483205B2 (en) Contact using multilayer liner
US20070218697A1 (en) Method for removing polymer from wafer and method for removing polymer in interconnect process
JP2007234760A (ja) 半導体装置の製造方法
CN105742183B (zh) 半导体结构的形成方法
US20070010085A1 (en) Semiconductor device and fabrication method thereof
KR100966385B1 (ko) 반도체 소자의 제조 방법
KR100613573B1 (ko) 반도체 소자의 제조방법
TWI305945B (en) Method of fabricating dual damascene structure
CN106783730B (zh) 一种形成空气隙/铜互连的方法