TWI744548B - 半導體元件及絕緣層之製造方法 - Google Patents

半導體元件及絕緣層之製造方法 Download PDF

Info

Publication number
TWI744548B
TWI744548B TW107129673A TW107129673A TWI744548B TW I744548 B TWI744548 B TW I744548B TW 107129673 A TW107129673 A TW 107129673A TW 107129673 A TW107129673 A TW 107129673A TW I744548 B TWI744548 B TW I744548B
Authority
TW
Taiwan
Prior art keywords
insulating layer
layer
conductive
conductive structure
recess
Prior art date
Application number
TW107129673A
Other languages
English (en)
Other versions
TW201913883A (zh
Inventor
程仲良
畢詩偉
陳彥羽
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201913883A publication Critical patent/TW201913883A/zh
Application granted granted Critical
Publication of TWI744548B publication Critical patent/TWI744548B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種半導體元件包含:具有數個側壁與底面之第一導電結構,第一導電結構延伸穿過形成在基材上的一或多個隔離層;以及設於第一導電結構之至少一側壁與一或多個隔離層之各自側壁之間的絕緣層,其中第一導電結構至少透過底面電性耦合於第二導電結構。

Description

半導體元件及絕緣層之製造方法
本揭露實施例是有關於一種半導體技術,且特別是有關於一種半導體元件及絕緣層之製造方法。
半導體產業已經在追求具有更低成本的更高源件密度方面取得了重大進展。半導體元件[例如,積體電路(IC)]、材料、和設計中的技術進步已經產出越來越小與更複雜的電路。在半導體元件的進程中,功能密度(例如,每晶片面積之互連元件的數量)已普遍增加,而幾何尺寸已減小。此種尺寸縮減製程通常藉由提高生產效率和降低相關成本來提供益處。
然而,增加的功能密度已經增加了半導體元件的複雜性,例如藉由縮減互連元件之間的距離,以及每晶片面積的層數。因此,至少導因於互連元件及/或層之間縮減的距離(以及互連元件及/或層增加的數量),在半導體處理期間存在更大的每晶片面積不合格機會。
因此,傳統的半導體元件製造和處理不是完全令人滿意的。
依照一實施例,本揭露揭示一種半導體元件包含:第一導電結構具有數個側壁與底面,第一導電結構延伸穿過形成於基材上之一或數個隔離層;以及絕緣層設於第一導電結構之至少一側壁與上述一或數個隔離層之各自側壁之間,其中第一導電結構至少透過底面電性耦合至第二導電結構。
依照一實施例,本揭露揭示一種絕緣層之製造方法,包含:沉積第一層;蝕刻第一層,以形成凹陷延伸穿過第一層;沉積絕緣層於凹陷上,此絕緣層包含不導電材料,其中沉積絕緣層包含沿著凹陷對殘留氧化物施加轉化媒介以形成絕緣層;以及從凹陷之底部移除絕緣層之一部分。
依照一實施例,本揭露揭示一種絕緣層之製造方法,包含:形成第一層;形成第二層於第一層上;形成第三層於第二層上;形成凹陷延伸穿過第一層、第二層、與第三層;形成絕緣層於凹陷上,此絕緣層包含不導電材料,其中形成絕緣層包含沿著凹陷對殘留氧化物施加轉化媒介以形成絕緣層;以及從凹陷之底部移除絕緣層。
100:方法
102:操作
104:操作
106:操作
108:操作
110:操作
112:操作
114:操作
116:操作
200:半導體元件
202:基材
204:導電特徵
206:導電結構
208:導電結構
210:導電結構
212:隔離層
214:隔離層
216:隔離層
218:阻障層
220:阻障層
222:阻障層
224:隔離層
226:隔離層
228:隔離層
230:側向導電結構
232:間隙壁
234:阻障層
235:頂面
236:凹陷
237:底部
238:可移除絕緣層
239:側壁
240:阻障層
242:凹陷導電結構
300:半導體元件
從以下結合所附圖式所做的詳細描述,可對本揭露之態樣有更佳的了解。需注意的是,根據業界的標準實務,各特徵並未依比例繪示。事實上,為了使討論更為清楚,各特徵的尺寸都可任意地增加或減少。
〔圖1〕係繪示依照一些實施方式的一種製造包含可移除絕緣層之半導體元件之示範方法的流程圖。
〔圖2A〕、〔圖2B〕、〔圖2C〕、〔圖2D〕、〔圖2E〕、〔圖2F〕、〔圖2G〕、以及〔圖2H〕係繪示依照一些實施方式的一種半導體元件在利用〔圖1〕之方法製造的各製作階段期間的剖面圖。
〔圖3〕係繪示依照一些實施方式的一種具有與導電特徵直接接觸之凹陷導電結構的半導體元件的剖面圖。
以下的揭露描述了許多示範實施方式,以實施標的之不同特徵。以下所描述之構件與安排的特定實施例係用以簡化本揭露。當然這些僅為實施例,並非用以作為限制。舉例而言,將了解的是,稱一部件「連接於」或「耦合於」另一部件時,第一特徵形成於第二特徵之上方或之上,可能包含第一特徵與第二特徵以直接接觸的方式形成的實施方式,此部件可能直接連接或耦合於其它部件,或者可能存在一或多個介於中間的部件。
此外,本揭露可能會在各實施例中重複參考數字及/或文字。這樣的重複係基於簡化與清楚之目的,以其 本身而言並非用以指定所討論之各實施方式及/或配置之間的關係。
另外,在此可能會使用空間相對用語,例如「在下(beneath)」、「下方(below)」、「較低(lower)」、「上方(above)」、「較高(upper)」與類似用語,以方便說明如圖式所繪示之一部件或一特徵與另一(另一些)部件或特徵的關係。除了在圖中所繪示之方向外,這些空間相對用詞意欲含括元件在使用或操作中的不同方位。設備可能以不同方式定位(旋轉90度或在其它方位上),因此可利用同樣的方式來解釋在此所使用之空間相對描述符號。
本揭露提供具有凹陷之可移除絕緣層之半導體元件的數個實施方式。凹陷可為在半導體處理期間形成的數個開口,這些開口延伸於半導體元件中,且填充有導電材料而形成導電構件(例如,介層窗)。可移除絕緣層可沿著凹陷的側壁(且因此沿著利用凹陷所形成之導電構件的側壁),以隔離此導電構件與其它導電構件(例如,避免不想要的導通,如水平相鄰的或側向之導電構件之間的短路或串擾)。
此外,可移除絕緣層可較其它類型之絕緣層(例如,由像是鈦鋁或氮化鈦等材料所製成之絕緣層)更絕緣及/或更容易移除,這些類型的絕緣層可沿著半導體元件的表面形成,在形成凹陷時半導體元件可能被暴露出。在特定實施方式中,透過將轉化媒介暴露於殘留氧化物(例如,當轉化媒介暴露於半導體元件時,已經存在半導體元件上的氧化物,例如來自隔離層之不導電材料)的方式,可形成可移除 絕緣層,其中殘留氧化物與轉化媒介反應而形成可移除絕緣層。因此,藉由輕易可移除(舉例而言,在所需導通區域,例如導電構件之間的介面),在將導電構件隔離於不想要的導通,例如短路與串擾時,可移除絕緣層可有助於導電構件的所需導通。
如將於以下更進一步討論的,可以逐層方式形成或處理半導體元件,其中每一層在前一層上沉積與圖案化。這些層(以及這些層形成於其上的至少一基材)可包含數個導電構件,如導電特徵(例如,摻雜井、電壓源極、或其它主動元件),這些導電特徵透過導電結構(例如介層窗或導線)互連。換句話說,導電構件包含導電特徵與導電結構,導電特徵為半導體元件中的主動元件,且可利用導電結構互連。導電構件可包含導電材料,且這些層可包含不導電材料(例如,絕緣材料),不導電材料可將導電構件彼此隔離。
在特定實施方式中,可移除絕緣層可包含不導電材料,此不導電材料於半導體處理期間沉積(且共形形成)在半導體元件之下層上(且可沉積在此下層之其它不導電材料上方)。雖然可移除絕緣層之不導電材料可能相同或可能不同,但在形成凹陷時,可移除絕緣層之不導電材料可特定形成。因此,利用可移除絕緣層之不導電材料可隔離沉積在凹陷中的導電材料,即使新形成的凹陷切過或毗鄰一側向導電構件(以下將進一步討論與說明)的導電材料。為了說明這點,當凹陷鄰接側向導電構件之導電材料時,凹陷可能暴露出此側向導電構件。以導電材料填充凹陷(並未先形成可移 除絕緣層於凹陷上),將於填充在凹陷中的導電材料與側向導電構件之導電材料之間產生短路。隨著半導體元件之間之距離的縮減(至少部分是因上述增加的功能密度),錯誤的機會也因此而增加(至少是因來自於在更小尺寸下相對更難之製作控制所造成之可能的製造缺陷或錯誤),可移除絕緣層變得越來越有利於半導體處理。
而且,在一些實施方式中,可藉由將凹陷暴露於轉化媒介的方式形成可移除絕緣層。轉化媒介可為一種媒介,其以共形方式在凹陷的表面上形成可移除絕緣層。在特定實施方式中,轉化媒介可為一種媒介,其與殘留氧化物(例如,半導體元件上的氧化物,如來自於隔離層之不導電材料的氧化物)反應,而生成可移除絕緣層。舉例而言,殘留氧化物可為來自隔離層之不導電材料的SiOx,其中x介於1~2之間,轉化媒介可為氫電漿,氫電漿(一旦暴露於殘留氧化物)反應而生產出隔離層來做為沿著凹陷之二氧化矽共形層。
可透過對可移除絕緣層實施移除製程的方式來移除可移除絕緣層。在一些實施方式中,此移除製程可包含指向性的對部分之可移除絕緣層施加移除媒介,例如氬氣電漿。舉例而言,可對要移除之絕緣層的部分施加(指向性的從可移除絕緣層的上方)指向性氬氣電漿。藉由覆蓋罩幕於可移除絕緣層上以暴露出可移除絕緣層之欲移除的部分,接著經由罩幕對暴露之絕緣層施加移除媒介,來進行此指向性實施。替代性地,可藉由直接控制移除媒介推出器來將可移 除媒介導向可移除絕緣層之欲移除部分的方式(沒有使用中間的罩幕,此罩幕暴露出可移除絕緣層之欲移除部分,而遮蔽可移除絕緣層之不欲移除的其它部分)來進行指向性實施。
有利的,在多個實施方式中,可移除絕緣層可較其它類型之可隔離導電材料的傳統保護層,例如一層鈦鋁或氮化鈦,更容易移除及/或提供更好的絕緣性。舉例而言,移除製程的實施可較實施於其它類型之可隔離導電材料的傳統保護層的傳統移除製程,更快速(每單位時間更大量的材料移除)、更有效率(每單位時間更大比例的總材料移除)、或更便宜(每單位時間較便宜的操作成本)移除部分之可移除絕緣層。因此,可移除絕緣層可防止不同導電構件(例如,導電特徵,如摻雜井、電壓源極、閘極、或其它主動元件;以及導電結構,如介層窗或導線)之間不想要的接觸。舉例而言,可移除絕緣層可防止閘極結構與導線之間的接觸,如以下所做的說明。
將進一步說明如下,間隙壁可鄰接側向導電構件,以將側向導電構件與凹陷隔開。這些間隙壁可包含不導電材料且可沿著側向導電構件之側壁的數個部分設置。然而,這些間隙壁可能沒有覆蓋側向導電構件之整個剖視表面。此可能是因為側向導電構件一般係配置以與另一導電構件耦合(或接觸),不同於導電構件係利用半導體元件中某處的凹陷來製作。因此,側向導電構件在側向導電構件用以導電耦合的部分上可能沒有被間隙壁覆蓋。而且,隨著導電構 件之間距離的縮減,間隙壁之尺寸亦可能縮減,而在隔開側向導電構件與凹陷上變得較沒作用或無效果。此外,凹陷可能形成為切入側向導電構件。因此,於特定的實施方式中,在隔離側向導電構件與沉積於凹陷中的導電構件上,可移除保護層可較間隙壁更有效果。
圖1係繪示依照本揭露之一或多個實施方式之一種製造半導體元件之方法100的流程圖。值得注意的是,方法100僅是一個例子,並非用以限制本揭露。因此,可了解的是,可在圖1之方法之前、期間與之後加入其它操作,且可省略一些特定操作,而在此可能僅簡略描述其它操作。
在一些實施方式中,方法100之操作可與分別如圖2A、圖2B、圖2C、圖2D、圖2E、圖2F、圖2G、與圖2H所示之半導體元件在各製作階段之剖面圖有關,並將更詳細討論如下。
現請參照圖1,方法100始於操作102,其形成具有至少一導電特徵之半導體基材。方法100繼續進行至操作104,其形成中間導電結構(可為一種導電構件類型)於半導體基材上方之隔離層中。方法100繼續進行至操作106,其形成側向導電結構(可為一種側向導電構件類型)於半導體元件之隔離層中。方法100繼續進行至操作108,以蝕刻隔離層而形成凹陷,此凹陷與半導體基材之至少一導電特徵導通。方法100繼續進行至操作110,形成可移除絕緣層覆蓋凹陷。方法100繼續進行至操作112,根據所需圖案(例如,罩幕圖案)移除可移除絕緣層之數個部分,例如藉由移 除在凹陷底部的部分。方法100繼續進行至操作114,沿著凹陷之暴露側壁形成阻障層覆蓋可移除絕緣層。方法100繼續進行至操作116,利用將導電材料填入凹陷的方式於凹陷中形成凹陷導電結構。
如上所述,圖2A至圖2H係繪示半導體元件200之各部分在圖1之方法100的各個製造階段的剖面圖。半導體元件200可包含,可包含於,或可為微處理器、記憶晶胞、晶圓、及/或其它積體電路(IC)。而且,為了更了解本揭露之概念,圖2A至圖2H有簡化。舉例而言,雖然這些圖式繪示半導體元件200,可了解的是積體電路可能包含許多其它元件,例如電阻、電容、電感、保險絲等等,而為了清楚圖示,這些並未繪示在圖2A至圖2H中。在特定實施方式中,導電特徵204可為主動元件之閘極或接觸。
圖2A係繪示依照一些實施方式之一種包含基材202之半導體元件200在數個製造階段中之一對應於圖1之操作102的剖面圖,基材202具有至少一導電特徵204。雖然圖2A之例示實施方式中的半導體元件200僅包含一個導電特徵(例如,導電特徵204),可了解的是圖2A與接下來之圖式所例示之實施方式僅供說明。因此,在本揭露的範圍內,半導體元件200可包含任何所需數量的導電特徵。
在一些實施方式中,基材202包含矽基材。基材202可替代地包含其它元素半導體材料,例如鍺。基材202亦可包含化合物半導體,例如碳化矽、砷化鎵、砷化銦、與磷化銦。基材202可包含合金半導體,例如矽鍺、碳化矽鍺、 磷化鎵砷、與磷化鎵銦。在一些實施方式中,基材202包含磊晶層。舉例而言,基材可具有覆蓋塊狀半導體之磊晶層。此外,基材202可包含絕緣體上半導體(SOI)結構。舉例而言,基材可包含一製程形成之埋入氧化(BOX)層,此製程可例如為氧離子植入矽晶隔離(SIMOX)或其它適合技術,例如晶圓接合與研磨。
在一些實施方式中,基材202亦包含數個p型摻雜區及/或n型摻雜區,這些摻雜區利用例如離子植入及/或擴散製程製作。這些摻雜區包含n型井、p型井、輕摻雜區(LDD)、重摻雜源極與汲極(S/D)、以及配置以形成數個主動元件(或積體電路元件)之數個通道摻雜輪廓,這些主動元件例如互補式金氧半場效電晶體(CMOSFET)、影像感測器、及/或發光二極體(LED)。基材202可進一步包含其它元件(功能性特徵),例如形成在基材中或上的電阻或電容。基材202進一步包含側向隔離特徵,提供以隔離形成於基材202中的各元件。在一實施方式中,利用淺凹陷隔離(STI)特徵來側向隔離。各元件更包含設於源極/汲極、閘極、與其它元件特徵上之金屬矽化物,以在耦合至輸出與輸入訊號時降低接觸電阻。
在一些實施方式中,導電特徵204可為電晶體元件的源極、汲極、或閘極電極。替代的,導電特徵204可為設於源極、汲極、或閘極電極上的金屬矽化物特徵。可利用自我對準金屬矽化(一般已知為「金屬矽化」)技術來形成 金屬矽化物特徵。在另一實施方式中,導電特徵204可包含電容之一電極或電阻之一端。
圖2B係繪示依照一些實施方式之一種包含中間導電結構206、208、與210之半導體元件200在數個製造階段中之一對應於圖1之操作104的剖面圖。如上所述,導電結構可為導電特徵(半導體元件中之主動元件)之內連線。而且,導電結構與導電特徵在此通常均可稱為導電構件。中間導電結構可包含垂直的導電結構206與210(例如,介層窗)以及水平的導電結構208(例如,導線)。為求清晰,這些中間導電結構描述為「中間」,因為它們位於導電特徵204與凹陷之間(且協助在之間形成導電路徑),凹陷於下會有更詳細的描述。
如圖所示,中間垂直導電結構206和210可垂直延伸穿過各自的隔離層212與216而耦合至其它導電構件,例如導電特徵204或中間水平導電結構208。隔離層212與216及以下將討論的其它隔離層亦可稱為介電層。隔離層可在半導體元件中之導電構件之間形成不導電(例如,介電質)間隔或隔離。而且,如以下將進一步討論的,中間水平導電結構208可水平延伸(例如,一段相應寬度)穿過隔離層214而耦合至其它導電構件,例如中間垂直導電結構206與210。在一些實施方式中,中間水平導電結構208可較中間垂直導電結構206與210寬。在特定實施方式中,鄰近於導電特徵204之中間垂直導電結構206可為導電插塞。在另外一些實施方式中,半導體元件200可包含阻障層218、220、 與222各自圍繞中間導電結構206、208、與210之側壁與底面。舉例而言,在特定實施方式中可能沒有中間導電結構。
雖然圖2B所示之實施方式中的半導體元件200包含位於三個隔離層216、214、與212中的三個中間導電結構206、208、與210,可了解的是,圖2B與接下來的圖式所示之實施方式僅供例示用。因此,在本揭露的範圍內,半導體元件200可包含位於任何所需數量的隔離層中的任何所需數量的導電結構。
隔離層可包含不導電材料,此不導電材料為氧化矽、低介電常數(low-k)材料、其它合適的介電材料、或其組合之至少一者。低介電常數材料可包含氟矽玻璃(FSG)、磷矽玻璃(PSG)、硼磷矽玻璃(BPSG)、碳摻雜之氧化矽(SiOxCy)、黑鑽石(Black Diamond®)(加州聖塔克拉拉之應用材料公司)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶氟碳、聚對二甲苯(Parylene)、雙苯基環丁烯(bis-benzocyclobutenes,BCB)、SiLK(密西根州米德蘭之陶氏化學公司)、及/或其它未來發展出之低介電常數介電材料。
在一些實施方式中,中間導電結構210、208、與206可包含導電材料,如金屬,或例如銅(Cu)、鎢(W)、或其組合。在一些其它實施方式中,在本揭露之範圍內,中間導電結構210、208、與206可包含其它合適導電材料[例如,像是金(Au)、鈷(Co)、銀(Ag)等金屬材料及/或導電材料(例如,多晶矽)]。
在一些實施方式中,阻障層218、220、與222可包含阻障材料,這些阻障材料提升(例如,改善)導電材料之導電性,且可在形成導電結構或導電特徵的沉積製程期間有效防止(例如,阻擋)金屬原子從導電材料擴散至不導電材料。阻障材料的例子包含氮化鉭(TaN)、鉭(Ta)、氮化鈦(TiN)、鈦(Ti)、鈷鎢合金(CoW)、氮化鎢(WN)、或其相似物。
在下面的討論中,關於導電結構206與208可能或可能沒有包含其對應之阻障層218與220作為對應導電結構206與208的一部分。在數個實施方式中,阻障層並未改變其對應鄰接之導電結構的功能,除了提升鄰接導電結構之材料特性以外。
可利用下列製程步驟中的至少一些步驟來分別形成中間垂直導電結構206與210,這些製程步驟為:利用化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、旋轉塗布、及/或其它適合技術來沉積不導電材料於(例如,分別位於基材202與導電特徵204上方,或位於隔離層214與中間水平導電結構208上方),以形成初始第一隔離層(隔離層為後續進行之圖案化製程後初始第一隔離層留下的部分);進行一或多道圖案化製程(例如,微影製程、乾/濕蝕刻製程、清潔製程、軟/硬烤製程等等),以形成開口穿過初始第一隔離層;利用CVD、PVD、ALD、及/或其它適合技術來沿著開口之底面與側壁沉積上述之阻障材料,以圍繞此開口;利用CVD、PVD、ALD、電子槍(E-gun)蒸 鍍、及/或其它適合技術來將導電材料填入開口,以及研磨掉過量的材料而形成中間垂直導電結構206與210。
如圖所示,中間水平導電結構208在隔離層214中水平延伸一段對應寬度。中間水平導電結構208可導電的耦合至中間垂直導電結構206與210。中間水平導電結構208可利用下列製程步驟中的至少一些來製作,這些製程步驟為:利用CVD、PVD、ALD、旋轉塗布、及/或其它適合技術來沉積不導電材料於隔離層212與中間垂直導電結構206上方,以形成初始第一隔離層(隔離層214為後續進行之圖案化製程後此初始第一隔離層留下的部分);進行一或多道圖案化製程(例如,微影製程、乾/濕蝕刻製程、清潔製程、軟/硬烤製程等等),以形成開口穿過初始第一隔離層;利用CVD、PVD、ALD、及/或其它適合技術來沿著開口之底面與側壁沉積上述之阻障材料,以圍繞此開口;利用CVD、PVD、ALD、電子槍蒸鍍及/或其它適合技術來將導電材料填入開口,以及研磨掉過量的材料而形成中間水平導電結構208。
圖2C係繪示依照一些實施方式之一種包含側向導電結構230之半導體元件200在數個製造階段中之一對應於圖1之操作106的剖面圖。在一些實施方式中,側向導電結構230可為導線且可為一種類型之側向導電構件(如上所述)。側向導電結構230可由與中間水平導電結構208相同(或不同)之導電材料所製成。而且,側向導電結構230沿其底部與側壁可被阻障層234所圍繞,阻障層234由與中間水 平導電結構208之阻障層220相同(或不同)阻障層材料所製成。
側向導電結構230可描述為「側向」是因其位於凹陷通過之隔離層226中,此將配合圖2D至圖2G說明於下。換句話說,可沿水平軸(相對於垂直軸)將側向導電結構230從凹陷移開,或從凹陷側向(相對於垂直)移開。此凹陷及其相對於側向導電結構230之方位將於下進一步討論。在數個實施方式中,側向導電結構230可與導電特徵,例如不同於導電特徵204之閘極或主動元件,導通。
可形成間隙壁232毗鄰側向導電結構230。如上所提出的,間隙壁可配置以將側向導電結構230與半導體元件200中的其它導電構件分開(如此側向導電結構230與其它導電構件分開)。然而,隨著導電構件之間的距離變得更小,以及隨著功能密度(例如,每個晶片面積之導電構件的數量)的增加,已證實這些間隙壁可能較沒作用且更難製造。
在特定實施方式中,間隙壁232可由間隙壁材料所組成,間隙壁材料可為不導電材料。依照數個實施方式,不導電間隙壁材料可為氮化矽(SiN)或其它適合的不導電材料,以將導電材料彼此分開隔開。
如圖所示,側向導電結構230與毗鄰之間隙壁232可形成在夾設於隔離層228與224之間的隔離層226中。如圖2C所示,隔離層224可形成並覆蓋在隔離層216上。如上所述,隔離層可在半導體元件中的導電構件之間形成不導電(例如,介電質)間隔或隔離。
水平導電結構230與間隙壁232可利用下列製程步驟中的至少一些來製作,這些製程步驟為:利用CVD、PVD、ALD、旋轉塗布、及/或其它適合技術來沉積不導電材料於隔離層224上方,以形成初始第一隔離層(隔離層226為後續進行之圖案化製程後此初始第一隔離層留下的部分);進行一或多道圖案化製程(例如,微影製程、乾/濕蝕刻製程、清潔製程、軟/硬烤製程等等),以形成開口穿過初始第一隔離層;利用CVD、PVD、ALD、及/或其它適合技術來沿著開口之底面與側壁沉積上述之間隙壁材料,以圍繞此開口;進行一或多道圖案化製程(例如,微影製程、乾/濕蝕刻製程、清潔製程、軟/硬烤製程等等),以圖案化間隙壁材料而形成間隙壁232;利用CVD、PVD、ALD、及/或其它適合技術來沿著開口之底面與側壁沉積上述之阻障材料,以圍繞此開口;利用CVD、PVD、ALD、電子槍蒸鍍及/或其它適合技術來將導電材料填入開口,以及研磨掉過量的材料而形成水平導電結構230。
圖2D係繪示依照一些實施方式之一種包含凹陷236之半導體元件200在數個製造階段中之一對應於圖1之操作108的剖面圖,凹陷236垂直延伸穿過隔離層224、226、與228。如圖所示,凹陷236的形成暴露出中間垂直導電結構210之頂面的一部分(在凹陷的底部237)。凹陷236亦具有沿著隔離層228、隔離層226、與隔離層224形成的側壁239。而且,凹陷236由隔離層228之頂面235被暴露出(即,打開)。
在一些實施方式中,因製程變異(例如在側向導電結構230或凹陷236之半導體處理期間的過度蝕刻或沒對準),凹陷236的形成可能暴露出部分之側向導電結構230。在這樣的實施方式中,除了暴露出部分之側向導電結構230之外,凹陷236的形成亦可能暴露出部分之間隙壁232。因此,嵌入半導體元件200中而毗鄰側向導電結構230(且隔開或保護)側向導電結構230免於不想要之短路的間隙壁232,在隔開或保護側向導電結構230不受沉積在鄰近凹陷中之導電材料影響上將沒有效果。
在一些實施方式中,製作凹陷236時,可利用光阻作為罩幕來進行一或多道乾/濕蝕刻製程,以將凹陷236往下蝕刻穿過隔離層228、226、與224至達中間垂直導電結構210之頂面。更具體的是,在隔離層228、226、與224之不導電材料包含氧化矽的實施方式中,可利用氫氟酸或其類似物來進行蝕刻隔離層228、226、與224之濕蝕刻製程;及/或可利用蝕刻劑氣體,例如四氟化碳(CF4)、三氟甲烷(CHF3)、二氟甲烷(CHF2)、八氟環丁烷(C4F8)、氬氣(Ar)、及/或氧氣(O2),來進行蝕刻隔離層228、226、與224之乾蝕刻製程。
圖2E係繪示依照一些實施方式之一種包含形成在凹陷236中之共形可移除絕緣層238的半導體元件200在數個製造階段中之一對應於圖1之操作110的剖面圖。如圖所示,可移除絕緣層238覆蓋隔離層228之頂面235,且 圍繞凹陷236(即,覆蓋凹陷236之底面237且沿著凹陷236的側壁239延伸)。
如上所述,可移除絕緣層238可包含不導電材料。雖然可移除絕緣層238與凹陷所穿設於其中的其他層(例如,隔離層228、226、與224)的不導電材料可相同,或可不同,可移除絕緣層238的不導電材料可在凹陷236形成時即席形成。因此,可移除絕緣層238的不導電材料可隔離沉積在凹陷236中的導電材料,即使新形成的凹陷切過(例如,暴露)一側向導電構件的導電材料。
而且,如上所述,可透過將凹陷暴露於轉化媒介的方式形成可移除絕緣層238。轉化媒介可為一種媒介,其以共形方式在凹陷的表面(例如,凹陷的側壁239與底部237)上形成可移除絕緣層。在特定實施方式中,轉化媒介可為一種媒介,其與殘留氧化物反應而生成可移除絕緣層。舉例而言,殘留氧化物可為在半導體元件上的氧化物,例如來自隔離層之不導電材料(例如,SiOx,其中x介於1~2之間)的氧化物,且轉化媒介可為氫電漿,氫電漿(一旦暴露於殘留氧化物)反應而生產出隔離層來做為沿著凹陷之二氧化矽共形層。
換句話說,更具體地,在特定實施方式中,殘留氧化物可為來自暴露隔離層(例如,隔離層228、隔離層226、或隔離層224)之不導電材料的氧化矽(SiOx)。可將轉化媒介(例如,氫電漿)施加於殘留氧化物,以形成矽+水(Si+H2O)。Si+H2O與氫電漿的附加可形成矽甲烷[例如, (SiOx+(2+x)H2<->SiH4+xH2O)]。可能為氣態型式的矽甲烷可與殘留水反應而形成二氧化矽+氫氣,其中二氧化矽與殘留水反應而沿著半導體元件的表面可共形地沉積。因此,二氧化矽為沿著半導體元件之表面(例如,沿著凹陷側壁239、凹陷底部237、以及沿著隔離層228之頂面235)共形形成的不導電材料,以形成可移除隔離層238。而且,藉由臨場(in-situ)加入氫電漿,介面氧對功函數金屬之比(例如,氧:鈦或氧:鎢)可低於0.8。此可與僅利用氬氣前清潔比較,其中僅利用氬氣前清潔之介面氧與功函數金屬的比(例如,氧:鈦或氧:鎢)等於或大於0.8。功函數金屬的例子可包含鈦(Ti)、鎢(W)、鋁(Al)、及其類似物。藉由以100%之氫電漿臨場清洗的方式,可有效改善氧對鈦之比。
在特定實施方式中,可移除絕緣層於半導體元件表面上的厚度可為約10Å至約20Å。然而,考慮其它厚度,例如從約1Å至約100Å使不導電隔離層在依照數個實施方式之不同應用的導電構件之間發揮功效。藉由供應更多(或施加更高濃度)的轉化媒介及/或藉由改變對半導體元件施加轉化媒介的時間,可改變此厚度。在一些實施方式中,於氬氣電漿轟擊後,凹陷側壁之底部部分可具有略厚於凹陷側壁之其它部分(例如,相較於凹陷側壁之上部部分)的絕緣材料。
在特定實施方式中,可藉由在電漿(即,電中性高度電離之氣體)存在的真空反應室中的電漿處理,來沉積(且如下所述,移除)可移除絕緣層。在電漿處理中,可利用 射頻(FR)產生器來激發與維持可能穩定或可能不穩定的電漿。為了利用電漿來處理,這些射頻產生器可對電漿的變化特性反應(且補償)。
在特別的實施方式中,可藉由在約100℃至約200℃下,將氫電漿暴露時間由約40秒改變為約60秒的方式,來沉積可移除絕緣層。利用約800W至約900W的主射頻產生器(例如,RF2)在約1MHz至約3MHz下電離氫氣源(在約100%濃度下),可產生氫氣電漿。在一些實施方式中,可在約20SCCM至約100SCCM的流率下提供氫氣源。同時或隨後,利用連接於在約12MHz至約15MHz之約100W~150W之基材背面的二次射頻產生器(例如,RF1)來引導氫電漿。此可在總壓力為約3mtorr至約6mtorr下進行。由二次射頻產生器(例如,RF1)所導引之電漿方向可垂直施加在半導體元件200上,以沉積(即,形成)可移除絕緣層238。
圖2F係繪示依照一些實施方式之一種包含圖案化之共形可移除絕緣層238的半導體元件200在數個製造階段中之一對應於圖1之操作110的剖面圖。如圖所示,可移除絕緣層238位於半導體元件200之表面上,且被圖案化成僅覆蓋由凹陷236所形成在半導體元件200中之開口的側壁239。換句話說,移除了可移除絕緣層238之底部237,且(選擇性地)可移除絕緣層238位於隔離層228之頂面235上的部分可被移除。
如上所提,藉由對可移除絕緣層238施加移除製程,可圖案化可移除絕緣層238。此移除製程可包含供應移除媒介,例如氬氣電漿,指向存在圖2E但在圖2F中已遭移除的可移除絕緣層238的部分。舉例而言,可從可移除絕緣層238上指向性地朝要移除之絕緣層的部分施加氬氣電漿。可藉由覆蓋罩幕以暴露要移除之可移除絕緣層的部分,接著經由罩幕對暴露之絕緣層施加移除媒介的方式,進行此指向實施。替代性地,可藉由直接控制移除媒介推出器來將可移除媒介導向欲移除之可移除絕緣層238之部分的方式(沒有使用罩幕)來進行指向性實施。
有利的是,可移除絕緣層238可較其它類型之可隔離導電材料的傳統保護層容易移除,例如傳統保護層由如鈦鋁或氮化鈦之材料所組成。舉例而言,此移除製程的應用可較應用於其它可隔離導電材料之傳統保護層的傳統移除製程更快、更有效率、或更便宜地移除部分之可移除絕緣層238。
在特定實施方式中,可利用上述之電漿處理移除可移除絕緣層。具體地,可藉由施加使用具約250W至約300W之主射頻產生器(例如,RF2)在約1MHz至約3MHz所產生之氬氣電漿,移除可移除絕緣層。同時或隨後,可利用連接於具約400W至約450W之基材背面的二次射頻產生器(例如,RF1),在約12MHz至約14MHz下以約5SCCM至約20SCCM的流率導引氬氣。此可在總壓力為約2mtorr至約3mtorr下進行。由二次射頻產生器(例如,RF1)所導引 之電漿方向可垂直施加在半導體元件200上,以從凹陷236之底部237(以及選擇性地,隔離層228之頂部)移除可移除絕緣層238。
圖2G係繪示依照一些實施方式之一種包含選擇性的阻障層240之半導體元件200在數個製造階段中之一對應於圖1之操作114的剖面圖。如圖所示,沿著凹陷236所形成之開口形成阻障層240。
如上所述,阻障層240可包含阻障材料,阻障材料,這些阻障材料提升導電材料之導電性,且可在形成導電結構或導電特徵的沉積製程期間有效防止金屬原子從導電材料擴散至不導電材料。阻障材料的例子包含氮化鉭(TaN)、鉭(Ta)、氮化鈦(TiN)、鈦(Ti)、鈷鎢合金(CoW)、氮化鎢(WN)、或其相似物。可利用CVD、PVD、ALD、及/或其它適合技術來沿著開口之底面與側壁沉積上述之阻障材料,以圍繞此開口的方式來形成阻障層。
圖2H係繪示依照一些實施方式之一種包含導電材料的沉積以於凹陷236中形成凹陷導電結構242之半導體元件200在數個製造階段中之一對應於圖1之操作116的剖面圖。如上所述,導電材料可包含非金屬導電材料(例如,多晶矽)及/或金屬導電材料,例如銅(Cu)、鎢(W)、金(Au)、鈷(Co)、與銀(Ag)。如圖所示,以導電材料填充凹陷236,而形成凹陷導電結構242(例如,位於凹陷236中的導電結構)。凹陷導電結構242可透過中間垂直導電結構210、中間水平導電結構208、以及中間垂直導電結構206而與導電特 徵204導通。凹陷導電結構242可為垂直導電結構,例如介層窗,其延伸穿過隔離層228、226、與224。凹陷導電結構242亦可與側向導電結構230隔離(藉由鄰接凹陷236之側壁239的可移除絕緣層238)。換句話說,凹陷導電結構242會與側向導電結構230發生短路,但不會與可移除絕緣層238發生短路。
在特定實施方式中,凹陷導電結構242的製作可利用CVD、PVD、ALD、電子槍蒸鍍、及/或其它適合技術,將導電材料填入圖2G之凹陷236所形成且位於阻障層240之頂部上的剩餘開口中,以及研磨掉過量的材料而形成圖2H之凹陷導電結構242。
圖3係繪示依照一些實施方式之一種具位於凹陷236中且與導電特徵204直接接觸之凹陷導電結構242之半導體元件300的剖面圖。如上所述,在特定實施方式中,可能沒有中間導電結構介於凹陷導電結構242與導電特徵204之間。因此,凹陷導電結構242可與導電特徵204直接導通。凹陷導電結構242與導電特徵204直接接觸的實施方式之許多構件與製作階段類似於上述實施方式,除了中間導電結構,例如如上結合圖2B所做的說明,並沒有位於凹陷導電結構242與導電特徵204之間。因此,為了簡潔之故,凹陷導電結構242與導電特徵204直接接觸的實施方式之各構件與製作階段在此不重述。
在一實施方式中,一種半導體元件包含:第一導電結構具有數個側壁與底面,第一導電結構延伸穿過形成 於基材上之一或數個隔離層;以及絕緣層設於第一導電結構之至少一側壁與上述一或數個隔離層之各自側壁之間,其中第一導電結構至少透過底面電性耦合至第二導電結構。
依據本發明之一實施例,第一導電結構為閘極。
依據本發明之一實施例,半導體元件更包含間隙壁,毗鄰設於上述一或數個隔離層之一者的一側向導電結構,其中間隙壁介於側向導電結構與絕緣層之間。
依據本發明之一實施例,間隙壁與絕緣層分開。
依據本發明之一實施例,側向導電結構為導線。
依據本發明之一實施例,絕緣層鄰接側向導電結構。
依據本發明之一實施例,第一導電結構與第二導電結構包含數個介層窗。
依據本發明之一實施例,第一導電結構包含阻障層。
在另一實施方式中,一種絕緣層之製造方法,包含:沉積第一層;蝕刻第一層,以形成凹陷延伸穿過第一層;沉積絕緣層於凹陷上,絕緣層包含不導電材料;以及從凹陷之底部移除絕緣層之一部分。
依據本發明之一實施例,此方法更包含對凹陷之底部施加指向性的氬氣電漿,以移除絕緣層。
依據本發明之一實施例,此方法更包含形成側向導電結構於第一層中,其中側向導電結構與絕緣層接觸。
依據本發明之一實施例,此方法更包含形成間隙壁毗鄰側向導電結構,其中間隙壁位於側向導電結構與絕緣層之間。
依據本發明之一實施例,間隙壁與絕緣層分開。
依據本發明之一實施例,此方法更包含沿著凹陷對殘留氧化物施加轉化媒介以形成絕緣層,其中施加轉化媒介產生介面氧對功函數金屬之比小於0.8,其中功函數金屬包含鈦、鎢、與鋁中的一者。
依據本發明之一實施例,施加轉化媒介包含以100%之氫電漿臨場清潔。
依據本發明之一實施例,此方法更包含沿著凹陷對殘留氧化物施加氫電漿。
依據本發明之一實施例,絕緣層包含二氧化矽。
依據本發明之一實施例,第一層包含殘留氧化物。
在又一實施方式中,一種方法,包含:形成第一層;形成第二層於第一層上;形成第三層於第二層上;形成凹陷延伸穿過第一層、第二層、與第三層;形成絕緣層於凹陷上,絕緣層包含不導電材料;以及從凹陷之底部移除絕緣層。
依據本發明之一實施例,此方法更包含形成側向導電結構於第二層中,其中側向導電結構與絕緣層接觸;以及以導電材料填充凹陷。
上述已概述數個實施方式的特徵,因此熟習此技藝者可更了解本揭露之態樣。熟習此技藝者應了解到,其可輕易地利用本揭露作為基礎,來設計或潤飾其他製程與結構,以實現與在此所介紹之實施方式相同之目的及/或達到相同的優點。熟習此技藝者也應了解到,這類對等架構並未脫離本揭露之精神和範圍,且熟習此技藝者可在不脫離本揭露之精神和範圍下,在此進行各種之更動、取代與修改。
條件性語言,諸如尤其是「可以」、「能夠」、「可能」、或「可」,除非以其他方式特別說明,否則在上下文中應理解為一般用於傳達特定實施方式包含特定特徵、構件、及/或步驟,而其他實施方式則不包含。因此,這樣的條件性語言通常不意欲暗示特徵、構件、及/或步驟以任何方式對於一或多個實施方式是必需的,或者一或多個實施方式必然包含用於在有或者沒有使用者輸入或提示的情況下決定這些特徵、構件、及/或步驟是否包含在任何特定實施例中或將在任何特定實施例中執行。
除非另有特別說明,否則析取語言如用語「X、Y、或Z中的至少一個」被結合一般使用的語境理解為表示項目、術語等可為X、Y、或Z,或其任何組合(例如,X、Y、及/或Z)。因此,這樣的析取語言通常不意欲並且不應暗示特定實施方式要求各自存在至少一個X、至少一個Y、或至少一個Z。
應當強調的是,可以對上述實施方式進行許多變化和修改,這些實施例中的構件將被理解為在其它可接受 的例子中。所有這樣的修改和變化在此意欲被包含在本揭露的範疇內並由所附申請專利範圍保護。
200:半導體元件
202:基材
204:導電特徵
206:導電結構
208:導電結構
210:導電結構
212:隔離層
214:隔離層
216:隔離層
218:阻障層
220:阻障層
222:阻障層
224:隔離層
226:隔離層
228:隔離層
230:側向導電結構
232:間隙壁
234:阻障層
235:頂面
236:凹陷
237:底部
238:可移除絕緣層
239:側壁
240:阻障層
242:凹陷導電結構

Claims (10)

  1. 一種半導體元件,包含:一第一導電結構,具有複數個側壁與一底面,該第一導電結構延伸穿過形成於一基材上之一或複數個隔離層;以及一絕緣層,設於該第一導電結構之至少一該些側壁與該或該複數個隔離層之各自側壁之間,其中該絕緣層提供一介面氧對一功函數金屬之比小於0.8,該功函數金屬包含鈦、鎢、與鋁中的一者,其中該第一導電結構至少透過該底面電性耦合至一第二導電結構。
  2. 如請求項1所述之半導體元件,其中該半導體元件更包含一間隙壁,毗鄰設於該或該複數個隔離層之一者的一側向導電結構,其中該間隙壁介於該側向導電結構與該絕緣層之間。
  3. 一種絕緣層之製造方法,包含:沉積一第一層;蝕刻該第一層,以形成一凹陷延伸穿過該第一層;沉積一絕緣層於該凹陷上,該絕緣層包含一不導電材料,其中沉積該絕緣層包含沿著該凹陷對一殘留氧化物施加一轉化媒介以形成該絕緣層;以及從該凹陷之一底部移除該絕緣層之一部分。
  4. 如請求項3所述之方法,更包含形成一側向導電結構於該第一層中,其中該側向導電結構與該絕緣層接觸。
  5. 如請求項3所述之方法,其中施加該轉化媒介產生一介面氧對一功函數金屬之比小於0.8,該功函數金屬包含鈦、鎢、與鋁中的一者。
  6. 如請求項3所述之方法,其中施加該轉化媒介包含以100%之氫電漿臨場清潔。
  7. 如請求項3所述之方法,更包含沿著該凹陷對一殘留氧化物施加氫電漿。
  8. 一種絕緣層之製造方法,包含:形成一第一層;形成一第二層於該第一層上;形成一第三層於該第二層上;形成一凹陷延伸穿過該第一層、該第二層、與該第三層;形成一絕緣層於該凹陷上,該絕緣層包含一不導電材料,其中形成該絕緣層包含沿著該凹陷對一殘留氧化物施加一轉化媒介以形成該絕緣層;以及從該凹陷之一底部移除該絕緣層。
  9. 如請求項8所述之方法,其中施加該轉化媒介產生一介面氧對一功函數金屬之比小於0.8,該功函數金屬包含鈦、鎢、與鋁中的一者。
  10. 如請求項8所述之方法,其中施加該轉化媒介包含以100%之氫電漿臨場清潔。
TW107129673A 2017-08-30 2018-08-24 半導體元件及絕緣層之製造方法 TWI744548B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762552256P 2017-08-30 2017-08-30
US62/552,256 2017-08-30
US16/058,290 2018-08-08
US16/058,290 US10923416B2 (en) 2017-08-30 2018-08-08 Interconnect structure with insulation layer and method of forming the same

Publications (2)

Publication Number Publication Date
TW201913883A TW201913883A (zh) 2019-04-01
TWI744548B true TWI744548B (zh) 2021-11-01

Family

ID=65437963

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107129673A TWI744548B (zh) 2017-08-30 2018-08-24 半導體元件及絕緣層之製造方法

Country Status (3)

Country Link
US (3) US10923416B2 (zh)
CN (1) CN109427735B (zh)
TW (1) TWI744548B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6362012B1 (en) * 2001-03-05 2002-03-26 Taiwan Semiconductor Manufacturing Company Structure of merged vertical capacitor inside spiral conductor for RF and mixed-signal applications
TW201643994A (zh) * 2015-06-11 2016-12-16 旺宏電子股份有限公司 電路與形成其之方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6326301B1 (en) * 1999-07-13 2001-12-04 Motorola, Inc. Method for forming a dual inlaid copper interconnect structure
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6867073B1 (en) * 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP2006032864A (ja) * 2004-07-21 2006-02-02 Sony Corp 多層配線構造と多層配線構造を有する半導体装置とこれらの製造方法
DE102007046846A1 (de) * 2007-09-29 2009-04-09 Advanced Micro Devices, Inc., Sunnyvale Seitenwandschutzschicht
JP2009147096A (ja) * 2007-12-14 2009-07-02 Panasonic Corp 半導体装置及びその製造方法
CN101728314A (zh) * 2008-10-24 2010-06-09 和舰科技(苏州)有限公司 一种新型的金属蚀刻方法
US8242604B2 (en) * 2009-10-28 2012-08-14 International Business Machines Corporation Coaxial through-silicon via
US9034770B2 (en) * 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US10163792B2 (en) * 2014-07-28 2018-12-25 Qualcomm Incorporated Semiconductor device having an airgap defined at least partially by a protective structure
US9991200B2 (en) * 2014-09-25 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Air gap structure and method
CN105990218A (zh) * 2015-01-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP2016225471A (ja) * 2015-05-29 2016-12-28 株式会社東芝 半導体装置および半導体装置の製造方法
US9613826B2 (en) 2015-07-29 2017-04-04 United Microelectronics Corp. Semiconductor process for treating metal gate
KR20170023643A (ko) * 2015-08-24 2017-03-06 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102301249B1 (ko) * 2015-11-16 2021-09-10 삼성전자주식회사 반도체 장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6362012B1 (en) * 2001-03-05 2002-03-26 Taiwan Semiconductor Manufacturing Company Structure of merged vertical capacitor inside spiral conductor for RF and mixed-signal applications
TW201643994A (zh) * 2015-06-11 2016-12-16 旺宏電子股份有限公司 電路與形成其之方法

Also Published As

Publication number Publication date
CN109427735B (zh) 2022-03-08
US10923416B2 (en) 2021-02-16
US20190067188A1 (en) 2019-02-28
US11664308B2 (en) 2023-05-30
US20210143098A1 (en) 2021-05-13
TW201913883A (zh) 2019-04-01
US20230253309A1 (en) 2023-08-10
CN109427735A (zh) 2019-03-05

Similar Documents

Publication Publication Date Title
TWI706508B (zh) 半導體裝置的製造方法及結構
US10658270B2 (en) Semiconductor structure and method making the same
US9972529B2 (en) Method of forming metal interconnection
US9224643B2 (en) Structure and method for tunable interconnect scheme
TWI717410B (zh) 半導體結構、製造其的方法及製造密封環結構的方法
US10535603B2 (en) Method of forming interconnection structure
TWI685063B (zh) 內連線結構的形成方法、半導體結構的形成方法以及積體電路
TWI742029B (zh) 半導體裝置之形成方法
TW201733002A (zh) 場效電晶體元件
TW202021002A (zh) 半導體結構及其形成方法
TW201735101A (zh) 半導體裝置及其形成方法
KR20190064375A (ko) 도전성 피처 형성 및 구조물
TWI595597B (zh) 形成金屬內連接之方法
US10256089B2 (en) Replacement contact cuts with an encapsulated low-K dielectric
TWI740459B (zh) 半導體裝置的製造方法
TW202236414A (zh) 半導體結構及其製造方法
TWI744548B (zh) 半導體元件及絕緣層之製造方法
JP3677755B2 (ja) 半導体装置及びその製造方法
US11967622B2 (en) Inter block for recessed contacts and methods forming same
US10453794B2 (en) Interconnect structure for semiconductor devices
TW201911474A (zh) 半導體裝置及其製造方法
TW202249181A (zh) 半導體裝置及其製造方法
TWI832320B (zh) 形成具有接觸特徵之半導體裝置的方法
TWI833591B (zh) 具有漏斗狀互連之金屬結構的製備方法
TW202141691A (zh) 互連結構及其製造方法