TWI740459B - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法 Download PDF

Info

Publication number
TWI740459B
TWI740459B TW109112938A TW109112938A TWI740459B TW I740459 B TWI740459 B TW I740459B TW 109112938 A TW109112938 A TW 109112938A TW 109112938 A TW109112938 A TW 109112938A TW I740459 B TWI740459 B TW I740459B
Authority
TW
Taiwan
Prior art keywords
opening
layer
etching
contact
source
Prior art date
Application number
TW109112938A
Other languages
English (en)
Other versions
TW202040749A (zh
Inventor
黃俊賢
鍾長廷
林韋誠
林威戎
張志維
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202040749A publication Critical patent/TW202040749A/zh
Application granted granted Critical
Publication of TWI740459B publication Critical patent/TWI740459B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

在此揭露形成鰭式場效電晶體裝置之源極/汲極區的接觸件和閘極堆疊的接觸插塞的方法。此方法包含蝕刻接觸開口穿過介電層以露出第一源極/汲極接觸件的表面,以及修復沿著接觸開口之側壁表面和沿著介電層之平坦表面的氧化矽結構,以避免隨後選擇性沉積導電填充材料期間和隨後蝕刻其他接觸開口期間發生選擇性損失的缺陷。此方法更包含實施選擇性由下至上之導電填充材料的沉積以形成第二源極/汲極接觸件。根據一些方法,一旦形成第二源極/汲極接觸件,就可以在閘極堆疊上形成接觸插塞。

Description

半導體裝置的製造方法
本發明實施例是關於半導體製造技術,特別是有關於包含修復開口側壁之半導體裝置的製造方法。
半導體裝置用於各種電子應用中,舉例來說,例如個人電腦、手機、數位相機和其他電子設備。半導體裝置的製造通常藉由在半導體基底上方依序沉積絕緣層或介電層、導電層和半導體層的材料,並使用微影將這些不同材料層圖案化,以在半導體基底上形成電路組件和元件。
半導體產業藉由持續縮減最小部件尺寸來持續提升各種電子部件(例如電晶體、二極體、電阻器、電容器等)的積體密度,這允許將更多部件整合至特定區域中。然而,隨著最小部件尺寸縮減,產生應被解決的其他問題。
根據本發明實施例中的一些實施例,提供半導體裝置的製造方法。此方法包含形成第一開口穿過第一源極/汲極接觸件上的介電層,第一開口 之側壁受損。此方法也包含利用氧化環境對第一開口受損之側壁進行修復,以及使用具方向性的沉積製程在第一開口內沉積第一導電填充材料。
根據本發明實施例中的一些實施例,提供半導體裝置的製造方法。此方法包含蝕刻出第一導孔開口穿過絕緣層以暴露出鰭式場效電晶體裝置的源極/汲極區的導電接觸件的表面,第一導孔開口之側壁表面在蝕刻出第一導孔開口期間受損。此方法也包含復原第一導孔開口受損之側壁,以及使用由下至上的沉積製程形成導電插塞穿過第一導孔開口,且導電插塞物理接觸導電接觸件。
根據本發明實施例中的一些實施例,提供半導體裝置的製造方法。此方法包含在鰭式場效電晶體裝置的源極/汲極區上形成第一金屬化層,以及在第一金屬化層上沉積蝕刻停止層。此方法也包含在蝕刻停止層上沉積隔離層,以及蝕刻出第一導孔開口穿過隔離層和蝕刻停止層以暴露出第一金屬化層的接觸面積,第一導孔開口之側壁表面處的氧化矽結構在蝕刻出第一導孔開口期間受損。此方法更包含重建第一導孔開口之側壁表面處的氧化矽結構,以及在第一導孔開口內沉積第一金屬柱,且第一金屬柱物理接觸第一金屬化層的接觸面積。此外,此方法包含在形成第一金屬柱之後,形成第二金屬柱穿過隔離層,且第二金屬柱物理接觸鰭式場效電晶體裝置的閘極電極。
100:鰭式場效電晶體裝置
101:基底
103:第一溝槽
105:第一隔離區
107:鰭片
109:閘極介電質
111:閘極堆疊
113:第一間隙物
117:源極/汲極區
119:第一層間介電層
121:第二層間介電層
123:蝕刻停止層
125:源極/汲極接觸件
127:第三層間介電層
129:表面點
201:第二蝕刻製程
203:第二接觸開口
209:表面
301:修復製程
309:表面
311:氧化層
401:清理製程
409:表面
501:第二源極/汲極接觸件
601:第三蝕刻製程
603:第三接觸開口
609:表面
619:表面
629:表面
701:接觸插塞
809:表面部分
D2:第二距離
H1:第一高度
H2:第二高度
H4:第四高度
H5:第五高度
P1:節距
T1:第一厚度
T2:第二厚度
T3:第三厚度
W1:第一寬度
W2:第二寬度
W3:第三寬度
W4:第四寬度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1A~1C圖根據一些實施例繪示鰭式場效電晶體(finFET)裝置的透視圖、鰭式場效電晶體裝置的剖面示意圖,以及鰭式場效電晶體裝置的剖面示意圖中經平坦化的表面的表面點的放大原子級示意圖。
第2A~2B圖、第3A~3B圖、第4A~4B圖、第5A~5B圖、第6A~6B圖、第7A~7B圖、第8A~8B圖根據一些實施例繪示在進一步製造鰭式場效電晶體裝置期間形成源極/汲極接觸件和閘極接觸件的一些中間步驟的剖面示意圖和原子級示意圖。
以下內容提供許多不同實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用於限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件上或上方,可能包含形成第一部件和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一部件和第二部件之間,使得第一部件和第二部件不直接接觸的實施例。另外,本發明實施例在不同範例中可重複使用參考數字及/或字母。此重複是為了簡化和清楚之目的,並非代表所討論的不同實施例及/或組態之間有特定的關係。
此外,本文可能使用空間相對用語,例如「在......之下」、「在......下方」、「下方的」、「在......上方」、「上方的」及類似的用詞,這些空間相對用語係為了便於描述如圖所示之一個(些)元件或部件與另一個(些)元件或部件之間的關係。這些空間相對用語包含使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度 或其他方位),則在此所使用的空間相對形容詞也將依轉向後的方位來解釋。
現在參見第1A圖,第1A圖繪示鰭式場效電晶體裝置100的透視圖。在一實施例中,鰭式場效電晶體裝置100包含基底101,第一溝槽103形成於基底101內。基底101可以是矽基底,但也可以使用其他基底,例如絕緣體上覆半導體(semiconductor-on-insulator,SOI)、應變的(strained)絕緣體上覆半導體和絕緣體上覆矽鍺。基底101可以是P型半導體,但在其他的實施例中,基底101可以是N型半導體。
第一溝槽103的形成可以作為第一隔離區105最終形成方法中的初始步驟。可使用遮罩層(未另外繪示於第1A圖中)與合適的蝕刻製程以形成第一溝槽103。舉例而言,遮罩層可以是硬遮罩,硬遮罩包含經由例如化學氣相沉積(chemical vapor deposition,CVD)之製程以形成的氮化矽,但也可以使用其他材料和其他製程,其他材料例如氧化物、氮氧化物、碳化矽、前述之組合或類似的材料,其他製程例如電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)或甚至在形成氧化矽後進行氮化。一旦形成遮罩層,就可以經由合適的光學微影製程將遮罩層圖案化以暴露出基底101中那些將移除以形成第一溝槽103的部分。
然而,如本技術領域中具有通常知識者可理解的,上述形成遮罩層的製程和材料並非用以保護部分的基底101同時暴露出基底101的其他部分以形成第一溝槽103的唯一方法。任何合適的製程,例如圖案化和顯影的光阻,皆可用以暴露出基底101將被移除以形成第一溝槽103的部分。本實施例的範圍欲完整地包含所有這樣的方法。
一旦形成遮罩層並將遮罩層圖案化,就在基底101內形成第一溝槽103。可經由例如反應離子蝕刻(reactive ion etching,RIE)之合適的製程移除露出的基底101,以在基底101內形成第一溝槽103,但也可使用其他合適的製程。在一實施例中,可形成具有第一深度的第一溝槽103,第一深度自基底101的表面算起小於約5,000Å,例如約2,500Å。
然而,如本技術領域中具有通常知識者可理解的,上述形成第一溝槽103的製程只是一個可能的製程,並非唯一實施例。更確切來說,可以利用任何可形成第一溝槽103的合適製程,並可使用包含任何數量之遮罩和移除步驟的合適製程。
除了形成第一溝槽103以外,遮罩和蝕刻步驟自基底101剩餘未移除的那些部分另外形成鰭片107。為了方便,鰭片107以自基底101分離的方式繪示於圖中,然而分離的實質標示可顯現或不顯現。如下所討論,鰭片107可用於形成多閘極之鰭式場效電晶體的通道區。雖然第1A圖僅繪示形成於基底101上的三個鰭片107,但也可形成任何數量的鰭片107。
可在基底101的表面形成寬度為約5nm至約80nm的鰭片107,例如約30nm。另外,鰭片107可彼此間隔且具有節距(pitch)P1,節距P1為約10nm至約100nm,例如約50nm。藉由將鰭片107以此方式間隔,鰭片107可在足夠靠近以分享一個共用閘極的情況下,各自形成分離的通道區(以下將進一步討論)。
可藉由任何合適的方法將鰭片107圖案化。舉例而言,可使用一或多個光學微影製程將鰭片107圖案化,光學微影製程包含雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光學微影和自對準 (self-aligned)製程,例如可形成節距小於使用單一、直接光學微影製程能獲得之節距的圖案。舉例而言,在一實施例中,在基底上形成犧牲層並使用光學微影製程將犧牲層圖案化。使用自對準製程沿著圖案化的犧牲層的側邊形成間隙物。然後移除犧牲層,接著用剩餘的間隙物將鰭片107圖案化。
一旦形成第一溝槽103和鰭片107,就可以將介電材料填入第一溝槽103,並可凹蝕第一溝槽103內的介電材料以形成第一隔離區105。介電材料可以是氧化物材料、高密度電漿(high-density plasma,HDP)氧化物或類似的材料。在對第一溝槽103進行可選的(optional)清理和內襯(lining)之後,可使用化學氣相沉積方法(例如高深寬比(high aspect ratio process,HARP)製程)、高密度電漿化學氣相沉積方法或其他本技術領域中已知合適的方法以形成介電材料。
第一溝槽103的填充可藉由將介電材料過填充第一溝槽103和基底101,然後經由合適的製程,例如化學機械研磨(chemical mechanical polishing,CMP)、蝕刻、前述之組合或類似的製程以移除鰭片107和第一溝槽103外的過量材料。在一實施例中,移除製程也移除任何位於鰭片107上的介電材料,因此介電材料的移除會暴露出鰭片107的表面以進行接下來的製程步驟。
一旦在第一溝槽103內填充介電材料,就可接著自鰭片107的表面凹蝕介電材料。可進行凹蝕以暴露出鰭片107至少一部分相鄰於鰭片107之頂面的側壁。可使用濕式蝕刻將鰭片107的頂面浸入例如氫氟酸(HF)的蝕刻劑以凹蝕介電材料,但也可使用其他蝕刻劑和其他方法,其他蝕刻劑例如氫(H2),其他方法例如反應離子蝕刻、使用例如NH3/NF3之蝕刻劑的乾式蝕 刻、化學氧化物移除或乾式化學清理。可將介電材料自鰭片107的表面凹蝕一段距離,前述距離為約50Å至約500Å,例如約400Å。另外,凹蝕的進行也可移除任何留在鰭片107上的介電材料,以確保鰭片107為了接下來的製程而暴露出來。
然而,如本技術領域中具有通常知識者可理解的,上述步驟只是用於填充和凹蝕介電材料之整體製程的一部分。舉例而言,也可利用內襯步驟、清理步驟、退火步驟、間隙填充步驟、前述的組合和類似的步驟以形成第一溝槽103並將介電材料填入第一溝槽103。本實施例的範圍欲完整地包含所有可能的製程步驟。
在形成第一隔離區105之後,可以在每一個鰭片107上形成閘極介電質109、閘極介電質109上的虛設閘極電極和第一間隙物113。在一實施例中,閘極介電質109的形成可以藉由熱氧化、化學氣相沉積、濺鍍或任何其他形成閘極介電質109之合適的方法。根據用於形成閘極介電質109的技術,在鰭片107之頂部上的閘極介電質109的厚度可不同於在鰭片107之側壁上的閘極介電質109的厚度。
閘極介電質109可以包含例如二氧化矽或氮氧化矽的材料,且厚度為約3Å至約100Å,例如約10Å。可由高介電常數(high-k)材料(例如具有大於約5的相對介電常數)形成閘極介電質109,例如氧化鑭(La2O3)、氧化鋁(Al2O3)、氧化鉿(HfO2)、氮氧化鉿(HfON)或氧化鋯(ZrO2)或前述之組合,並具有等效氧化物厚度為約0.5Å至約100Å,例如約10Å或少於10Å。另外,閘極介電質109的形成也可使用任何二氧化矽、氮氧化矽及/或高介電常數材料之組合。
虛設閘極電極可以包含導電材料,並可選自於包含多晶矽、W、Al、Cu、AlCu、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、前述之組合或類似的材料的群組。虛設閘極電極的沉積可以藉由化學氣相沉積(CVD)、濺鍍沉積或其他本技術領域已知且用於沉積導電材料的技術。虛設閘極電極的厚度可以是約5Å至約200Å。虛設閘極電極的頂面可以具有非平面的頂面,並且可以在將虛設閘極電極圖案化或閘極蝕刻之前將虛設閘極電極平坦化。在此階段可以在虛設閘極電極內導入或不導入離子。舉例而言,可以藉由離子佈植技術導入離子。
一旦形成虛設閘極電極,就可將閘極介電質109和虛設閘極電極圖案化以在鰭片107上形成一系列的虛設堆疊。虛設堆疊在鰭片107的每一側閘極介電質109下定義多個通道區。可例如使用任何合適的沉積和光學微影技術,藉由在虛設閘極電極上沉積和圖案化虛設閘極遮罩(未另外繪示於第1A圖中)以形成虛設堆疊。虛設閘極遮罩可以包含任何合適的遮罩和犧牲材料,例如氧化矽、氮氧化矽、SiCON、SiC、SiOC及/或氮化矽(但不限於此),並可沉積至厚度為約5Å至約200Å。可使用乾式蝕刻製程蝕刻虛設閘極電極和閘極介電質109以形成圖案化的虛設堆疊。
一旦將虛設堆疊圖案化,就可以形成第一間隙物113。可以在虛設堆疊的兩側上形成第一間隙物113。第一間隙物113的形成可藉由在先前形成的結構上毯覆性(blanket)沉積間隙物層(未另外繪示於第1A圖中)。間隙物層可以包含SiN、氮氧化物、SiC、SiON、SiOCN、SiOC、氧化物和類似的材料,並且間隙物層的形成可藉由用於形成這樣的層的方法,例如化學氣相沉積(CVD)、電漿輔助化學氣相沉積、濺鍍和任何其他合適的方法。間隙物層可 以包含與第一隔離區105內的介電材料具有不同蝕刻特性的不同材料,或與第一隔離區105內的介電材料相同的材料。然後可以將第一間隙物113圖案化,例如藉由一或多個蝕刻自結構的水平表面移除間隙物層以形成第一間隙物113。在一實施例中,形成具有第一厚度T1的第一間隙物113,且第一厚度T1為約5Å至約500Å。
第1A圖進一步繪示從不受虛設堆疊和第一間隙物113保護的區域移除鰭片107,以及再成長源極/汲極區117。可藉由以虛設堆疊和第一間隙物113作為硬遮罩的反應離子蝕刻(RIE),或者藉由任何其他合適的移除製程,以從不受虛設堆疊和第一間隙物113保護的區域進行鰭片107的移除。移除的進行可持續至鰭片107平面於(如圖所示)或低於第一隔離區105的表面。
一旦將鰭片107的這些部分移除,就放置硬遮罩(未另外繪示)並將硬遮罩圖案化以覆蓋虛設閘極電極,避免在虛設閘極電極上進行成長。一旦覆蓋虛設閘極電極,就可以再成長與每一個鰭片107接觸的源極/汲極區117。在一實施例中,可以再成長源極/汲極區117,並且在一些實施例中,可以再成長源極/汲極區117以形成應力源(stressor),應力源將應力傳給鰭片107位於虛設堆疊下的通道區。在一實施例中,鰭片107包含矽且鰭式場效電晶體為P型裝置,可經由選擇性磊晶製程,使用例如矽或其他具有與通道區不同晶格常數的材料(例如矽鍺)再成長源極/汲極區117。在其他實施例中,源極/汲極區117可以包含例如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP、前述之組合或類似的材料。磊晶成長製程可以使用例如矽烷、二氯矽烷、鍺烷和類似的前驅物,並可持續進行約5分鐘至約120分鐘,例如約30分鐘。
在一實施例中,可形成厚度為約5Å至約1000Å且在第一隔離區105上具有第一高度H1的源極/汲極區117。在本實施例中,源極/汲極區117的第一高度H1可以是約5nm至約250nm,例如約100nm。然而也可以形成任何合適的高度。
一旦形成源極/汲極區117,就在源極/汲極區117內進行摻質佈植,可以藉由佈植合適的摻質以互補鰭片107內的摻質。舉例而言,可佈植例如硼、鎵、銦或類似的P型摻質以形成P型金屬氧化物半導體(PMOS)裝置。或者,可佈植例如磷、砷、銻或類似的N型摻質以形成N型金屬氧化物半導體(NMOS)裝置。可使用虛設堆疊和第一間隙物113作為遮罩以進行這些摻質的佈植。應注意的是,本技術領域中具有通常知識者將理解可使用許多其他製程、步驟或類似的方法以佈植摻質。舉例而言,本技術領域中具有通常知識者將理解可使用各種間隙物和內襯的組合進行複數個佈植,以為了特定用途形成具有特別形狀或特性的源極/汲極區。可使用任何合適的製程或任何合適的製程組合以佈植摻質,並且上述的內容並非想要將本實施例限制於上述的步驟中。
另外,在此階段移除在形成源極/汲極區117期間覆蓋虛設閘極電極的硬遮罩。在一實施例中,硬遮罩的移除可例如使用對硬遮罩之材料有選擇性的濕式或乾式蝕刻製程。然而,也可利用任何合適的移除製程。
注意第1A圖繪示相鄰鰭片的源極/汲極區117合併在一起使得第一隔離區105的一部分留在相鄰鰭片之間的實施例。在一些實施例中,在磊晶成長源極/汲極區117之前,可以將第一隔離區105完全移除。另外,一些實施例中,可不合併源極/汲極區117,因此提供相鄰鰭片上的源極/汲極區117的磊晶材料分離且獨立的實施例。
第1A圖也繪示源極/汲極區117上之第一層間介電(inter-layer dielectric,ILD)層119(為了更清楚地顯示下方的結構,在第1A圖中以虛線繪示)的形成。第一層間介電層119可以包含例如硼磷矽酸鹽玻璃(boron phosphorous silicate glass,BPSG)的材料,但也可使用任何合適的介電質。可使用例如電漿輔助化學氣相沉積(PECVD)的製程以形成第一層間介電層119,但也可替代使用其他製程,例如低壓化學氣相沉積(LPCVD)。可形成厚度為約100Å至約3000Å的第一層間介電層119。一旦形成第一層間介電層119,就可以例如使用例如化學機械平坦化(chemical mechanical planarization,CMP)製程的平坦化製程以將第一層間介電層119平坦化,但也可以利用任何合適的製程。根據一些實施例,例如使用例如化學機械研磨製程的平坦化製程以將第一層間介電層119與第一間隙物113平坦化,但也可利用任何合適的製程。
在形成第一層間介電層119之後,可移除並替換虛設閘極電極的材料以在閘極介電質109上形成閘極堆疊111。在一實施例中,可例如使用濕式或乾式蝕刻製程,利用對虛設閘極電極的材料有選擇性的蝕刻劑以移除虛設閘極電極。然而也可利用任何合適的移除製程。
一旦移除虛設閘極電極,就可以對留下的開口進行再次填充以形成閘極堆疊111。在一特定實施例中,閘極堆疊111包含第一介電材料、第一金屬材料、第二金屬材料和第三金屬材料。為了使圖式清楚,閘極堆疊111的介電和金屬材料未另外繪示於第1A圖中。
在一實施例中,第一介電材料為高介電常數材料,例如HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、LaO、ZrO、Ta2O5、前述之組合或 類似的材料,且可經由例如原子層沉積、化學氣相沉積或類似方法的製程以進行沉積。第一介電材料可沉積至厚度為約5Å至約200Å,但也可利用任何合適的材料和厚度。
可形成與第一介電材料相鄰的第一金屬材料,且第一金屬材料可由例如Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、WN、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬氮氧化物、金屬的鋁酸鹽、矽酸鋯、鋁酸鋯、前述之組合或類似的金屬材料形成。可使用例如原子層沉積、化學氣相沉積、濺鍍或類似的沉積製程以沉積第一金屬材料,並沉積至厚度為約5Å至約200Å,但也可以使用任何合適的沉積製程或厚度。
可形成與第一金屬材料相鄰的第二金屬材料,並且在一特定實施例中,第二金屬材料可類似於第一金屬材料。舉例而言,第二金屬材料可由例如Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、WN、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬氮氧化物、金屬的鋁酸鹽、矽酸鋯、鋁酸鋯、前述之組合或類似的金屬材料形成。另外,可使用例如原子層沉積、化學氣相沉積、濺鍍或類似的沉積製程以沉積第二金屬材料,並且沉積至厚度為約5Å至約200Å,但也可使用任何合適的沉積製程或厚度。
在因移除虛設閘極電極而留下之開口的剩餘部分中填充第三金屬材料。在一實施例中,第三金屬材料可由例如W、Al、Cu、AlCu、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、前述之組合或類似的金屬材料形成,可使用例如原子層沉積、化學氣相沉積、濺鍍或類似 的沉積製程沉積第三金屬材料以填充及/或過填充因移除虛設閘極電極而留下之開口。在一特定實施例中,第三介電材料可沉積至厚度為約5Å至約500Å,但也可以利用任何合適的材料、沉積製程和厚度。
一旦填充因移除虛設閘極電極而留下之開口,就可以將材料圖案化以移除任何在因移除虛設閘極電極而留下之開口外的材料。在一特定實施例中,移除的進行可以使用例如化學機械研磨的平坦化製程直至閘極堆疊111平面於第一間隙物113。然而,也可利用任何合適的平坦化和移除製程。
根據一些實施例,在形成和平坦化閘極堆疊111的材料之後,在閘極堆疊111的材料上形成可選的(optional)蓋層。可藉由將閘極堆疊111的材料凹蝕,並在閘極堆疊111的凹槽內沉積覆蓋材料以形成可選的蓋層。在一實施例中,可例如使用濕式或乾式蝕刻,利用對閘極堆疊111的材料具有選擇性的蝕刻劑以凹蝕閘極堆疊111的材料。然而也可利用任何合適的製程和距離。
一旦凹蝕閘極堆疊111的材料,就沉積可選的蓋層並將可選的蓋層與第一間隙物113平坦化。在一實施例中,可選的蓋層為例如SiN、SiON、SiCON、SiC、SiOC、前述之組合或類似的材料,且使用例如原子層沉積、化學氣相沉積、濺鍍或類似的沉積製程以沉積可選的蓋層。可選的蓋層可沉積至厚度為約5Å至約200Å,然後使用例如化學機械研磨的平坦化製程以進行平坦化,使得可選的蓋層平面於第一間隙物113。
一旦將第一層間介電層119與第一間隙物113平坦化,就可以在第一層間介電層119上形成第二層間介電層121,然後將第二層間介電層121平坦化至源極/汲極區117上具有期望的第二高度(例如H2)。第二層間介電層121可包含與第一層間介電層119相同的材料(例如硼磷矽酸鹽玻璃),並可使 用與第一層間介電層119相同的製程(例如電漿輔助化學氣相沉積(PECVD)或低壓化學氣相沉積(LPCVD))以形成第二層間介電層121;然而,他們也可以不同,並可使用任何合適的介電材料和任何合適的沉積製程以形成第二層間介電層121。根據一些實施例,可以形成厚度為約100Å至約3000Å的第二層間介電層121。一旦形成第二層間介電層121,就可例如使用例如化學機械平坦化(CMP)製程的平坦化製程,以將第二層間介電層121平坦化至在源極/汲極區117上具有期望的第二高度H2,但也可利用任何合適的製程。根據一些實施例,可將第二層間介電層121平坦化至具有期望的第二高度H2,期望的第二高度H2為約20nm至約50nm,例如約30nm。然而也可形成任何合適的高度。
一旦將第二層間介電層121平坦化,就可形成穿過第二層間介電層121和第一層間介電層119的第一接觸開口以暴露出源極/汲極區117準備形成源極/汲極接觸件125的接觸面積。在一實施例中,起初藉由放置和圖案化源極/汲極區117上的第一光阻以進行第一接觸開口的形成。在一實施例中,第一光阻為三層光阻,具有底部抗反射(bottom anti-reflective coating,BARC)層、中間遮罩層和頂部光阻層。然而,也可利用任何合適之類型的光敏感材料或材料組合。
一旦放置第一光阻,就將第一光阻圖案化。在一實施例中,例如經由光罩(reticle)用圖案化的能量源(例如光)對第一光阻內的光敏感材料(例如三層光阻中的頂部光阻層)進行曝光以將第一光阻圖案化。能量的衝擊將導致光敏感材料受圖案化能量源衝擊的部分產生化學反應,藉此改變光阻之曝光部分的物理特性,使得第一光阻曝光部分的物理特性不同於第一光阻未曝光部分的物理特性。然後可例如用顯影劑對第一光阻進行顯影,以將第一光 阻的曝光部分自第一光阻的未曝光部分分離。
在一實施例中,將第一光阻圖案化以形成開口暴露出第二層間介電層121在源極/汲極區117上期望形成第一接觸開口的區域。一旦將第一光阻圖案化,就可使用第一光阻作為遮罩以形成第一接觸開口。在一實施例中,可使用第一蝕刻製程以形成第一接觸開口,第一蝕刻製程可以是例如反應離子蝕刻(RIE)製程的非等向性蝕刻製程。然而,也可使用任何合適的製程,例如濕式蝕刻製程,以及任何合適的反應物。
可利用第一蝕刻製程以形成第一接觸開口,以為源極/汲極接觸件125的形成作準備。在一特定實施例中,可利用第一蝕刻製程移除第二層間介電層121和第一層間介電層119的材料以形成具有第一寬度W1的第一接觸開口,並暴露出源極/汲極區117之表面上的期望接觸面積。在一些實施例中,第一接觸開口的第一寬度W1可為約10nm至約100nm,例如約25nm。然而,也可形成任何合適的尺寸。
一旦形成接觸開口,就可例如使用灰化(ashing)製程以移除第一光阻,藉此提高第一光阻的溫度直至第一光阻經歷受熱分解,此時可輕易移除第一光阻。然而,也可利用任何合適的移除製程,例如濕式蝕刻。
第1A圖進一步繪示源極/汲極接觸件125的形成。一旦形成第一開口,就可以在第一接觸開口內形成源極/汲極接觸件125。
根據一些實施例,在形成源極/汲極接觸件125之前,可自源極/汲極區117的上表面形成可選的(optional)矽化物接觸件。為了降低接觸件的蕭特基能障高度,可選的矽化物接觸件可以包含鈦、鎳、鈷或鉺。然而,也可以使用其他金屬,例如鉑、鈀和類似的材料。藉由毯覆性沉積合適的金屬材料 層可進行矽化,接著進行退火步驟,使得金屬與下方露出的矽進行反應。然後移除未反應的金屬,例如使用選擇性蝕刻製程。可選的矽化物接觸件的厚度可為約5Å至約2000Å。
一旦形成可選的矽化物接觸件,就形成源極/汲極接觸件125。在一實施例中,源極/汲極接觸件125可以是導電材料,例如Co、W、Al、Cu、Ti、Ta、Ru、TiN、TiAl、TiAlN、TaN、TaC、NiSi、CoSi、前述的合金、前述之組合或類似的材料,且可使用例如濺鍍、化學氣相沉積、電鍍、無電電鍍或類似的沉積製程,填充及/或過填充第一接觸開口以進行沉積。一旦填充或過填充,就使用例如化學機械研磨(CMP)的平坦化製程可移除任何在第一接觸開口外的沉積材料。然而,也可利用任何合適的材料和製程。
一旦形成源極/汲極接觸件125,就在源極/汲極接觸件125和第二層間介電層121經平坦化的表面上形成蝕刻停止層123和第三層間介電層127。在一實施例中,可使用電漿輔助化學氣相沉積(PECVD)由氮化矽形成蝕刻停止層123,但也可替代使用其他例如SiON、SiCON、SiC、SiOC、SiCxNy、SiOx、其他介電質、前述之組合或類似的材料,以及其他用以形成蝕刻停止層123的技術,例如低壓化學氣相沉積(LPCVD)、物理氣相沉積(physical vapor deposition,PVD)或類似的技術。可以形成具有第二厚度T2的蝕刻停止層123,第二厚度T2為約5Å至約200Å,例如約50Å。
一旦形成蝕刻停止層123,就在蝕刻停止層123上形成第三層間介電層127,然後,作為使源極/汲極接觸件125準備進行額外之製程的初始步驟,將第三層間介電層127平坦化至第三層間介電層127在源極/汲極接觸件125經平坦化的表面和蝕刻停止層123上具有期望的第三厚度T3。第三層間介電層 127可以包含任何合適的遮罩和犧牲材料,例如多孔二氧化矽(SiO2)(但不限於此),並可使用化學氣相沉積(CVD)(例如電漿輔助化學氣相沉積(PECVD)或低壓化學氣相沉積(LPCVD))以進行沉積。然而,任何合適的材料或任何合適的製程皆可用於形成第三層間介電層127。根據一些實施例,可形成具有期望之第三厚度T3的第三層間介電層127,第三厚度T3為約20nm至約70nm,例如約40nm。然而,可形成具有任何合適之厚度的第三層間介電層127。一旦形成第三層間介電層127,就可以例如使用例如化學機械研磨(CMP)製程的平坦化製程以將第三層間介電層127平坦化,但也可利用任何合適的製程。
第1B圖繪示通過第1A圖繪示之鰭式場效電晶體裝置100之截線B-B’的剖面示意圖。如此,第1B圖繪示鰭式場效電晶體裝置100在源極/汲極區117之頂面上的部分,且切穿源極/汲極接觸件125、第一間隙物113和閘極堆疊111與源極/汲極接觸件125相鄰的表面。此外,第1B圖繪示在鰭式場效電晶體裝置100之切面中包含的第一層間介電層119、第二層間介電層121、蝕刻停止層123和第三層間介電層127。第1B圖也繪示第三層間介電層127經平坦化的表面的表面點129。
第1C圖繪示第三層間介電層127經平坦化的表面的表面點129的放大原子級示意圖。第1C圖特別繪示第三層間介電層127之表面材料的氧化矽(SiO)鍵結。
第2A圖在剖面示意圖中繪示在第1B圖繪示之鰭式場效電晶體裝置100中形成第二接觸開口203的第二蝕刻製程201。第2A圖特別繪示將第三層間介電層127和蝕刻停止層123兩者圖案化以形成暴露出源極/汲極接觸件125的 第二接觸開口203。在一實施例中,起初藉由放置和圖案化第三層間介電層127上的光阻以進行第三層間介電層127和蝕刻停止層123的圖案化。在一實施例中,為了將光阻圖案化,光阻可以是用圖案化的能量曝光的三層光阻。然後對光阻進行顯影和蝕刻以將光阻圖案化。
一旦將光阻圖案化,就可用光阻作為遮罩將光阻的圖案轉移至第三層間介電層127和蝕刻停止層123。在一實施例中,可使用第二蝕刻製程201將第三層間介電層127圖案化,第二蝕刻製程201可以是非等向性蝕刻製程,例如反應離子蝕刻製程(RIE)、電漿蝕刻(例如電漿轟擊),使用例如氟碳(CxFy)、氮(N2)及/或氫(H2)和類似的蝕刻劑。然而也可使用任何合適的製程,例如濕式蝕刻製程,以及任何合適的反應物。
可利用第二蝕刻製程201移除第三層間介電層127的材料以形成第二接觸開口203,以為第二源極/汲極接觸件501的形成作準備,以下將詳細討論。在一特定實施例中,可利用第二蝕刻製程201移除第三層間介電層127的材料以形成第二接觸開口203直至露出蝕刻停止層123,並形成具有第二寬度W2的第二接觸開口203。然而,也可利用任何進行移除的合適製程,例如濕式蝕刻。在一些實施例中,第二接觸開口203的第二寬度W2可形成為約10nm至約40nm,例如約15nm。然而也可形成任何合適的寬度。
另外,一些實施例中,第二蝕刻製程201可移除遮蔽第三層間介電層127的光阻的一些或全部。在這樣的實施例中,部分的第三層間介電層127在第二蝕刻製程201期間變成暴露出來。如此,存在於第二蝕刻製程201期間的蝕刻劑可與第三層間介電層127露出表面進行反應。
一旦暴露出蝕刻停止層123,就可將第三層間介電層127的圖案 轉移至蝕刻停止層123以暴露出源極/汲極接觸件125。在一實施例中,圖案的轉移可例如使用濕式蝕刻製程,以圖案化的第三層間介電層127作為遮罩,並使用對蝕刻停止層123的材料具有選擇性的蝕刻劑。然而,也可利用任何合適的蝕刻劑或製程,例如乾式蝕刻製程。
另外,若在第三層間介電層127的圖案化期間並未將光阻完全移除,對蝕刻停止層123進行蝕刻的濕式蝕刻製程可移除額外的光阻量以暴露出第三層間介電層127。在這樣的實施例中,部分的第三層間介電層127在第二蝕刻製程201之濕式蝕刻部分期間可變成暴露出來。如此,存在於第二蝕刻製程201之濕式蝕刻部分期間的蝕刻劑可與第三層間介電層127露出的表面進行反應。
另外,在打開蝕刻停止層123以暴露出下方的源極/汲極接觸件125之後,可在不延伸進入源極/汲極接觸件125的情況下停止蝕刻,或可持續進行些微的過度蝕刻,部分地延伸進入源極/汲極接觸件125以形成第二接觸開口203。如此,第二接觸開口203可在暴露出源極/汲極接觸件125的接觸面積的情況下延伸進入源極/汲極接觸件125,並且可與蝕刻停止層123形成底切(undercut)的輪廓。在一些實施例中,第二接觸開口203可延伸進入源極/汲極接觸件125至第二距離D2和第三寬度W3,第二距離D2為約5nm至約25nm,例如小於約20nm,且第三寬度W3為約20nm至約50nm,例如約25nm。然而,也可形成任何合適的距離及/或任何合適的寬度。
若在第二蝕刻製程201的濕式蝕刻部分之後留下任何光阻,一旦形成第二接觸開口203,就可以例如使用灰化製程以移除光阻,藉此提高光阻的溫度直至光阻經歷受熱分解,此時可輕易移除光阻。然而,也可利用任何合 適的移除製程,例如濕式蝕刻。
第2A圖進一步繪示第三層間介電層127和蝕刻停止層123受損的表面209。在第二蝕刻製程201期間使用之蝕刻劑(例如乾式蝕刻或濕式蝕刻化學製程)的轟擊特別可能使氧(O)原子自蝕刻之後預期留下之第三層間介電層127和蝕刻停止層123的表面被撕下。如此,這些受損的表面209處的二氧化矽(SiO2)材料的矽原子(Si)可能因失去氧(O)原子而暴露出來,因此使得在進一步的製程期間,在露出的矽(Si)原子和其他原子(例如氫(H))之間形成不想要的鍵結。此外,這些受損的表面209之氧化物的損傷可能對後續在第二接觸開口203中形成第二源極/汲極接觸件501期間進行的沉積製程造成干擾。
第2B圖在表面點129的放大原子級示意圖中繪示第二蝕刻製程201期間在第三層間介電層127經平坦化的表面發生之氧化物的損傷。相較於第1C圖繪示之原本的表面,第2B圖特別繪示表面點129之受損的表面失去氧(O)原子並暴露出矽(Si)原子。
第3A圖在剖面示意圖中繪示進行修復製程301,以修復第三層間介電層127和蝕刻停止層123之受損的表面209。一旦形成第二接觸開口203,修復製程301就使用氧化表面處理(例如熱氧化表面處理、電漿氧化表面處理或類似的處理)通入例如氧(O2)的反應物以對受損的表面209進行處理。如此,反應物(例如氧)與受損之表面209材料中的矽進行反應,重建那些材料的氧化矽結構以形成復原的表面309。
在一些實施例中,修復製程301包含熱氧化處理,例如快速熱氧化(rapid thermal oxide,RTO)處理。然而也可使用任何合適的熱氧化處理。 可將鰭式場效電晶體裝置100放入快速熱腔室,將鰭式場效電晶體裝置100加熱至約100℃至約400℃,例如約200℃的溫度,同時將腔室的壓力保持在約10torr至約100torr,例如約20torr,並讓氧(O2)以約1.0slm至約20.0slm的流速流入腔室。在熱氧化處理期間,使用氧作為反應物,將第三層間介電層127和蝕刻停止層123受損的表面209暴露於含氧的周圍環境中,同時加熱受損之表面209的材料。在一些實施例中,含氧的周圍環境可包含含有例如水蒸氣(H2O)、臭氧(O3)、氧(O2)、前述之組合或類似之分子的氧。可加熱受損之表面209的材料,並將受損之表面209的材料暴露於含氧的周圍環境持續一個時間區段,前述的時間區段為約60秒至約30分鐘,例如約5分鐘,重建受損之表面209的材料的氧化矽結構以形成復原的表面309。藉由將新的氧原子(O)導入受損之表面209的材料內,氧原子(O)可與露出的矽(Si)原子鍵結。如此,重建受損之表面209的材料的氧化矽結構以形成復原的表面309。
在其他實施例中,修復製程301包含電漿氧化處理,例如電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)或類似的處理。根據一些實施例,可在電漿腔室內使用感應耦合電漿(inductively coupled plasma,ICP)或使用電容耦合電漿(capacitively coupled plasma,CCP)以進行電漿氧化處理。然而,也可使用任何合適的電漿氧化處理。可將鰭式場效電晶體裝置100放入電漿處理腔室,以約1000sccm至約9000sccm,例如約3000sccm的流速對電漿處理腔室通入處理氣體(例如氧(O2))。另外,可將電漿處理腔室維持在約25℃至約200℃,例如約60℃的製程溫度,製程壓力在約0.02torr至約1.0torr,例如約0.5torr,且持續一個時間區段,在約10秒至約120秒,例如約60秒。然而也可利用任何合適的流速、溫度和壓力。
一旦在電漿氧化腔室內通入處理氣體,就可以將處理氣體點燃(ignite)成為電漿。一旦發生點燃,就可利用電漿氧化處理對受損之表面209進行處理,以重建受損之表面209的材料的氧化矽結構,進而形成復原的表面309。根據一些實施例,電漿氧化處理的源射頻功率(source RF power)可設在13.56MHz,在約1000W至約4000W,例如約2000W,且偏射頻功率(bias RF power)可設在13.56MHz,在約4000W至約1000W,例如約2000W。電漿氧化處理持續處理受損之表面209長達約10秒至約120秒的時間,例如約60秒。然而也可利用任何合適的參數和時間區段。
另外,雖然上述內容係根據第3A圖以描述修復製程301之氧化處理製程的具體實施例,這些討論只用以繪示而非用以限定實施例。更確切來說,可利用任何合適之處理氣體和處理條件的組合。本實施例的範圍欲完整地包含所有這樣的組合。
第3B圖在表面點129的放大原子級示意圖中繪示在修復製程301期間發生之第三層間介電層127經平坦化的表面的復原。相較於第2B圖繪示之受損的表面,第3B圖特別繪示具有重建之氧化矽結構,使得氧(O)原子鍵結至先前露出的矽(Si)原子之表面點129的復原表面。
第3A圖進一步繪示在源極/汲極接觸件125露出表面上,第二接觸開口203的底部形成的氧化層311。在修復製程301的氧化表面處理期間,用以修復受損之表面209的處理氣體也與源極/汲極接觸件125露出表面的材料(例如鈷(Co))進行反應。因此,在源極/汲極接觸件125露出表面上形成氧化層311。
第4A圖在剖面示意圖中繪示將第二接觸開口203形成時之第二蝕 刻製程201期間產生的廢料予以移除的清理製程401。一旦完成修復製程301且修復第三層間介電層127受損之表面209,清理製程401就使用氫預清理(pre-clean)處理(例如氫(H2)電漿處理或類似的處理)以從第二接觸開口203和從復原的表面309移除廢料,並從源極/汲極接觸件125的表面移除氧化層311。
一些實施例中,清理製程401包含氫(H2)電漿處理,例如電漿輔助化學氣相沉積(PECVD)製程、物理氣相沉積(PVD)或類似的處理。然而也可使用任何合適的氫(H2)電漿處理。可將鰭式場效電晶體裝置100放入電漿處理腔室,且讓處理氣體(例如氫(H2))以約1000sccm至約6000sccm,例如約1800sccm的流速流入電漿處理腔室。另外,可將電漿處理腔室保持在約100℃至約400℃,例如約150℃的溫度,以及在約3torr至約45torr,例如約10torr的製程壓力。然而也可利用任何合適的流速、溫度和壓力。
一旦將處理氣體通入電漿處理腔室,就可將處理氣體點燃成為電漿。一旦發生點燃,就可以利用氫(H2)電漿處理以將有機材料的廢料從復原的表面309和第二接觸開口203之各個表面移除。此外,可利用氫(H2)電漿處理以降低形成在第二接觸開口203露出源極/汲極接觸件125的表面上的氧化層311。在一實施例中,氫(H2)電漿處理的源射頻功率設在13.56MHz,在約100W至約800W,例如約300W,且偏射頻功率也設在13.56MHz,在約100W至約800W,例如約300W。氫(H2)電漿處理持續移除有機材料的廢料並移除氧化層311長達約10秒至約250秒的時間,例如約200秒。然而也可使用任何合適的參數和時間區段。
另外,雖然上述內容係根據第4A圖描述清理製程401之氫預清理處理的具體實施例,這些討論只用於繪示而非用於限定實施例。更確切來說, 可利用任何合適之處理氣體和處理條件的組合。本實施例的範圍欲完整地包含所有這樣的組合。
第4B圖在表面點129的放大原子級示意圖中繪示在清理製程401期間發生之第三層間介電層127的復原表面。第4B圖特別繪示具有重建之氧化矽結構,使得氧(O)原子鍵結至先前暴露出的矽(Si)原子的表面點129的復原表面。因此,避免在將氫(H)原子通入電漿處理腔室的清理製程401期間在復原的表面309上形成催化活性位(catalytic active site)(例如SiH)。藉由復原表面,可避免形成催化活性位。因此,在隨後的選擇性沉積期間,降低復原的表面309的缺陷密度,並降低選擇性損失(selective loss)的發生。藉由在修復製程301後進行清理製程401,也使隨後在源極/汲極接觸件125上的選擇性沉積提高選擇性。因此,可在避免鎢(W)因選擇性損失而沿著第二接觸開口203之側壁成長的情況下,在第二接觸開口203內以由下至上(bottom-up)的製程方式進行鎢的選擇性沉積。如此,可在由下至上之選擇性沉積期間避免缺陷(例如導孔鎢損失(Via W-loss))的產生。此外,可在由下至上選擇性沉積鎢期間,避免在形成隨後之開口期間因選擇性損失而成長的鎢阻擋沿著第三層間介電層127經平坦化之表面的目標區域。因此,在由下至上選擇性沉積期間也避免缺陷(例如圖案缺失及/或圖案出錯)的產生。
第5A圖在剖面示意圖中繪示在第二接觸開口203內形成第二源極/汲極接觸件501的沉積製程。第5A圖進一步繪示將第二源極/汲極接觸件501與第三層間介電層127的表面平坦化的平坦化製程。
一旦移除氧化層311,就沉積導電填充材料填充第二接觸開口203以形成第二源極/汲極接觸件501。在一實施例中,導電填充材料包含金屬, 例如鎢、前述之合金或類似的材料。然而也可使用任何合適的導電填充材料。此外,可使用例如化學氣相沉積(CVD)的沉積製程,進行由下至上無選擇性損失的沉積以沉積導電填充材料。在由下至上的沉積中,導電填充材料具有在第二接觸開口203中垂直傳遞的單一成長前沿;因此,可避免在導電填充材料內形成接縫。此外,由於對源極/汲極接觸件125、蝕刻停止層123和第三層間介電層127的表面進行的修復製程301和清理製程401,導電填充材料的沉積是無選擇性損失的。如此,避免在沿著第二接觸開口203的側壁和沿著第三層間介電層127的平坦表面之重建的氧化矽結構上成長選擇性損失的鎢。因此,避免因圖案缺失以及導孔鎢損失而產生的缺陷,並增加積體電路良率。
在一實施例中,沉積製程可以利用例如氟化鎢(WF6)和氫(H2)的前驅物,但也可以利用任何合適的前驅物,例如W(CO)6、(NH3)3W(CO)3、WCl5、C10H12W、WH2(iPrCp)2、類似的前驅物或前述之組合。在使用氟化鎢和氫作為前驅物的特定實施例中,可將氟化鎢(WF6)以約50sccm至約450sccm,例如約100sccm的流速流入反應腔室中,同時可將氫(H2)以約1000sccm至約7000sccm,例如約2000sccm的流速流入反應腔室中。另外,可在約200℃至約400℃,例如約300℃的溫度下,以及在約10torr至約300torr,例如約20torr的壓力下進行化學氣相沉積。然而,也可利用任何合適的製程條件。在第二接觸開口203內沉積導電填充材料之後,可進行退火製程或回焊(reflow)製程以形成第二源極/汲極接觸件501。
一旦填充或過填充,就可使用例如化學機械研磨(CMP)的平坦化製程移除在第二接觸開口203外的任何沉積材料,以平坦化第二源極/汲極接觸件501與第三層間介電層127經平坦化的表面409。如此,形成具有第四高 度H4的第二源極/汲極接觸件501,第四高度H4為約25nm至約120nm,例如約50nm。然而,也可利用任何合適的平坦化製程和任何合適的厚度。
第5B圖在表面點129的放大原子級示意圖中繪示第三層間介電層127經平坦化的表面409。如第5B圖所示,表面點129經平坦化的表面包含完全重建的氧化矽鍵結。
第6A圖在剖面示意圖中繪示在第5B圖繪示之鰭式場效電晶體裝置100中形成第三接觸開口603的第三蝕刻製程601。第6A圖特別繪示將第三層間介電層127、蝕刻停止層123和第二層間介電層121的材料圖案化和移除,以形成露出閘極堆疊111的表面的第三蝕刻製程601。在一些實施例中,如前所述,使用第三蝕刻製程601以形成第三接觸開口603包含在第5A圖的第三層間介電層127經平坦化的表面409上放置和圖案化光阻(例如三層光阻)。一旦將光阻圖案化,就可在第三蝕刻製程601中使用如上根據第2A圖所述之一或多個非等向性蝕刻製程和蝕刻劑以形成第三接觸開口603。在一些實施例中,使用圖案化光阻作為遮罩以移除第三層間介電層127的材料,使用圖案化的第三層間介電層127作為遮罩以移除蝕刻停止層123的材料,以及使用圖案化的蝕刻停止層123作為遮罩以移除第二層間介電層121的材料。然而,也可使用任何合適的移除製程和任何合適的反應物。在一特定實施例中,可利用第三蝕刻製程601以形成第三接觸開口603直至暴露出閘極堆疊111以及形成具有第四寬度W4的第三接觸開口603。在一些實施例中,第三接觸開口603的第四寬度W4可為約10nm至約40nm,例如約20nm。然而,也可形成任何合適的寬度。如前所述,在第三蝕刻製程601期間或在完成第三蝕刻製程601之後,可例如使用灰化製程以移除光阻。然而,也可利用任何合適的移除製程,例如濕式蝕刻。
第6A圖進一步繪示第三層間介電層127受損的表面609、蝕刻停止層123受損的表面619和第二層間介電層121受損的表面629。如前所述,在第三蝕刻製程601期間特別可從這些受損的表面扯下氧(O)原子,暴露出在這些受損的表面609、619和629上之二氧化矽(SiO2)材料的矽(Si)原子,使得在接下來的製程期間,在露出的矽(Si)原子與其他原子(例如氫(H))之間形成不想要的鍵結。
一旦暴露出閘極堆疊111,就可以進行清理製程,例如以上根據第4A圖所述之清理製程401。如此,將在第三蝕刻製程601期間自第三接觸開口603移除之材料的廢料予以移除,也移除閘極堆疊111之表面上的任何氧化物層(如果有的話)。
第6B圖在表面點129的放大原子級示意圖中繪示在第三蝕刻製程601期間發生之第三層間介電層127經平坦化的表面的氧化物受損。相較於第5B圖繪示之原本的表面,第6B圖特別繪示表面點129受損的表面缺失氧(O)原子並露出矽(Si)原子。
第7A圖在剖面示意圖中繪示接觸插塞701的形成。一旦形成第三接觸開口603,就可以在第三接觸開口603內形成接觸插塞701。
在一實施例中,可形成填充及/或過填充第三接觸開口603的接觸插塞701。此外,可使用任何合適的沉積製程以形成接觸插塞701,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、電鍍、無電電鍍、前述之組合或類似的製程,可使用例如銅、鎢、前述之組合或類似的材料以形成接觸插塞701。在其他實施例中,可使用任何金屬(例如銅(Cu)、銅合金或類似的金屬)之任何導電填充材料以形成接觸插塞701,以及使用上 述根據第1A圖之源極/汲極接觸件125的沉積製程、退火步驟和選擇性蝕刻製程以形成接觸插塞701。然而,也可利用任何合適的材料和任何合適的形成製程。
另外,接觸插塞701可包含可選的(optional)黏著層(例如Ti等)、在可選的黏著層上之可選的阻障層(例如TiN等),以及在可選的阻障層上之導電填充材料(例如鎢、銅(Cu)或類似的材料)。在不使用可選的阻障層的情況下,也可使用由低擴散性金屬製成的導電填充材料以形成導電插塞701,例如鎢、鉬(Mo)、釕(Ru)或類似的金屬。
根據一些實施例,第7A圖進一步繪示,在不進行修復製程的情況下,如上所述,沉積導電填充材料形成接觸插塞701以修復受損的表面609、619和629。因此,第三層間介電層127受損的表面609、蝕刻停止層123受損的表面619和第二層間介電層121受損的表面629沿著第三層間介電層127經平坦化的表面留下,以及沿著接觸插塞701的側壁留下。
第7B圖在表面點129的放大原子級示意圖中繪示在第三蝕刻製程601期間在第三層間介電層127經平坦化的表面產生之受損的氧化物。相較於第5B圖繪示之原本的表面,第7B圖特別繪示表面點129受損的表面缺失氧原子並露出矽原子。
第8A圖在剖面示意圖中繪示鰭式場效電晶體裝置100的平坦化。一旦形成第二源極/汲極接觸件501和接觸插塞701,如前所述,就可以使用合適的平坦化製程(例如化學機械平坦化(CMP))將鰭式場效電晶體裝置100平坦化。在一些實施例中,將鰭式場效電晶體裝置100平坦化於鰭片107上,使得第三層間介電層127受損的表面609大致上自鰭式場效電晶體裝置100經平坦化 的表面移除,並且只有沿著接觸插塞701之側壁設置的第三層間介電層127受損的表面部分809留在鰭式場效電晶體裝置100經平坦化的表面。然而也可使用任何合適的高度。
第8B圖在表面點129的放大原子級示意圖中繪示在將第三層間介電層127經平坦化的表面處之受損的表面609大致上移除之後,鰭式場效電晶體裝置100經平坦化的表面。如第8B所示,表面點129之鰭式場效電晶體裝置100經平坦化的表面包含完全建構好的氧化矽鍵結。
一旦形成第二源極/汲極接觸件501和接觸插塞701,並將第二源極/汲極接觸件501和接觸插塞701與第三層間介電層127的表面平坦化至期望的第五高度H5,鰭式場效電晶體裝置100即準備好可進行額外的製程。在一些實施例中,額外的製程可包含在第二源極/汲極接觸件501和接觸插塞701上形成一或多個金屬化層以形成功能電路,形成與金屬化層電性連接的接觸墊,以及封裝鰭式場效電晶體裝置100使得鰭式場效電晶體裝置100可附接至另一個外部裝置。
另外,雖然以上根據鰭式場效電晶體裝置之接觸件的形成描述了介電表面之修復表面的具體實施例和選擇性金屬沉積的具體實施例,這些討論只用於繪示而非用於限定實施例。更確切來說,可將任何此處揭露的製程延伸至對於任何裝置之選擇性金屬沉積的應用和所有表面修復的製程。舉例而言,在形成任何技術世代(例如節點7(N7)、節點5(N5)、節點3(N3)及節點3以外)之金屬結構期間使用的製程,金屬結構例如金屬閘極、接觸件、金屬間(inter metal)或類似的結構。本實施例的範圍欲完整地包含所有這樣的組合。
在此揭露的實施例在於形成鰭式場效電晶體裝置之閘極堆疊的接觸插塞以及源極/汲極區的接觸件的方法。特別揭露蝕刻出穿過介電層(例如SiO2層)以暴露出源極/汲極接觸件的表面之接觸開口的方法。此方法更包含沿著接觸開口的側壁表面修復氧化矽結構和沿著介電層的平坦表面修復氧化矽結構。如此,在後續沉積導電填充材料期間可以避免產生包含導孔選擇性損失的缺陷,並且在後續蝕刻其他接觸開口期間可以避免產生圖案缺失的缺陷。根據一些實施例,一旦修復表面,就可以進行清理製程以移除從接觸開口和介電層之平坦表面蝕刻期間所產生的廢料。此方法更包含進行選擇性由下至上沉積包含鎢之導電填充材料以形成源極/汲極接觸件的製程。根據一些實施例,一旦形成源極/汲極接觸件,就可以使用一或多個蝕刻、修復、清理和沉積製程在閘極上形成接觸插塞。
根據一些實施例,一種方法包含形成第一開口穿過第一源極/汲極接觸件上的介電層,第一開口之側壁受損;利用氧化環境對第一開口受損之側壁進行修復;以及使用具方向性的沉積製程在第一開口內沉積第一導電填充材料。在一些實施例中,此方法包含重建第一開口之側壁處的氧化矽結構。在一些實施例中,此方法包含迫使氧原子接合至第一開口之側壁處露出的矽原子。在一些實施例中,此方法包含進行非等向性反應離子蝕刻製程,非等向性反應離子蝕刻製程使用氟碳分子作為第一前驅物,以及使用氮分子作為第二前驅物。在一些實施例中,此方法包含在重建第一開口之側壁處的氧化矽結構之後,且在沉積第一導電填充材料之前,進行氫電漿處理以經由第一開口自第一源極/汲極接觸件的表面移除氧化層。在一些實施例中,此方法包含沉積鎢。在一些實施例中,此方法更包含在第一開口內沉積第一導電填充材料之後,形成 第二開口穿過閘極電極上的介電層,第二開口之側壁表面受損;以及在不修復第二開口受損之側壁的情況下,在第二開口內沉積第二導電填充材料。
根據一些實施例,一種方法包含蝕刻出第一導孔開口穿過絕緣層以暴露出鰭式場效電晶體裝置的源極/汲極區的導電接觸件的表面,第一導孔開口之側壁表面在蝕刻出第一導孔開口期間受損;復原第一導孔開口受損之側壁;以及使用由下至上的沉積製程形成導電插塞穿過第一導孔開口,且導電插塞物理接觸導電接觸件。在一些實施例中,此方法包含進行氧化表面處理。在一些實施例中,此方法包含進行快速熱氧化處理。在一些實施例中,此方法包含進行非等向性電漿蝕刻製程,非等向性電漿蝕刻製程使用氟碳和氫的組合作為蝕刻劑。在一些實施例中,此方法更包含進行電漿氧化表面處理。在一些實施例中,此方法更包含在復原第一導孔開口受損之側壁之後,且在形成導電插塞之前,進行氫電漿處理以經由第一導孔開口自導電接觸件的表面移除氧化層。在一些實施例中,此方法更包含在形成導電插塞之後,形成第二導孔開口穿過閘極電極上的絕緣層,第二導孔開口之側壁表面受損;以及在不復原第二導孔開口受損之側壁的情況下,在第二導孔開口內沉積第二導電填充材料。
根據一些實施例,此方法包含在鰭式場效電晶體裝置的源極/汲極區上形成第一金屬化層;在第一金屬化層上沉積蝕刻停止層;在蝕刻停止層上沉積隔離層;蝕刻出第一導孔開口穿過隔離層和蝕刻停止層以暴露出第一金屬化層的接觸面積,第一導孔開口之側壁表面處的氧化矽結構在蝕刻出第一導孔開口期間受損;重建第一導孔開口之側壁表面處的氧化矽結構;在第一導孔開口內沉積第一金屬柱,且第一金屬柱物理接觸第一金屬化層的接觸面積;以及在形成第一金屬柱之後,形成第二金屬柱穿過隔離層,且第二金屬柱物理接 觸鰭式場效電晶體裝置的閘極電極。在一些實施例中,此方法包含過度蝕刻第一金屬化層,其中過度蝕刻第一金屬化層在第一金屬化層與蝕刻停止層的底面之間形成底切。在一些實施例中,此方法包含對第一導孔開口之側壁表面進行氧化表面處理。在一些實施例中,此方法包含對第一導孔開口之側壁表面進行快速熱氧化處理。在一些實施例中,此方法更包含在重建第一導孔開口之側壁表面處的氧化矽結構之後,且在沉積第一金屬柱之前,進行氫電漿處理以經由第一導孔開口自第一金屬化層移除氧化層。在一些實施例中,此方法更包含形成第二導孔開口,且在閘極電極上暴露接觸面積;以及在不重建第二導孔開口之側壁表面處的氧化矽結構的情況下,在第二導孔開口內沉積第二金屬柱,且第二金屬柱物理接觸閘極電極的接觸面積。
以上概述數個實施例之部件,使得發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的面向。發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優點。發明所屬技術領域中具有通常知識者也應該理解到,此類等效的結構並未悖離本發明實施例的精神與範圍,且他們能在不違背本發明實施例的精神和範圍下,做各式各樣的改變、取代和調整。
100:鰭式場效電晶體裝置
111:閘極堆疊
113:第一間隙物
119:第一層間介電層
121:第二層間介電層
123:蝕刻停止層
125:源極/汲極接觸件
127:第三層間介電層
129:表面點
501:第二源極/汲極接觸件
619:表面
629:表面
701:接觸插塞
809:表面部分

Claims (10)

  1. 一種半導體裝置的製造方法,包括:形成一第一開口穿過一第一源極/汲極接觸件上的一介電層,該第一開口之側壁受損;利用一氧化環境對該第一開口受損之側壁進行修復;使用一具方向性的沉積製程在該第一開口內沉積一第一導電填充材料;在該第一開口內沉積該第一導電填充材料之後,在一閘極電極上方形成一第二開口穿過該介電層;以及在該第二開口中沉積一第二導電填充材料。
  2. 如請求項1之半導體裝置的製造方法,其中對該第一開口受損之側壁進行修復包括重建該第一開口之側壁處的氧化矽結構。
  3. 如請求項2之半導體裝置的製造方法,其中形成該第一開口包括進行一非等向性反應離子蝕刻製程,該非等向性反應離子蝕刻製程使用氟碳分子作為一第一前驅物,以及使用氮分子作為一第二前驅物。
  4. 如請求項3之半導體裝置的製造方法,更包括:在重建該第一開口之側壁處的氧化矽結構之後,且在沉積該第一導電填充材料之前,進行一氫電漿處理以經由該第一開口自該第一源極/汲極接觸件的一表面移除一氧化層。
  5. 一種半導體裝置的製造方法,包括:蝕刻出一第一導孔開口穿過一絕緣層以暴露出一鰭式場效電晶體裝置的一源極/汲極區的一導電接觸件的一表面,該第一導孔開口之側壁表面在蝕刻出該第一導孔開口期間受損; 復原該第一導孔開口受損之側壁;使用一由下至上的沉積製程形成一導電插塞穿過該第一導孔開口,且該導電插塞物理接觸該導電接觸件;在形成該導電插塞之後,在一閘極電極上方形成一第二導孔開口穿過該絕緣層;以及在該第二導孔開口中沉積一導電填充材料。
  6. 如請求項5之半導體裝置的製造方法,其中復原該第一導孔開口受損之側壁更包括進行一氧化表面處理,且進行該氧化表面處理更包括進行一快速熱氧化處理,或進行一電漿氧化表面處理。
  7. 如請求項5或6之半導體裝置的製造方法,其中蝕刻出該第一導孔開口包括進行一非等向性電漿蝕刻製程,該非等向性電漿蝕刻製程使用氟碳和氫的組合作為一蝕刻劑。
  8. 一種半導體裝置的製造方法,包括:在一鰭式場效電晶體裝置的一源極/汲極區上形成一第一金屬化層;在該第一金屬化層上沉積一蝕刻停止層;在該蝕刻停止層上沉積一隔離層;蝕刻出一第一導孔開口穿過該隔離層和該蝕刻停止層以暴露出該第一金屬化層的一接觸面積,該第一導孔開口之側壁表面處的氧化矽結構在蝕刻出該第一導孔開口期間受損;重建該第一導孔開口之側壁表面處的氧化矽結構;在該第一導孔開口內沉積一第一金屬柱,且該第一金屬柱物理接觸該第一金屬化層的該接觸面積;以及 在形成該第一金屬柱之後,形成一第二金屬柱穿過該隔離層,且該第二金屬柱物理接觸該鰭式場效電晶體裝置的一閘極電極。
  9. 如請求項8之半導體裝置的製造方法,其中蝕刻出該第一導孔開口包括過度蝕刻該第一金屬化層,其中過度蝕刻該第一金屬化層在該第一金屬化層與該蝕刻停止層的一底面之間形成一底切。
  10. 如請求項8或9之半導體裝置的製造方法,其中形成該第二金屬柱更包括:形成一第二導孔開口,且在該閘極電極上暴露出一接觸面積;以及在不重建該第二導孔開口之側壁表面處的氧化矽結構的情況下,在該第二導孔開口內沉積該第二金屬柱,且該第二金屬柱物理接觸該閘極電極的該接觸面積。
TW109112938A 2019-04-17 2020-04-17 半導體裝置的製造方法 TWI740459B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/387,043 2019-04-17
US16/387,043 US11101353B2 (en) 2019-04-17 2019-04-17 Semiconductor device and method of manufacture

Publications (2)

Publication Number Publication Date
TW202040749A TW202040749A (zh) 2020-11-01
TWI740459B true TWI740459B (zh) 2021-09-21

Family

ID=72831277

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109112938A TWI740459B (zh) 2019-04-17 2020-04-17 半導體裝置的製造方法

Country Status (3)

Country Link
US (2) US11101353B2 (zh)
CN (1) CN111834291A (zh)
TW (1) TWI740459B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11410880B2 (en) * 2019-04-23 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Phase control in contact formation
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11837603B2 (en) 2021-01-22 2023-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Extended side contacts for transistors and methods forming same
US11910722B2 (en) * 2021-12-06 2024-02-20 International Business Machines Corporation Subtractive top via as a bottom electrode contact for an embedded memory

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030236003A1 (en) * 2002-06-21 2003-12-25 Kyeongmo Koo Method of forming barrier layer of semiconductor device
US20060183346A1 (en) * 2005-02-17 2006-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
US20060246738A1 (en) * 2005-04-28 2006-11-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9548366B1 (en) * 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6306737B1 (en) * 1999-01-29 2001-10-23 Texas Instruments Incorporated Method to reduce source-line resistance in flash memory with sti
US6821847B2 (en) * 2001-10-02 2004-11-23 Mosel Vitelic, Inc. Nonvolatile memory structures and fabrication methods
US8637941B2 (en) * 2010-11-11 2014-01-28 International Business Machines Corporation Self-aligned contact employing a dielectric metal oxide spacer
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) * 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10700197B2 (en) * 2017-09-29 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10950732B2 (en) * 2018-09-21 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030236003A1 (en) * 2002-06-21 2003-12-25 Kyeongmo Koo Method of forming barrier layer of semiconductor device
US20060183346A1 (en) * 2005-02-17 2006-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
US20060246738A1 (en) * 2005-04-28 2006-11-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9548366B1 (en) * 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme

Also Published As

Publication number Publication date
US20230387221A1 (en) 2023-11-30
US20200335588A1 (en) 2020-10-22
US20210367042A1 (en) 2021-11-25
TW202040749A (zh) 2020-11-01
US11101353B2 (en) 2021-08-24
CN111834291A (zh) 2020-10-27

Similar Documents

Publication Publication Date Title
TWI740459B (zh) 半導體裝置的製造方法
KR102360538B1 (ko) 절단 금속 게이트를 가지는 반도체 디바이스 및 그 제조 방법
US20240047276A1 (en) Method for forming semiconductor structure with high aspect ratio
US10937686B2 (en) Formation and in-situ treatment processes for gap fill layers
TWI740250B (zh) 半導體裝置及其形成方法
CN111106066B (zh) 半导体器件及其形成方法
CN111261703B (zh) 半导体器件及制造方法
US20200098646A1 (en) Methods of Forming Isolation Features in Metal Gates
CN113140508A (zh) 半导体装置的制造方法
CN111129144A (zh) 栅极间隔件结构及其形成方法
KR20230109536A (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
US11973117B2 (en) Semiconductor device
US20230268223A1 (en) Semiconductor devices and methods of manufacture
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
US20230178361A1 (en) Semiconductor Devices and Methods of Manufacture
TWI830794B (zh) 半導體裝置及其製造方法
US20240006482A1 (en) Semiconductor device and manufacturing method thereof
KR20240009903A (ko) 트랜지스터 접촉부들 및 그 형성 방법들
CN117096175A (zh) 半导体器件及其形成方法
TW202117815A (zh) 半導體裝置結構的形成方法