TWI685894B - 在finfet sram陣列中減少鰭片寬度以減輕低電壓帶位元故障之方法 - Google Patents

在finfet sram陣列中減少鰭片寬度以減輕低電壓帶位元故障之方法 Download PDF

Info

Publication number
TWI685894B
TWI685894B TW106142179A TW106142179A TWI685894B TW I685894 B TWI685894 B TW I685894B TW 106142179 A TW106142179 A TW 106142179A TW 106142179 A TW106142179 A TW 106142179A TW I685894 B TWI685894 B TW I685894B
Authority
TW
Taiwan
Prior art keywords
fin
fins
array
hard mask
width
Prior art date
Application number
TW106142179A
Other languages
English (en)
Other versions
TW201901792A (zh
Inventor
曉強 張
輝 臧
拉里希R 丹卡雷希米
蘭迪W 曼
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW201901792A publication Critical patent/TW201901792A/zh
Application granted granted Critical
Publication of TWI685894B publication Critical patent/TWI685894B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種減少積體電路(IC)中之鰭片寬度的方法,其包括氧化一鰭片陣列中之至少一鰭片的一暴露部份導致該至少一鰭片之該暴露部份的寬度減少。在氧化期間,第一硬遮罩可設置在該鰭片陣列上面,除了該至少一鰭片之該暴露部份以外。第二硬遮罩可選地設置於在該第一硬遮罩下面的該鰭片陣列上面,且在該至少一鰭片之該暴露部份的氧化期間,覆蓋該至少一鰭片之該暴露部份的一部份。氧化該至少一鰭片之該暴露部份可發生於在形成一淺溝槽隔離(STI)於該鰭片陣列中的數對鰭片之間前,在形成該STI於該鰭片陣列的數對鰭片之間後,及/或在一取代金屬閘極製程期間於移除一虛擬閘極後。

Description

在FINFET SRAM陣列中減少鰭片寬度以減輕低電壓帶位元故障之方法
本申請案主張申請於2017年3月8日之第62/468,809號美國臨時專利申請案;申請於2017年3月10日之第62/469,774號美國臨時專利申請案;及申請於2017年3月11日之第62/470,223號美國臨時專利申請案的優先權。
本揭示內容係有關於半導體裝置製造,且更特別的是,有關於減少鰭片寬度之方法,例如,以減輕低電壓帶位元故障(low voltage strap bit fails)。
隨著電子產品的微小化增加,越來越多諸如靜態隨機存取記憶體(static random access memory;SRAM)位元格(bitcell)陣列、邏輯裝置之類的裝置併入非平面鰭形場效電晶體(fin-shaped field effect transistor;FinFET)。在半導體裝置形成(例如,SRAM位元格形成)即將結束的時候,例如在位元線(bit-line;BL)方向及字元線(wordline; WL)方向可建立由此類裝置組成之陣列的終止邊界。例如“帶(strap)”設計的傳統終止技術經設計成可使裝置之位元格的電氣特性保有位元格布局一致性及同質性。傳統陣列終止的一結果包括系統性裝置偏移,例如系統性帶鄰近效應(strap proximity effect;SPE),其包括在該帶附近的位元格讀取電流增加,格穩定性減少,下拉(pull down;PD)及/或傳輸閘(pass gate;PG)臨界電壓(threshold voltage;VT)減少,以及相鄰帶區位元格的低電壓故障計數值(low voltage fail count)增加。
本揭示內容的第一方面針對一種減少積體電路(IC)中之鰭片寬度的方法,該方法包括:氧化一鰭片陣列中之至少一鰭片的一暴露部份,其中,除了該至少一鰭片之該暴露部份以外,設置一第一硬遮罩於該鰭片陣列上面,且其中,該氧化減少該至少一鰭片之該暴露部份的寬度。
本揭示內容的第二方面包括一種減少積體電路(IC)中之鰭片寬度的方法,該方法包括:形成一第一硬遮罩於一基板的一鰭片陣列上面;移除該第一硬遮罩的一部份以暴露該鰭片陣列中之至少一鰭片的一暴露端部,其中,該至少一鰭片之該暴露端部設置在該鰭片陣列的一帶區內;氧化該至少一鰭片之該暴露端部,其中,該氧化造成該至少一鰭片之該暴露端部之一寬度的第一次減少;以及從該鰭片陣列移除該硬遮罩的一其餘部份。
本揭示內容的第三方面包括一種SRAM位元格陣列結構,其包括在一基板中的一鰭片陣列中之至少一鰭片,其中,該鰭片陣列中之該至少一鰭片的一第一部份之寬度小於該至少一鰭片的一第二部份之寬度。
從以下本揭示內容之具體實施例的更詳細說明會明白本揭示內容以上及其他的特徵。
200‧‧‧初始結構
202‧‧‧基板
204‧‧‧鰭片陣列
206、208、210‧‧‧鰭片
212‧‧‧半導體基礎基板
214‧‧‧絕緣體層
216‧‧‧絕緣體上覆半導體(SOI)層
220‧‧‧硬遮罩
240‧‧‧淺溝槽隔離(STI)
250‧‧‧硬遮罩
270‧‧‧虛擬閘極堆疊
280、282‧‧‧間隔體
284‧‧‧源極區
286‧‧‧汲極區
288、290‧‧‧暴露區
292‧‧‧金屬閘極堆疊
300‧‧‧硬遮罩
302‧‧‧氧化區
400‧‧‧SRAM位元格陣列裝置(SRAM陣列)
402‧‧‧帶區
410‧‧‧相鄰帶區位元格
412、414‧‧‧邊界
600‧‧‧初始結構
602‧‧‧基板
604‧‧‧鰭片陣列
606、608、610‧‧‧鰭片
620‧‧‧硬遮罩
700‧‧‧初始結構
702‧‧‧基板
704‧‧‧鰭片陣列
706、708、710‧‧‧鰭片
720‧‧‧淺溝槽隔離(STI)
730‧‧‧第一硬遮罩
S800至S816‧‧‧步驟
W‧‧‧初始寬度
W2‧‧‧縮減寬度
將參考下列附圖詳細描述本揭示內容的數個具體實施例,其中類似的元件用相同的元件符號表示,且其中:第1圖的橫截面圖根據本揭示內容之數個具體實施例圖示有一鰭片陣列之初始半導體結構的實施例,其用於減少一組鰭片在帶區中之一部份的寬度。
第2圖的橫截面圖根據本揭示內容之數個具體實施例圖示有一鰭片陣列之初始半導體結構的實施例,其包括減少一組鰭片在帶區中之一部份的寬度。
第3圖的橫截面圖根據本揭示內容之數個具體實施例部份圖示第1圖的初始半導體結構,其包括形成淺溝槽隔離(STIs)以及減少一組鰭片在帶區中之一部份的寬度。
第4圖的橫截面圖根據本揭示內容之數個具體實施例部份圖示第1圖的初始半導體結構,其包括進一步加工以及在取代金屬閘極製程(replacement metal gate process)期間於移除虛擬閘極堆疊後減少一組鰭片在帶區 中之一部份的寬度。
第5圖的上視圖根據本揭示內容之數個具體實施例圖示SRAM位元格陣列之一部份。
第6圖根據本揭示內容之數個具體實施例圖示減少一組鰭片在帶區中之一部份之寬度的示範製程,其包括步驟6a至6e。
第7圖根據本揭示內容之數個具體實施例圖示減少一組鰭片在帶區中之一部份之寬度的另一示範製程,其包括步驟7a至7f。
第8圖的流程圖根據本揭示內容之數個具體實施例圖示在製造SRAM位元格陣列之全過程中的示範可選的鰭片寬度減少製程。
應注意,本揭示內容的附圖未按比例繪製。附圖旨在只描繪本揭示內容的典型方面,因此不應被視為限制本揭示內容的範疇。附圖中,類似的元件用相同的元件符號表示。
在以下說明中,參考形成彼之一部份且舉例圖示可實施本發明教導之特定示範具體實施例的附圖。充分詳述這些具體實施例使得熟諳此藝者能夠實施本發明教導,且應瞭解,可使用其他具體實施例及做出改變而不脫離本發明教導的範疇。因此,以下說明僅為示範。
第1圖的橫截面圖圖示半導體結構的初始結構200,其用於減少一組鰭片206、208、210在帶區402(參 考第5圖)中之一部份之厚度以減輕SRAM位元格陣列裝置400(以下稱為,“SRAM陣列”)(參考第5圖)之低電壓帶位元故障的方法。在此階段,提供初始結構200,其包括有包括鰭片206、208、210之鰭片陣列204的基板202。鰭片206、208、210的一端例如可設置在SRAM陣列400(參考第5圖)之鰭片陣列204的帶區402(參考第5圖)內。鰭片206、208、210例如可只包括N個鰭片。帶區402(參考第5圖)可包括例如SRAM陣列400的邊緣或邊界(參考第5圖)。在另一實施例中,鰭片206、208、210的一端可設置在SRAM陣列400內的任何適宜位置(參考第5圖)用於減少該鰭片的寬度(W)。基板202可包括半導體基礎基板212、絕緣體層214及絕緣體上覆半導體(semiconductor-on-insulator;SOI)層216。
半導體基礎基板212與SOI層216可包括但不限於:矽、鍺、矽鍺、碳化矽、以及實質由有由公式AlX1GaX2InX3AsY1PY2NY3SbY4界定之組合物的一或更多III-V族化合物半導體組成者,在此X1、X2、X3、Y1、Y2、Y3及Y4為相對比例,各個大於或等於零且X1+X2+X3+Y1+Y2+Y3+Y4=1(1為總相對莫耳量)。其他合適基板包括有組合物ZnA1CdA2SeB1TeB2的II-VI族化合物半導體,在此A1、A2、B1及B2為相對比例,各個大於或等於零且A1+A2+B1+B2=1(1為總莫耳量)。此外,各層的一部份或全部可帶有應變。例如,SOI層216(及/或在彼上面的磊晶層)可帶有應變。
絕緣體層214可包括任何當前已知或以後開發供使用於SOI層的電介質,例如但不限於:二氧化矽或藍寶石。如前述,絕緣體的選擇大體取決於預期應用,其中藍寶石被使用於輻射敏感應用而氧化矽偏好用於改善效能和減少微電子裝置中的短通道效應。絕緣體層214及最上面SOI層216的精確厚度也隨著預期應用而大不相同。
初始結構200可使用任何當前已知或以後開發的半導體製造技術形成,包括但不限於:光刻術(及/或側壁影像轉移(sidewall image transfer;SIT))。在微影術(或“光刻術”)中,輻射敏感“阻劑(resist)”塗層形成,例如,沉積,於將會以某種方式處理的一或更多層上面,例如選擇性摻雜及/或讓它有轉印圖案。有時被稱為光阻劑的阻劑本身首先藉由暴露於輻射而被圖案化(例如,用光蝕刻製程(photo-etching-process;PEP)),在此輻射(選擇性地)通過含有圖案的中介遮罩或樣板。結果,阻劑塗層的暴露或未暴露區域變得或多或少可溶,這取決於所用光阻劑的類型。然後,顯影劑用來移除阻劑中比較可溶的區域留下帶圖案阻劑。然後,帶圖案阻劑可用作隨後可選擇性處理之底下諸層的遮罩,例如以接受摻雜物及/或經受蝕刻。
在沉積材料時,“沉積(depositing)”可包括適用於待沉積材料的任何當前已知或未來開發之技術,包括但不限於:化學氣相沉積(chemical vapor deposition;CVD),低壓CVD(LPCVD),電漿增強CVD(PECVD),半大氣壓CVD(semi-atmosphere CVD;SACVD)及高密度電漿 CVD(HDPCVD),快速熱CVD(RTCVD),超高真空CVD(UHVCVD),有限反應處理CVD(LRPCVD),有機金屬CVD(MOCVD),濺鍍沉積,離子束沉積,電子束沉積,雷射輔助沉積,熱氧化,熱氮化,旋塗法,物理氣相沉積(physical vapor deposition;PVD),原子層沉積(atomic layer deposition;ALD),化學氧化,分子束磊晶(molecular beam epitaxy;MBE),鍍覆,及/或蒸鍍。
蝕刻一般指移除基板(或形成於基板上之結構)的材料,且常用在原處的遮罩來進行,藉此可選擇性地移除基板中之某些區域的材料,同時留下基板之其他區域中未受影響的材料。蝕刻一般有兩類:(i)濕式蝕刻與(ii)乾式蝕刻。濕式蝕刻用溶劑(例如,酸)進行,可選擇其性能以選擇性溶解給定材料(例如,氧化物),同時留下相對完整的另一材料(例如,多晶矽)。選擇性蝕刻給定材料的能力對於許多半導體製程是基本的。濕式蝕刻一般會各向同性地蝕刻勻質材料(例如,氧化物),但是濕式蝕刻也可各向異性地蝕刻單晶材料(例如,矽晶圓)。乾式蝕刻可用電漿進行。藉由調整電漿的參數,電漿系統可用數種模態操作。普通電漿蝕刻產生電中性(neutrally charged)在晶圓的表面起反應的高能自由基。由於中性粒子從所有角度衝擊晶圓,此過程為各向同性(isotropic)。離子研磨或濺鍍蝕刻用大約從一個方向接近晶圓的惰性氣體高能離子轟擊晶圓,因此此過程有高度各向異性(anisotropic)。反應性離子蝕刻(RIE)在居於濺鍍、電漿蝕刻中間的條件下操作且可用 來產生深窄的特徵,例如STI溝槽。
儘管鰭片陣列204在第1圖以3個鰭片206、208、210圖示,然而應瞭解,可形成適宜用於SRAM陣列400(參考第5圖)的任意多個鰭片。
在傳統加工的此一階段,淺溝槽隔離(shallow trench isolation;STI)(例如第3圖的STIs 240)會形成於鰭片206、208、210之間,例如於鰭片206、208之間及鰭片208、210之間。然後,會用傳統加工形成半導體結構的其餘部份,且建立SRAM陣列400(參考第5圖)的終止邊界(例如,第5圖的邊界412、414),例如用“帶”設計技術。當終止邊界最終形成時,系統性帶鄰近效應(SPE)可能發生,包括在該“帶”(參考第5圖,帶區402)附近的位元格讀取電流增加,格穩定性減少,較低的下拉(PD)及/或傳輸閘(PG)臨界電壓(VT),以及相鄰帶位元格的低電壓故障計數值增加。
如第2圖所示,與傳統加工相比,本揭示內容之一具體實施例包括第一次減少鰭片206、208、210在帶區402(參考第5圖)之一端的寬度(W)。第2圖圖示形成硬遮罩220於包括基板202及鰭片陣列204的初始結構200上面。硬遮罩220(以虛線圖示)的形成,例如可用沉積或任何其他當前已知或未來開發用於在半導體製造中形成硬遮罩的技術。然後,可圖案化硬遮罩220(以虛線圖示)以建立氧化區302(參考第4圖),例如於鰭片206、208、210在帶區402(參考第5圖)中的末端之一部份上面,同時在鰭片 206、208、210的其餘部份上面保持完整。例如,硬遮罩220(以虛線圖示)的圖案化可建立鰭片206、208、210的暴露端部。例如,鰭片206、208、210可包括N個鰭片,且P個鰭片(未圖示)可仍然被硬遮罩220覆蓋。第2圖圖示以虛線圖示的硬遮罩220之其餘部份,以圖解說明鰭片206、208、210之末端在圖案化硬遮罩220(以虛線圖示)後暴露的實施例。氧化區302(參考第4圖)可包括例如帶區402(參考第5圖),及/或帶區402(參考第5圖)中包括鰭片206、208、210之一端的部份。在未圖示的另一實施例中,氧化區302(參考第4圖)可包括SRAM陣列400(參考第5圖)中適宜用於減少鰭片寬度的任何其他區域。包括硬遮罩220與鰭片206、208、210之末端的初始結構200(參考第1圖)可經受氧化製程。如第2圖所示,該氧化製程可減少鰭片206、208、210在氧化區302(參考第4圖)內之暴露端部的初始寬度W(以虛線圖示)。第2圖圖示包括初始寬度W(以虛線圖示)之鰭片206、208、210被硬遮罩220覆蓋的區域。第2圖圖示鰭片206、208、210之暴露端部在氧化後的縮減寬度W2。鰭片206、208、210之暴露端部的縮減寬度W2,在此該等暴露端部皆設置在SRAM陣列400(參考第5圖)的帶區402(參考第5圖)內,例如可導致帶區位元電晶體的臨界電壓增加,從而減少相鄰帶區位元格(參考第5圖,相鄰帶區位元格410)的低電壓故障計數值。縮減寬度W2可包括使初始寬度W(以虛線圖示)例如減少約0.5奈米至約1奈米。儘管未圖示於第2圖,然而隨後可用任何當前已知或以後開發的半導體製造技術移除硬遮罩220的其餘部份。
在形成硬遮罩220(以虛線圖示)之前,可選地形成第二硬遮罩(未圖示)於包括鰭片陣列204的基板202上面。硬遮罩220的形成,例如可用沉積或任何其他當前已知或未來開發用於在半導體製造中形成硬遮罩的技術。例如用沉積及/或蝕刻,可形成第二硬遮罩(未圖示)以覆蓋鰭片206、208、210的任何適宜部份。第二硬遮罩(未圖示)例如可保持完整,包括在圖案化硬遮罩220後在氧化區302(參考第4圖)內的。第二硬遮罩(未圖示)可防止減少鰭片206、208、210的初始鰭片寬度W,在此該第二硬遮罩覆蓋鰭片,例如包括鰭片206、208、210的暴露端部之一部份。
在未圖示的另一實施例中,可形成另一硬遮罩於SRAM陣列400(參考第5圖)的附加組件上面,以在鰭片206、208、210之暴露端部的氧化期間保護該等組件。在未圖示的一實施例中,硬遮罩可位於例如位元格在帶區402(參考第5圖)中的上拉(pull up;PU)電晶體上面。
用語“遮罩”可用於一層材料,其施加於底下的材料層上面且被圖案化成具有數個開口,藉此可加工有開口的底下層。在加工後,可移除遮罩。常見遮罩材料可包括例如氮化物、氧化物、低k或高k電介質。該遮罩可包括變動的厚度。
在此時,半導體結構的其餘部份隨後可用傳統加工形成,且建立SRAM陣列400(參考第5圖)的終止 邊界(例如,第5圖的邊界412、414),例如用“帶”設計技術。
如第3圖所示,與傳統加工相比,本揭示內容之一具體實施例可包括在形成STI 240後第二次減少鰭片206、208、210之暴露端部的寬度。在一實施例中,除了鰭片206、208、210之暴露端部的初始寬度W的第一次減少以外,如以上在說明第2圖時所述,可進行鰭片206、208、210之暴露端部之該寬度的第二次減少(亦即,施加於鰭片206、208、210之暴露端部之縮減寬度W2的第二次減少)。在另一實施例中,鰭片206、208、210之暴露端部之該寬度的第二次減少可以只減少鰭片206、208、210之暴露端部的寬度(亦即,施加於鰭片206、208、210之暴露端部之初始寬度W的第二次減少,以及不進行以上在說明第2圖時所述的第一次減少)。第3圖所示的實施例不進行以上在說明第2圖時所述之第一次減少,且第二次寬度減少施加於鰭片206、208、210之暴露端部的初始寬度W。如上述,在傳統加工中,在形成初始結構200後,可各自在鰭片206、208與鰭片208、210之間形成STI 240。雖然圖示4個STI,然而應瞭解,可形成適宜用於SRAM陣列400(參考第5圖)的任意多個STI。儘管圖示STIs 240大約覆蓋鰭片206、208、210的半個高度,然而應瞭解,STIs 240可覆蓋該等鰭片的任何適宜部份。STIs 240的形成可用任何當前已知或未來開發用於在半導體結構中形成STI的技術。硬遮罩250(以虛線圖示)可形成於初始結構200及STIs 240上面。硬遮罩250(以虛線圖示)的形成,例如,可用沉積或任何其他當前已知或未來開發用於在半導體製造中形成硬遮罩的技術。然後,可圖案化硬遮罩250(以虛線圖示)以建立氧化區302(參考第4圖),例如於鰭片206、208、210的暴露端部上面,同時在鰭片陣列204之鰭片206、208、210的其餘部份上面保持完整。例如,鰭片206、208、210可包括N個鰭片,且P個鰭片(未圖示)可仍然被硬遮罩250(以虛線圖示)覆蓋。第3圖圖示以虛線圖示之硬遮罩250在圖案化後之其餘部份以圖解說明鰭片206、208、210之暴露端部不被硬遮罩250覆蓋的實施例。氧化區302(參考第4圖)可包括例如帶區402(參考第5圖),及/或帶區402(參考第5圖)中包括鰭片206、208、210之暴露端部的部份。在未圖示的另一實施例中,氧化區302(參考第4圖)可包括SRAM陣列400(參考第5圖)之一鰭片陣列的3個最外面鰭片。在未圖示的另一實施例中,氧化區302(參考第4圖)可包括SRAM陣列400(參考第5圖)中適宜用於減少鰭片寬度的任何其他區域。初始結構200、硬遮罩250(以虛線圖示)及包括鰭片206、208、210之暴露端部的氧化區302(參考第4圖)可經受氧化製程。如第3圖所示,該氧化製程可減少鰭片206、208、210之暴露端部在氧化區302(參考第4圖)內的初始寬度W。如第3圖所示,例如,STIs 240可覆蓋鰭片206、208、210之暴露端部的一部份,且例如可防止減少該等鰭片之暴露端部的底部的初始寬度W。第3圖圖示在氧化後包括初始 寬度W(以虛線圖示)之鰭片206、208、210被硬遮罩250覆蓋的部份以圖解說明鰭片206、208、210之暴露端部的初始寬度W被減少到縮減寬度W2的實施例。
鰭片206、208、210之暴露端部的縮減寬度W2,在此鰭片206、208、210之暴露端部例如皆設置在SRAM陣列400(參考第5圖)之帶區402(參考第5圖)內,例如可導致帶區位元電晶體的臨界電壓增加,從而減少相鄰帶位元格(參考第5圖,相鄰帶區位元格410)的低電壓故障計數值。鰭片206、208、210之暴露端部的縮減寬度W2可包括使初始寬度W例如減少約0.5奈米至約1奈米。儘管未圖示於第2圖,硬遮罩250(以虛線圖示)的其餘部份隨後可用任何當前已知或以後開發的半導體製造技術移除。
在形成硬遮罩250(以虛線圖示)之前,可選地形成第二硬遮罩(未圖示)於初始結構200及STIs 240上面。該第二硬遮罩(未圖示)的形成,例如,可用沉積或任何其他當前已知或未來開發用於在半導體製造中形成硬遮罩的技術。該第二硬遮罩(未圖示)例如可覆蓋鰭片206、208、210之暴露端部的高度之一附加部份。例如,可形成第二硬遮罩(未圖示)以覆蓋鰭片206、208、210之暴露端部的任何適宜部份,例如用沉積及/或平坦化。該第二硬遮罩(未圖示)例如在平坦化硬遮罩250以形成氧化區302(參考第4圖)後可保持完整以建立鰭片206、208、210之暴露端部。該第二硬遮罩(未圖示)可防止減少鰭片206、208、210之暴露端部之一部份的初始寬度W,在此該第二硬遮罩覆蓋該等鰭片的暴露端部。
在未圖示的另一實施例中,可形成另一硬遮罩於SRAM陣列400(參考第5圖)的附加組件上面,以在氧化鰭片206、208、210之暴露端部期間保護該等組件。在未圖示的一實施例中,硬遮罩可位於例如位元格在帶區402(參考第5圖)中的上拉(PU)電晶體。
在此時,隨後會用傳統加工形成半導體結構的其餘部份,且建立SRAM陣列400(參考第5圖)的終止邊界(例如,第5圖的邊界412、414),例如用“帶”設計技術。
例如,在形成STIs 240後及/或在鰭片206之寬度W的第二次減少後,可形成虛擬閘極堆疊(例如,參考第4圖以虛線圖示的虛擬閘極堆疊270)於鰭片陣列204之一部份上面,可形成數個間隔體(例如,參考第4圖的間隔體280、282),可形成源極/汲極區(例如,參考第4圖的源極區284和汲極區286),可移除該虛擬閘極堆疊,進行取代金屬閘極(replacement metal gate;RMG)製程,以及形成一介電層。該虛擬閘極堆疊(dummy gate stack)的形成可用任何當前已知或未來開發用於在半導體結構中形成虛擬閘極堆疊的技術。該虛擬閘極堆疊可包括例如多晶矽,及/或適宜用於形成該虛擬閘極堆疊的任何其他材料。該等間隔體(spacer)可沿著該虛擬閘極堆疊的側壁形成。該等間隔體的形成可用任何當前已知或未來開發用於在半導體結構中形成間隔體的技術。源極區與汲極區可藉由磊晶成長一磊晶層(epi-layer),例如矽鍺,從鰭片206、208、210的暴露區(例如,參考第4圖的暴露區288、290)形成。該源極區與該汲極區的形成可從任何當前已知或未來開發用於在半導體結構中形成源極及/或汲極區的技術。如眾所周知,鰭片206、208、210之暴露區的摻雜,例如在形成時用離子植入或原位摻雜。也如眾所周知,引入半導體的摻雜劑元素(dopant element)可建立p型(受體)或者是n型(施體)傳導性。矽的常見摻雜劑為用於p型的硼(B)、銦(In);以及用於n型的磷(P)、砷(As)、銻(Sb)。摻雜劑有兩種:“施體(donor)”與“受體(acceptor)”。N型植入物為施體而P型者為受體。例如,可在形成源極/汲極區後移除該虛擬閘極堆疊。該虛擬閘極堆疊的移除可用任何當前已知或未來開發用於在半導體結構中移除虛擬閘極堆疊的技術。可進行根據傳統技術的RMG製程,例如,包括在間隔體之間形成金屬閘極堆疊。RMG製程可包括任何當前已知或以後開發的半導體製造技術。一介電層可形成於該結構上面。儘管已描述用於傳統加工的步驟,然而應瞭解,傳統加工可包括未描述於本文的附加步驟。
如第4圖所示,與傳統加工相比,本揭示內容之一具體實施例更可包括:在一取代金屬閘極製程期間於移除虛擬閘極堆疊270(以虛線圖示)之後,第三次減少鰭片206、208、210之暴露端部之該寬度。在一實施例中,除了鰭片206、208、210之暴露端部之該寬度的第一次減 少,如以上說明第2圖時所述,及/或,如以上說明第3圖時所述,鰭片206、208、210之暴露端部之該寬度的第二次減少以外,可進行鰭片206、208、210之暴露端部之該寬度的第三次減少。在另一實施例中,鰭片206、208、210之暴露端部之該寬度的第三次減少可以只減少鰭片206、208、210之暴露端部的寬度(亦即,施加於鰭片206、208、210之暴露端部之初始寬度W的第三次減少,且不進行如以上在說明第2圖時所述的第一次寬度減少與如以上在說明第3圖時所述的第二次寬度減少)。第4圖圖示不進行第一次寬度減少及第二次寬度減少,以及第三次寬度減少施加於鰭片206、208、210之暴露端部之初始寬度W的實施例。
第4圖圖示使鰭片206、208、210之暴露端部的初始寬度W減少到縮減寬度W2。硬遮罩(未圖示)可形成於圖示於第4圖的結構上面,其包括基板202、鰭片陣列204、間隔體280、282、STIs(未圖示)等等。該硬遮罩的形成,例如可用沉積或任何其他當前已知或未來開發用於在半導體製造中形成硬遮罩的技術。然後,可圖案化該硬遮罩以建立氧化區302,例如於鰭片206、208、210之暴露端部上面,同時在鰭片陣列204之鰭片208、210的其餘部份上面保持完整。例如,鰭片206、208、210可包括N個鰭片,且P個鰭片(未圖示)可仍然被該硬遮罩覆蓋。氧化區302可包括例如帶區402(參考第5圖),及/或帶區402(參考第5圖)中包括鰭片206、208、210之暴露端 部的部份。在未圖示的另一實施例中,氧化區302可包括在SRAM陣列400(參考第5圖)中的一鰭片陣列中之3個最外面鰭片。在未圖示的另一實施例中,氧化區302可包括在SRAM陣列400(參考第5圖)中適宜用於減少鰭片寬度的任何其他區域。如第4圖所示,該氧化製程可減少鰭片206、208、210在氧化區302內暴露的暴露端部之初始寬度W。儘管鰭片206、208、210在第4圖的實施例中完全可見,然而應瞭解,可存在沿著鰭片之側面的STIs(例如,參考第3圖的STIs 240)。鰭片206、208、210之暴露端部的縮減寬度W2,在此鰭片206、208、210之暴露端部例如皆設置在SRAM陣列400(參考第5圖)的帶區402(參考第5圖)內,例如可導致帶區位元電晶體的臨界電壓增加,從而減少相鄰帶位元格(參考第5圖,相鄰帶區位元格410)的低電壓故障計數值。鰭片206、208、210之暴露端部的縮減寬度W2可包括使初始寬度W減少例如約0.5奈米至約1奈米。在氧化製程之後且在移除硬遮罩300(未圖示)的其餘部份之前,包括鰭片206、208、210之暴露端部的氧化區302例如可選地暴露於氫氟酸(hydrofluoric acid;HF)以減少初始寬度W。硬遮罩300(未圖示)的其餘部份隨後可用任何當前已知或以後開發的半導體製造技術移除。儘管圖示有特定個數的虛擬閘極堆疊、源極/汲極區、鰭片的暴露端部、鰭片等等,然而應瞭解,SRAM陣列400(參考第5圖)可加入任意多個所欲組件。
在形成硬遮罩300(未圖示)之前,第二硬遮罩 (未圖示)可選地形成於鰭片陣列204上面。該第二硬遮罩(未圖示)的形成,例如,可用沉積或任何其他當前已知或未來開發用於在半導體製造中形成硬遮罩的技術。該第二硬遮罩(未圖示)例如可大約覆蓋鰭片206、208、210中包括鰭片206、208、210之暴露端部的半個高度。替換地,例如,可形成第二硬遮罩(未圖示)以覆蓋鰭片206、208、210之高度的任何適宜部份,例如用沉積及/或平坦化。在圖案化硬遮罩300(未圖示)以形成氧化區302後,該第二硬遮罩(未圖示)例如可保持完整。該第二硬遮罩(未圖示)可防止減少鰭片206、208、210之暴露端部的初始寬度W的一部份,在此該硬遮罩覆蓋鰭片206、208、210之暴露端部。
在未圖示的另一實施例中,在氧化鰭片206、208、210之暴露端部期間,可形成另一硬遮罩於SRAM陣列(參考第5圖)的附加組件上面以保護該等組件。在未圖示的一實施例中,一硬遮罩可位於例如位元格在帶區402(參考第5圖)中的上拉(PU)電晶體。
在此時,隨後會用傳統加工(用任何當前已知或以後開發的半導體製造技術)形成半導體結構的其餘部份,且建立SRAM陣列400(參考第5圖)的終止邊界(例如,第5圖的邊界412、414),例如用“帶”設計技術。
儘管未圖示於第4圖,可進行額外的加工步驟及/或變更,在此氧化區302包括SRAM陣列400(參考第5圖)的帶區402(參考第5圖),例如為了減少相鄰帶區位元格的低電壓故障計數值。在未圖示的一實施例中,在 加工期間可增加金屬閘極堆疊292在鰭片206上面的寬度。在未圖示的另一實施例中,可增加間隔體280、282沿著金屬閘極堆疊292之側壁的寬度。在未圖示的另一實施例中,可減少鰭片206、208、210之源極區284或汲極區286的厚度。在未圖示的另一實施例中,可實作在下拉(PD)及傳輸閘(PG)上的較高功函數金屬及/或用於下拉(PD)及傳輸閘(PG)的較高環狀植入物(halo implant),例如以減緩PD及PG。
第5圖圖示SRAM陣列400,其包括鰭片陣列204,鰭片206、208、210,帶區402,相鄰帶區位元格410,及邊界412、414。如上述,在SRAM陣列400之傳統加工即將結束時,可建立終止邊界,例如邊界412、414。加入該傳統SRAM陣列終止技術,“帶”設計,例如帶區402,以使裝置之位元格的電氣特性保有位元格布局一致性及同質性。以帶設計終止的一結果包括系統性裝置偏移,例如,系統性帶鄰近效應(SPE),其包括在該帶(例如,帶區402)附近的位元格讀取電流增加,格穩定性減少,下拉(PD)及/或傳輸閘(PG)臨界電壓(VT)減少,以及相鄰帶區位元格(例如,相鄰帶區位元格410)的低電壓故障計數值增加。
根據本揭示內容之數個具體實施例,如上述,減少至少一鰭片之暴露端部在帶區402內的寬度例如可能增加Vt且減少相鄰帶位元格的低電壓故障計數值。例如,可用描述於本文的鰭片寬度減少製程減少鰭片206、 208、210之暴露端部在帶區402內的初始寬度W。包括上述鰭片206、208、210之暴露端部的氧化區302(參考第4圖)可包括例如帶區402。在另一實施例中,帶區402可包括SRAM陣列400的3個最外面鰭片。在一實施例中,可獨立進行鰭片206、208、210之暴露端部之初始寬度W的第一次減少(如第1圖所示),鰭片206、208、210之暴露端部之初始寬度W的第二次減少(如第2圖所示),以及鰭片206、208、210之暴露端部之初始寬度W的第三次減少(如第3圖所示),以減少鰭片206的初始寬度W。例如,只第二次減少鰭片206、208、210之暴露端部的初始寬度W,除了SRAM陣列400的傳統加工以外。在另一實施例中,可以任何組合的方式進行鰭片206、208、210之暴露端部之初始寬度W如第1圖所示的第一次減少,如第2圖所示的第二次寬度減少,以及如第3圖所示的第三次寬度減少。例如,可進行第一次鰭片寬度減少(參考第1圖)、第二次鰭片寬度減少(參考第2圖)及第三次鰭片寬度減少(參考第3圖),除了SRAM陣列400(參考第5圖)的傳統加工以外。在另一實施例中,可進行第一次鰭片寬度減少(參考第1圖)與第三次鰭片寬度減少(參考第3圖),除了SRAM陣列400的傳統加工以外。應瞭解,SRAM陣列400可包括含有鰭片的任何半導體製造裝置。
第6圖圖示用於減少鰭片之暴露端部之寬度的示範製程。第6a圖圖示包括基板602的初始結構600,與包括鰭片606、608、610的鰭片陣列604。鰭片606、608、 610例如可只包括N個鰭片。鰭片606、608、610可包括初始寬度W。第6b圖圖示硬遮罩620在初始結構600上面的形成。儘管圖示完全覆蓋鰭片606、608、610的硬遮罩620,然而可形成硬遮罩620以覆蓋鰭片606、608、610的任何適宜部份。第6c圖圖示移除硬遮罩620例如在鰭片606、608、610之暴露端部上面的一部份,以建立氧化區302(參考第4圖)且暴露鰭片606、608、610的暴露端部。第6c圖以虛線圖示未被移除硬遮罩620的部份。第6d圖圖示氧化包括鰭片606、608、610之暴露端部之結構的結果。例如,鰭片606、608、610之暴露端部的初始寬度W可減少到縮減寬度W2。第6d圖以虛線圖示硬遮罩620的其餘部份,以及鰭片606、608、610中在氧化期間被硬遮罩620覆蓋之部份的初始寬度W。第6e圖圖示包括鰭片606、608、610中有縮減寬度W2之暴露端部的初始結構600,且以虛線圖示在移除硬遮罩620之其餘部份後有初始寬度W的鰭片606、608、610之部份。
第7圖圖示用於減少鰭片之暴露端部之寬度的示範製程。例如,可進行圖示於第7圖的示範製程作為一鰭片陣列的第一減少製程,或作為一鰭片陣列的第二減少製程,其中例如至少一鰭片之一部份有先前被第一減少製程減少的寬度。在第7圖的實施例中,該鰭片寬度減少製程實施例圖示為在鰭片陣列上進行的第一寬度減少製程。第7a圖圖示初始結構700,其包括基板702,包括鰭片706、708、710的鰭片陣列704。鰭片706、708、710 例如可只包括N個鰭片。鰭片706、708、710可包括初始寬度W。第7b圖圖示STIs 720在初始結構700上面形成於鰭片706、708、710之間。儘管圖示大約覆蓋鰭片之半個高度的STIs 720,然而應瞭解,可形成覆蓋鰭片706、708、710之任何適宜部份的STIs 720。第7c圖圖示第一硬遮罩730在初始結構700及STIs 720上面的形成。儘管圖示完全覆蓋鰭片706、708、710之其餘部份的第一硬遮罩730,然而可形成覆蓋鰭片706、708、710之任何適宜部份的第一硬遮罩730。第7d圖圖示移除第一硬遮罩730(以虛線圖示)例如在鰭片706、708、710之端部上面的一部份以建立氧化區302(參考第4圖)和建立鰭片706、708、710的暴露端部。第7d圖以虛線圖示第一硬遮罩730的其餘部份。第7e圖圖示氧化包括氧化區302(參考第4圖)之結構的結果。例如,鰭片706、708、710之暴露端部的初始寬度W可減少到縮減寬度W2,在此第一硬遮罩730不覆蓋鰭片706、708、710之暴露端部。第7e圖圖示第一硬遮罩730的其餘部份,以及包括初始寬度W(以虛線圖示)之鰭片706、708、710在氧化期間被第二硬遮罩(未圖示)覆蓋的部份。第7f圖圖示初始結構700,其包括鰭片706、708、710在移除第一硬遮罩730之其餘部份後包括縮減寬度W2的暴露端部。第7f圖圖示在移除第一硬遮罩之其餘部份後包括初始寬度W(以虛線圖示)之鰭片706、708、710在氧化期間被第一硬遮罩730覆蓋的部份。
第8圖的示範流程圖圖示在製造SRAM陣列 400(參考第5圖)之全過程中的可選的鰭片寬度減少製程。步驟S800可包括例如包括鰭片206、208、210(參考第1圖)之初始結構200(參考第1圖)的傳統形成。步驟S802可包括在形成鰭片後的第一可選的鰭片寬度減少,如第2圖及第6圖所示。步驟S804可包括淺溝槽隔離(STI)的傳統形成。例如,在步驟S800後,或在可選的步驟S802後,可進行步驟S804。步驟S806可包括在形成STI後的第二可選的鰭片寬度減少,例如,圖示於第3圖及第7圖的鰭片寬度減少。例如,在步驟S800及S804後,或在步驟S800、S802及S804後,可進行步驟S806。步驟S808可包括閘極電極、間隔體、磊晶層等等在步驟S804及/或S806之後的傳統形成。步驟S810可包括例如取代金屬閘極(RMG)製程的一組開始步驟。例如,步驟S810可包括一直到移除閘極電極的RMG步驟,(參考,例如第4圖的虛擬閘極堆疊270)。步驟S812可包括第三可選的鰭片寬度減少,例如圖示於第4圖的鰭片寬度減少。例如,在步驟S800、S804、S808及S810後,可進行步驟S812。例如,在步驟S800、S802、S804、S808及S810後,可進行步驟S812。例如,在步驟S800、S804、S806、S808及S810後,可進行步驟S812。在另一實施例中,在步驟S800、S802、S804、S806、S808及S810後,可進行步驟S812。步驟S814可包括RMG製程的其餘部份,例如,在形成於步驟S808的間隔體之間沉積一閘極電極。在步驟S810及/或S812後,可進行步驟814。例如,步驟816可包括SRAM 陣列400(參考第5圖)的其他傳統加工。
如以上所述的方法使用於積體電路晶片的製造。所得積體電路晶片可由製造者以原始晶圓形式(raw wafer form)(也就是具有多個未封裝晶片的單一晶圓)、作為裸晶粒(bare die)或已封裝的形式來銷售。在後一情形下,晶片裝在單晶片封裝中(例如,塑膠載體(plastic carrier),具有固定至主機板或其他更高層載體的引腳(lead)),或多晶片封裝體中(例如,具有表面互連件(surface interconnection)或內嵌互連件(buried interconnection)任一或兩者兼具的陶瓷載體)。然後,在任一情形下,晶片與其他晶片、離散電路元件及/或其他信號處理裝置集成成為(a)中間產品(例如,主機板),或(b)最終產品中之任一者的一部分。該最終產品可為包括積體電路晶片的任何產品,從玩具及其他低端應用到具有顯示器、鍵盤或其他輸入裝置及中央處理器的先進電腦產品不等。
用於本文的術語只為了要描述特定具體實施例而非旨在限制本揭示內容。如本文所使用的,單數形式“一”、“一個”及“該”旨在也包括複數形式,除非上下文中另有明確指示。更應瞭解,用語“包含”及/或“包括”在使用於專利說明書中時係具體描述提及之特徵、整數、步驟、操作、元件及/或組件的存在,但不排除存在或加入一或更多其他特徵、整數、步驟、操作、元件及/或彼等之群組。“可選的”或“可選地”意指隨後所述事件或情況可能發生也可能不發生,以及該描述包括發生事件的實例與不發生事 件的實例。
可應用如用於本專利說明書及申請專利範圍中的近似語以修飾允許改變而不導致相關基本功能改變的任何數量表示法。因此,用一用語或數個用語例如“約”、“大約”及“實質上”修飾的數值,不受限於指定的確切數值。至少在某些情況下,該近似語可對應至用於測量該數值之儀器的精確度。在本專利說明書及申請專利範圍中,範圍限制可予以組合及/或互換,此類範圍被識別且包括包含於其中的所有子範圍,除非上下文或語言另有說明。適用於一範圍中之一特定數值的“大約”適用於可表示提及數值的+/-10%的兩個數值,且除非取決於測量該數值之儀器的精確度。
所有構件或步驟的對應結構、材料、動作以及等效物加上下列申請專利範圍之中的功能元件旨在包括用於與其他主張元件結合一起按具體主張方式完成功能的任何結構、材料或動作。提出本揭示內容的描述是為了圖解說明而非旨在窮盡或以所揭示之形式限制本揭示內容。本技藝一般技術人員明白有許多修改及變體而不脫離本揭示內容的範疇及精神。該具體實施例經選擇及描述成可最佳地解釋本揭示內容的原理及其實際應用,且使得本技藝的其他一般技術人員能夠了解本揭示內容有不同修改的不同具體實施例適合使用於想到的特定用途。
S800至S816‧‧‧步驟

Claims (19)

  1. 一種減少積體電路(IC)中之鰭片寬度的方法,該方法包含:氧化一鰭片陣列中之至少一鰭片的一暴露部份,其中,除了該至少一鰭片之該暴露部份以外,設置一第一硬遮罩於該鰭片陣列上面,且其中,該氧化減少該至少一鰭片之該暴露部份的一寬度,其中,氧化該至少一鰭片之該暴露部份在一取代金屬閘極製程期間於移除一虛擬閘極後發生。
  2. 如申請專利範圍第1項所述之方法,其中,氧化該至少一鰭片之該暴露部份在形成一淺溝槽隔離(STI)於該鰭片陣列的數對鰭片之間前發生。
  3. 如申請專利範圍第1項所述之方法,其中,氧化該至少一鰭片之該暴露部份在形成一淺溝槽隔離(STI)於該鰭片陣列的數對鰭片之間後發生。
  4. 如申請專利範圍第1項所述之方法,其中,該至少一鰭片之該暴露部份為該至少一鰭片設置在該鰭片陣列之一帶區中的一端。
  5. 如申請專利範圍第1項所述之方法,其中,該至少一鰭片為該鰭片陣列的一組3個最外面鰭片中之一者。
  6. 如申請專利範圍第1項所述之方法,其中,該至少一鰭片包括一N型鰭片。
  7. 如申請專利範圍第1項所述之方法,更包含:形成一第二硬遮罩於該鰭片陣列上面且於該第一硬遮罩下面,其 中,該第二硬遮罩覆蓋該至少一鰭片之該暴露部份的一高度之一部份。
  8. 一種減少積體電路(IC)中之鰭片寬度的方法,該方法包含:形成一第一硬遮罩於一基板的一鰭片陣列上面;移除該第一硬遮罩的一部份以暴露該鰭片陣列中之至少一鰭片的一暴露端部,其中,該至少一鰭片之該暴露端部設置在該鰭片陣列的一帶區內;氧化該至少一鰭片之該暴露端部,其中,該氧化造成該至少一鰭片之該暴露端部之一寬度的第一次減少;以及從該鰭片陣列移除該第一硬遮罩的一其餘部份。
  9. 如申請專利範圍第8項所述之方法,更包含:在沉積該第一硬遮罩於該鰭片陣列上面之前,沉積一第二硬遮罩於該鰭片陣列上面,其中,在移除該第一硬遮罩之一部份之後,該第二硬遮罩覆蓋該至少一鰭片之該暴露端部的一高度之一部份。
  10. 如申請專利範圍第8項所述之方法,在形成該第一硬遮罩之前更包含:形成一淺溝槽隔離(STI)於在該基板中之該鰭片陣列的數對鰭片之間;形成一虛擬閘極堆疊於該鰭片陣列之一部份上面;形成沿著該虛擬閘極堆疊之一第一側壁及一第二 側壁的一組間隔體;磊晶成長一磊晶層於該虛擬閘極堆疊上面;形成一源極區及一汲極區於該鰭片陣列的各鰭片中;以及移除該虛擬閘極堆疊。
  11. 如申請專利範圍第10項所述之方法,其中,形成該組間隔體包括:增加該組間隔體在該鰭片陣列之一帶區內的一關鍵尺寸。
  12. 如申請專利範圍第10項所述之方法,其中,磊晶成長該磊晶層包括:減少該磊晶層在該鰭片陣列之一帶區內的一寬度。
  13. 如申請專利範圍第10項所述之方法,其中,形成該虛擬閘極堆疊包括:增加該虛擬閘極堆疊在該鰭片陣列之一帶區內的一關鍵尺寸。
  14. 如申請專利範圍第8項所述之方法,更包含:在移除該第一硬遮罩之其餘部份之後,在該基板中形成一組淺溝槽隔離(STI),其中,該組淺溝槽隔離中之各淺溝槽隔離設置在該鰭片陣列的數對鰭片之間;在形成該組淺溝槽隔離後,形成一第二硬遮罩於該鰭片陣列上面;移除該第二硬遮罩的一部份以暴露該鰭片陣列之該至少一鰭片的該暴露端部,其中,該至少一鰭片之該暴露端部設置在該鰭片陣列的一帶區內;氧化該至少一鰭片之該暴露端部,其中,該氧化 造成該至少一鰭片之該暴露端部之該寬度的第二次減少;以及移除該第二硬遮罩的一其餘部份。
  15. 一種SRAM位元格陣列結構,其包含在一基板中之一鰭片陣列中的至少一鰭片,其中,該鰭片陣列中之該至少一鰭片的一第一部份之寬度小於該至少一鰭片的一第二部份之寬度。
  16. 如申請專利範圍第15項所述之SRAM位元格陣列結構,其中,該鰭片陣列中之該至少一鰭片的一部份設置在該鰭片陣列的一帶區中。
  17. 如申請專利範圍第15項所述之SRAM位元格陣列結構,其中,該鰭片陣列中之該至少一鰭片的一部份為該至少一鰭片的一端。
  18. 如申請專利範圍第15項所述之SRAM位元格陣列結構,其中,該至少一鰭片包括一N型鰭片。
  19. 如申請專利範圍第15項所述之SRAM位元格陣列結構,其中,該至少一鰭片之該第一部份的寬度比該至少一鰭片之該第二部份小約0.5奈米至約1奈米。
TW106142179A 2017-03-11 2017-12-01 在finfet sram陣列中減少鰭片寬度以減輕低電壓帶位元故障之方法 TWI685894B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762470223P 2017-03-11 2017-03-11
US15/603,827 2017-05-24
US15/603,827 US10163914B2 (en) 2017-03-08 2017-05-24 Method of reducing fin width in FinFET SRAM array to mitigate low voltage strap bit fails

Publications (2)

Publication Number Publication Date
TW201901792A TW201901792A (zh) 2019-01-01
TWI685894B true TWI685894B (zh) 2020-02-21

Family

ID=63445109

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106142179A TWI685894B (zh) 2017-03-11 2017-12-01 在finfet sram陣列中減少鰭片寬度以減輕低電壓帶位元故障之方法

Country Status (3)

Country Link
US (2) US10163914B2 (zh)
CN (1) CN108962826B (zh)
TW (1) TWI685894B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10157987B1 (en) * 2017-08-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-based strap cell structure
US11037937B2 (en) 2019-11-20 2021-06-15 Globalfoundries U.S. Inc. SRAM bit cells formed with dummy structures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US20110039380A1 (en) * 2009-08-12 2011-02-17 Imec Method for Forming a Floating Gate Non-Volatile Memory Cell

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005086024A (ja) 2003-09-09 2005-03-31 Toshiba Corp 半導体装置及びその製造方法
KR20090111046A (ko) * 2008-04-21 2009-10-26 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
US8669186B2 (en) * 2012-01-26 2014-03-11 Globalfoundries Inc. Methods of forming SRAM devices using sidewall image transfer techniques
US9196540B2 (en) * 2012-02-07 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure with novel edge fins
US8716156B1 (en) * 2013-02-01 2014-05-06 Globalfoundries Inc. Methods of forming fins for a FinFET semiconductor device using a mandrel oxidation process
US9006842B2 (en) 2013-05-30 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning strain in semiconductor devices
US9472446B2 (en) * 2014-06-18 2016-10-18 Globalfoundries Inc. Methods of forming a FinFET semiconductor device with a unique gate configuration, and the resulting FinFET device
US20160268378A1 (en) * 2015-03-12 2016-09-15 Globalfoundries Inc. Integrated strained fin and relaxed fin
US9627378B2 (en) * 2015-06-30 2017-04-18 International Business Machines Corporation Methods of forming FINFETs with locally thinned channels from fins having in-situ doped epitaxial cladding
US9865597B2 (en) * 2015-09-08 2018-01-09 Samsung Electronics Co., Ltd. Semiconductor device having fin and dual liner
US10032869B2 (en) * 2016-08-17 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device having position-dependent heat generation and method of making the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US20110039380A1 (en) * 2009-08-12 2011-02-17 Imec Method for Forming a Floating Gate Non-Volatile Memory Cell

Also Published As

Publication number Publication date
CN108962826A (zh) 2018-12-07
US10163914B2 (en) 2018-12-25
US20180261605A1 (en) 2018-09-13
US20190019798A1 (en) 2019-01-17
TW201901792A (zh) 2019-01-01
US10332897B2 (en) 2019-06-25
CN108962826B (zh) 2023-07-28

Similar Documents

Publication Publication Date Title
US7727842B2 (en) Method of simultaneously siliciding a polysilicon gate and source/drain of a semiconductor device, and related device
US9263449B2 (en) FinFET and fin-passive devices
TWI752530B (zh) 用於sram的非對稱閘極底切絕緣及其形成方法
US9984932B1 (en) Semiconductor fin loop for use with diffusion break
US20030203546A1 (en) SOI transistor element having an improved backside contact and method of forming the same
US8211759B2 (en) Semiconductor structure and methods of manufacture
US8846510B2 (en) Method and structure to boost MOSFET performance and NBTI
CN101685800A (zh) 半导体装置的制造方法
US11393829B2 (en) Semiconductor structure, static random access memory and fabrication method thereof
US7919379B2 (en) Dielectric spacer removal
US20150123211A1 (en) NARROW DIFFUSION BREAK FOR A FIN FIELD EFFECT (FinFET) TRANSISTOR DEVICE
TWI685894B (zh) 在finfet sram陣列中減少鰭片寬度以減輕低電壓帶位元故障之方法
CN111128738A (zh) 半导体器件及其形成方法
US7585738B2 (en) Method of forming a fully silicided semiconductor device with independent gate and source/drain doping and related device
US8574980B2 (en) Method of forming fully silicided NMOS and PMOS semiconductor devices having independent polysilicon gate thicknesses, and related device
US9041105B2 (en) Integrated circuit including transistor structure on depleted silicon-on-insulator, related method and design structure
TW202240912A (zh) 半導體裝置
US9589965B1 (en) Controlling epitaxial growth over eDRAM deep trench and eDRAM so formed
CN113690144B (zh) Mos晶体管及其制造方法与包含mos晶体管的三维存储器
US11502169B2 (en) Nanosheet semiconductor devices with n/p boundary structure
US20230215917A1 (en) Device with vertical nanowire channel region
CN115841951A (zh) 改善场效应管负载的方法
CN115472502A (zh) 半导体元件及其制造方法