CN108962826A - 在finfet sram阵列中减少鳍片宽度以减轻低电压带位故障的方法 - Google Patents

在finfet sram阵列中减少鳍片宽度以减轻低电压带位故障的方法 Download PDF

Info

Publication number
CN108962826A
CN108962826A CN201810509581.XA CN201810509581A CN108962826A CN 108962826 A CN108962826 A CN 108962826A CN 201810509581 A CN201810509581 A CN 201810509581A CN 108962826 A CN108962826 A CN 108962826A
Authority
CN
China
Prior art keywords
fin
array
hard mask
width
exposed end
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810509581.XA
Other languages
English (en)
Other versions
CN108962826B (zh
Inventor
张晓强
臧辉
R·R·坦卡勒克什米
兰迪·W·曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN108962826A publication Critical patent/CN108962826A/zh
Application granted granted Critical
Publication of CN108962826B publication Critical patent/CN108962826B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明涉及在FINFET SRAM阵列中减少鳍片宽度以减轻低电压带位故障的方法,其中,一减少集成电路中的鳍片宽度的方法包括氧化鳍片阵列中的至少一鳍片的暴露部分导致至少一鳍片的暴露部分的宽度减少。在氧化期间,第一硬掩模可设置在鳍片阵列上面,除了至少一鳍片的暴露部分以外。第二硬掩模可选地设置于在第一硬掩模下面的鳍片阵列上面,且在至少一鳍片的暴露部分的氧化期间,覆盖至少一鳍片的暴露部分的一部分。氧化至少一鳍片的暴露部分可发生于在形成浅沟槽隔离(STI)于该鳍片阵列中的数对鳍片间前,在形成STI于该鳍片阵列的数对鳍片间后,及/或在取代金属栅极工艺期间于移除虚拟栅极后。

Description

在FINFET SRAM阵列中减少鳍片宽度以减轻低电压带位故障 的方法
技术领域
本申请案主张申请于2017年3月8日的第62/468,809号美国临时专利申请案;申请于2017年3月10日的第62/469,774号美国临时专利申请案;及申请于2017年3月11日的第62/470,223号美国临时专利申请案的优先权。
本揭示内容系涉及半导体装置制造,且更特别的是,有关于减少鳍片宽度的方法,例如,以减轻低电压带位故障(low voltage strap bit fails)。
背景技术
随着电子产品的微小化增加,越来越多诸如静态随机存取存储器(static randomaccess memory;SRAM)位格(bitcell)阵列、逻辑装置之类的装置并入非平面鳍形场效晶体管(fin-shaped field effect transistor;FinFET)。在半导体装置形成(例如,SRAM位格形成)即将结束的时候,例如在位线(bit-line;BL)方向及字线(wordline;WL)方向可建立由此类装置组成的阵列的终止边界。例如“带(strap)”设计的传统终止技术经设计成可使装置的位格的电气特性保有位格布局一致性及同质性。传统阵列终止的一结果包括系统性装置偏移,例如系统性带邻近效应(strap proximity effect;SPE),其包括在该带附近的位格读取电流增加,格稳定性减少,下拉(pull down;PD)及/或通栅(pass gate;PG)阈值电压(threshold voltage;VT)减少,以及相邻带区位格的低电压故障计数值(low voltagefail count)增加。
发明内容
本揭示内容的第一方面针对一种减少集成电路(IC)中的鳍片宽度的方法,该方法包括:氧化一鳍片阵列中的至少一鳍片的一暴露部分,其中,除了该至少一鳍片的该暴露部分以外,设置一第一硬掩模于该鳍片阵列上面,且其中,该氧化减少该至少一鳍片的该暴露部分的宽度。
本揭示内容的第二方面包括一种减少集成电路(IC)中的鳍片宽度的方法,该方法包括:形成一第一硬掩模于一衬底的一鳍片阵列上面;移除该第一硬掩模的一部分以暴露该鳍片阵列中的至少一鳍片的一暴露端部,其中,该至少一鳍片的该暴露端部设置在该鳍片阵列的一带区内;氧化该至少一鳍片的该暴露端部,其中,该氧化造成该至少一鳍片的该暴露端部的一宽度的第一次减少;以及从该鳍片阵列移除该硬掩模的一其余部分。
本揭示内容的第三方面包括一种SRAM位格阵列结构,其包括在一衬底中的一鳍片阵列中的至少一鳍片,其中,该鳍片阵列中的该至少一鳍片的一第一部分的宽度小于该至少一鳍片的一第二部分的宽度。
从以下本揭示内容的具体实施例的更详细说明会明白本揭示内容以上及其他的特征。
附图说明
将参考下列附图详细描述本揭示内容的数个具体实施例,其中类似的元件用相同的元件符号表示,且其中:
图1的横截面图根据本揭示内容的数个具体实施例图示有一鳍片阵列的初始半导体结构的实施例,其用于减少一组鳍片在带区中的一部分的宽度。
图2的横截面图根据本揭示内容的数个具体实施例图示有一鳍片阵列的初始半导体结构的实施例,其包括减少一组鳍片在带区中的一部分的宽度。
图3的横截面图根据本揭示内容的数个具体实施例部分图示图1的初始半导体结构,其包括形成浅沟槽隔离(STIs)以及减少一组鳍片在带区中的一部分的宽度。
图4的横截面图根据本揭示内容的数个具体实施例部分图示图1的初始半导体结构,其包括进一步加工以及在取代金属栅极工艺(replacement metal gate process)期间于移除虚拟栅极堆栈后减少一组鳍片在带区中的一部分的宽度。
图5的上视图根据本揭示内容的数个具体实施例图示SRAM位格阵列的一部分。
图6根据本揭示内容的数个具体实施例图示减少一组鳍片在带区中的一部分的宽度的示范工艺,其包括步骤6a至6e。
图7根据本揭示内容的数个具体实施例图示减少一组鳍片在带区中的一部分的宽度的另一示范工艺,其包括步骤7a至7f。
图8的流程图根据本揭示内容的数个具体实施例图示在制造SRAM位格阵列的全过程中的示范可选的鳍片宽度减少工艺。
应注意,本揭示内容的附图未按比例绘制。附图旨在只描绘本揭示内容的典型方面,因此不应被视为限制本揭示内容的范畴。附图中,类似的元件用相同的元件符号表示。
符号说明:
200 初始结构 202 衬底
204 鳍片阵列 206、208、210 鳍片
212 半导体基础衬底 214 绝缘体层
216 绝缘体上覆半导体(SOI)层
220、230 硬掩模 240、242 浅沟槽隔离(STI)
250 硬掩模 270 虚拟栅极堆栈
280、282 间隔体 284 源极区
286 漏极区 288、290 暴露区
292 金属栅极堆栈 300 硬掩模
302 氧化区
400 SRAM位格阵列装置(SRAM阵列)
402 带区 410 相邻带区位格
412、414 边界 600 初始结构
602 衬底 604 鳍片阵列
606、608、610 鳍片 620 硬掩模
700 初始结构 702 衬底
704 鳍片阵列 706、708、710 鳍片
720 浅沟槽隔离(STI) 730 第一硬掩模
S800至S816 步骤 W 初始宽度
W2 缩减宽度。
具体实施方式
在以下说明中,参考形成彼之一部分且举例图示可实施本发明教导的特定示范具体实施例的附图。充分详述这些具体实施例使得本领域技术人员能够实施本发明教导,且应了解,可使用其他具体实施例及做出改变而不脱离本发明教导的范畴。因此,以下说明仅为示范。
图1的横截面图图示半导体结构的初始结构200,其用于减少一组鳍片206、208、210在带区402(参考图5)中的一部分的厚度以减轻SRAM位格阵列装置400(以下称为,“SRAM阵列”)(参考图5)的低电压带位故障的方法。在此阶段,提供初始结构200,其包括有包括鳍片206、208、210的鳍片阵列204的衬底202。鳍片206、208、210的一端例如可设置在SRAM阵列400(参考图5)的鳍片阵列204的带区402(参考图5)内。鳍片206、208、210例如可只包括N个鳍片。带区402(参考图5)可包括例如SRAM阵列400的边缘或边界(参考图5)。在另一实施例中,鳍片206、208、210的一端可设置在SRAM阵列400内的任何适宜位置(参考图5)用于减少该鳍片的宽度(W)。衬底202可包括半导体基础衬底212、绝缘体层214及绝缘体上覆半导体(semiconductor-on-insulator;SOI)层216。
半导体基础衬底212与SOI层216可包括但不限于:硅、锗、硅锗、碳化硅、以及实质由有由公式AlX1GaX2InX3AsY1PY2NY3SbY4界定的组合物的一或更多III-V族化合物半导体组成者,在此X1、X2、X3、Y1、Y2、Y3及Y4为相对比例,各个大于或等于零且X1+X2+X3+Y1+Y2+Y3+Y4=1(1为总相对莫耳量)。其他合适衬底包括有组合物ZnA1CdA2SeB1TeB2的II-VI族化合物半导体,在此A1、A2、B1及B2为相对比例,各个大于或等于零且A1+A2+B1+B2=1(1为总莫耳量)。此外,各层的一部分或全部可带有应变。例如,SOI层216(及/或在彼上面的外延层)可带有应变。
绝缘体层214可包括任何当前已知或以后开发供使用于SOI层的电介质,例如但不限于:二氧化硅或蓝宝石。如前述,绝缘体的选择大体取决于预期应用,其中蓝宝石被使用于辐射敏感应用而氧化硅偏好用于改善效能和减少微电子装置中的短通道效应。绝缘体层214及最上面SOI层216的精确厚度也随着预期应用而大不相同。
初始结构200可使用任何当前已知或以后开发的半导体制造技术形成,包括但不限于:光刻术(及/或侧壁影像转移(sidewall image transfer;SIT))。在微影术(或“光刻术”)中,辐射敏感“阻剂(resist)”涂层形成,例如,沉积,于将会以某种方式处理的一或更多层上面,例如选择性掺杂及/或让它有转印图案。有时被称为光阻剂的阻剂本身首先通过暴露于辐射而被图案化(例如,用光蚀刻工艺(photo-etching-process;PEP)),在此辐射(选择性地)通过含有图案的中介掩模或样板。结果,阻剂涂层的暴露或未暴露区域变得或多或少可溶,这取决于所用光阻剂的类型。然后,显影剂用来移除阻剂中比较可溶的区域留下带图案阻剂。然后,带图案阻剂可用作随后可选择性处理的底下诸层的掩模,例如以接受掺杂物及/或经受蚀刻。
在沉积材料时,“沉积(depositing)”可包括适用于待沉积材料的任何当前已知或未来开发的技术,包括但不限于:化学气相沉积(chemical vapor deposition;CVD),低压CVD(LPCVD),电浆增强CVD(PECVD),半大气压CVD(semi-atmosphere CVD;SACVD)及高密度电浆CVD(HDPCVD),快速热CVD(RTCVD),超高真空CVD(UHVCVD),有限反应处理CVD(LRPCVD),有机金属CVD(MOCVD),溅镀沉积,离子束沉积,电子束沉积,雷射辅助沉积,热氧化,热氮化,旋涂法,物理气相沉积(physical vapor deposition;PVD),原子层沉积(atomic layerdeposition;ALD),化学氧化,分子束外延(molecular beam epitaxy;MBE),镀覆,及/或蒸镀。
蚀刻一般指移除衬底(或形成于衬底上的结构)的材料,且常用在原处的掩模来进行,藉此可选择性地移除衬底中的某些区域的材料,同时留下衬底的其他区域中未受影响的材料。蚀刻一般有两类:(i)湿式蚀刻与(ii)干式蚀刻。湿式蚀刻用溶剂(例如,酸)进行,可选择其性能以选择性溶解给定材料(例如,氧化物),同时留下相对完整的另一材料(例如,多晶硅)。选择性蚀刻给定材料的能力对于许多半导体工艺是基本的。湿式蚀刻一般会各向同性地蚀刻匀质材料(例如,氧化物),但是湿式蚀刻也可各向异性地蚀刻单晶材料(例如,硅晶圆)。干式蚀刻可用电浆进行。通过调整电浆的参数,电浆系统可用数种模态操作。普通电浆蚀刻产生电中性(neutrally charged)在晶圆的表面起反应的高能自由基。由于中性粒子从所有角度冲击晶圆,此过程为各向同性(isotropic)。离子研磨或溅镀蚀刻用大约从一个方向接近晶圆的惰性气体高能离子轰击晶圆,因此此过程有高度各向异性(anisotropic)。反应性离子蚀刻(RIE)在居于溅镀、电浆蚀刻中间的条件下操作且可用来产生深窄的特征,例如STI沟槽。
尽管鳍片阵列204在图1以3个鳍片206、208、210图示,然而应了解,可形成适宜用于SRAM阵列400(参考图5)的任意多个鳍片。
在传统加工的此一阶段,浅沟槽隔离(shallow trench isolation;STI)(例如图3的STIs 240)会形成于鳍片206、208、210之间,例如于鳍片206、208之间及鳍片208、210之间。然后,会用传统加工形成半导体结构的其余部分,且建立SRAM阵列400(参考图5)的终止边界(例如,图5的边界412、414),例如用“带”设计技术。当终止边界最终形成时,系统性带邻近效应(SPE)可能发生,包括在该“带”(参考图5,带区402)附近的位格读取电流增加,格稳定性减少,较低的下拉(PD)及/或通栅(PG)阈值电压(VT),以及相邻带位格的低电压故障计数值增加。
如图2所示,与传统加工相比,本揭示内容的一具体实施例包括第一次减少鳍片206、208、210在带区402(参考图5)的一端的宽度(W)。图2图示形成硬掩模220于包括衬底202及鳍片阵列204的初始结构200上面。硬掩模220(以虚线图示)的形成,例如可用沉积或任何其他当前已知或未来开发用于在半导体制造中形成硬掩模的技术。然后,可图案化硬掩模220(以虚线图示)以建立氧化区302(参考图4),例如于鳍片206、208、210在带区402(参考图5)中的末端的一部分上面,同时在鳍片206、208、210的其余部分上面保持完整。例如,硬掩模220(以虚线图示)的图案化可建立鳍片206、208、210的暴露端部。例如,鳍片206、208、210可包括N个鳍片,且P个鳍片(未图示)可仍然被硬掩模220覆盖。图2图示以虚线图示的硬掩模220的其余部分,以图解说明鳍片206、208、210的末端在图案化硬掩模220(以虚线图示)后暴露的实施例。氧化区302(参考图4)可包括例如带区402(参考图5),及/或带区402(参考图5)中包括鳍片206、208、210的一端的部分。在未图示的另一实施例中,氧化区302(参考图4)可包括SRAM阵列400(参考图5)中适宜用于减少鳍片宽度的任何其他区域。包括硬掩模220与鳍片206、208、210的末端的初始结构200(参考图1)可经受氧化工艺。如图2所示,该氧化工艺可减少鳍片206、208、210在氧化区302(参考图4)内的暴露端部的初始宽度W(以虚线图示)。图2图示包括初始宽度W(以虚线图示)的鳍片206、208、210被硬掩模220覆盖的区域。图2图示鳍片206、208、210的暴露端部在氧化后的缩减宽度W2。鳍片206、208、210的暴露端部的缩减宽度W2,在此该等暴露端部皆设置在SRAM阵列400(参考图5)的带区402(参考图5)内,例如可导致带区位晶体管的阈值电压增加,从而减少相邻带区位格(参考图5,相邻带区位格410)的低电压故障计数值。缩减宽度W2可包括使初始宽度W(以虚线图示)例如减少约0.5纳米至约1纳米。尽管未图示于图2,然而随后可用任何当前已知或以后开发的半导体制造技术移除硬掩模220的其余部分。
在形成硬掩模220(以虚线图示)之前,可选地形成第二硬掩模(未图示)于包括鳍片阵列204的衬底202上面。硬掩模230的形成,例如可用沉积或任何其他当前已知或未来开发用于在半导体制造中形成硬掩模的技术。例如用沉积及/或蚀刻,可形成第二硬掩模(未图示)以覆盖鳍片206、208、210的任何适宜部分。第二硬掩模(未图示)例如可保持完整,包括在图案化硬掩模220后在氧化区302(参考图4)内的。第二硬掩模(未图示)可防止减少鳍片206、208、210的初始鳍片宽度W,在此硬掩模覆盖鳍片,例如包括鳍片206、208、210的暴露端部的一部分。
在未图示的另一实施例中,可形成另一硬掩模于SRAM阵列400(参考图5)的附加组件上面,以在鳍片206、208、210的暴露端部的氧化期间保护该等组件。在未图示的一实施例中,硬掩模可位于例如位格在带区402(参考图5)中的上拉(pull up;PU)晶体管上面。
用语“掩模”可用于一层材料,其施加于底下的材料层上面且被图案化成具有数个开口,藉此可加工有开口的底下层。在加工后,可移除掩模。常见掩模材料可包括例如氮化物、氧化物、低k或高k电介质。该掩模可包括变动的厚度。
在此时,半导体结构的其余部分随后可用传统加工形成,且建立SRAM阵列400(参考图5)的终止边界(例如,图5的边界412、414),例如用“带”设计技术。
如图3所示,与传统加工相比,本揭示内容的一具体实施例可包括在形成STI 240、242后第二次减少鳍片206、208、210的暴露端部的宽度。在一实施例中,除了鳍片206、208、210的暴露端部的初始宽度W的第一次减少以外,如以上在说明图2时所述,可进行鳍片206、208、210的暴露端部的该宽度的第二次减少(亦即,施加于鳍片206、208、210的暴露端部的缩减宽度W2的第二次减少)。在另一实施例中,鳍片206、208、210的暴露端部的该宽度的第二次减少可以只减少鳍片206、208、210的暴露端部的宽度(亦即,施加于鳍片206、208、210的暴露端部的初始宽度W的第二次减少,以及不进行以上在说明图2时所述的第一次减少)。图3所示的实施例不进行以上在说明图2时所述的第一次减少,且第二次宽度减少施加于鳍片206、208、210的暴露端部的初始宽度W。如上述,在传统加工中,在形成初始结构200后,可各自在鳍片206、208与鳍片208、210之间形成STI 240、242。虽然图示4个STI,然而应了解,可形成适宜用于SRAM阵列400(参考图5)的任意多个STI。尽管图示STIs 240大约覆盖鳍片206、208、210的半个高度,然而应了解,STIs 240可覆盖该等鳍片的任何适宜部分。STIs240的形成可用任何当前已知或未来开发用于在半导体结构中形成STI的技术。硬掩模250(以虚线图示)可形成于初始结构200及STIs240上面。硬掩模250(以虚线图示)的形成,例如,可用沉积或任何其他当前已知或未来开发用于在半导体制造中形成硬掩模的技术。然后,可图案化硬掩模250(以虚线图示)以建立氧化区302(参考图4),例如于鳍片206、208、210的暴露端部上面,同时在鳍片阵列204的鳍片206、208、210的其余部分上面保持完整。例如,鳍片206、208、210可包括N个鳍片,且P个鳍片(未图示)可仍然被硬掩模250(以虚线图示)覆盖。图3图示以虚线图示的硬掩模250在图案化后的其余部分以图解说明鳍片206、208、210的暴露端部不被硬掩模250覆盖的实施例。氧化区302(参考图4)可包括例如带区402(参考图5),及/或带区402(参考图5)中包括鳍片206、208、210的暴露端部的部分。在未图示的另一实施例中,氧化区302(参考图4)可包括SRAM阵列400(参考图5)的一鳍片阵列的3个最外面鳍片。在未图示的另一实施例中,氧化区302(参考图4)可包括SRAM阵列400(参考图5)中适宜用于减少鳍片宽度的任何其他区域。初始结构200、硬掩模250(以虚线图示)及包括鳍片206、208、210的暴露端部的氧化区302(参考图4)可经受氧化工艺。如图3所示,该氧化工艺可减少鳍片206、208、210的暴露端部在氧化区302(参考图4)内的初始宽度W。如图3所示,例如,STIs 240可覆盖鳍片206、208、210的暴露端部的一部分,且例如可防止减少该等鳍片的暴露端部的底部的初始宽度W。图3图示在氧化后包括初始宽度W(以虚线图示)的鳍片206、208、210被硬掩模250覆盖的部分以图解说明鳍片206、208、210的暴露端部的初始宽度W被减少到缩减宽度W2的实施例。
鳍片206、208、210的暴露端部的缩减宽度W2,在此鳍片206、208、210的暴露端部例如皆设置在SRAM阵列400(参考图5)的带区402(参考图5)内,例如可导致带区位晶体管的阈值电压增加,从而减少相邻带位格(参考图5,相邻带区位格410)的低电压故障计数值。鳍片206、208、210的暴露端部的缩减宽度W2可包括使初始宽度W例如减少约0.5纳米至约1纳米。尽管未图示于图2,硬掩模250(以虚线图示)的其余部分随后可用任何当前已知或以后开发的半导体制造技术移除。
在形成硬掩模250(以虚线图示)之前,可选地形成第二硬掩模(未图示)于初始结构200及STIs 240上面。该第二硬掩模(未图示)的形成,例如,可用沉积或任何其他当前已知或未来开发用于在半导体制造中形成硬掩模的技术。该第二硬掩模(未图示)例如可覆盖鳍片206、208、210的暴露端部的高度的一附加部分。例如,可形成第二硬掩模(未图示)以覆盖鳍片206、208、210的暴露端部的任何适宜部分,例如用沉积及/或平坦化。该第二硬掩模(未图示)例如在图案化硬掩模250以形成氧化区302(参考图4)后可保持完整以建立鳍片206、208、210的暴露端部。该第二硬掩模(未图示)可防止减少鳍片206、208、210的暴露端部的一部分的初始宽度W,在此该第二硬掩模覆盖该等鳍片的暴露端部。
在未图示的另一实施例中,可形成另一硬掩模于SRAM阵列(参考图5)的附加组件上面,以在氧化鳍片206、208、210的暴露端部期间保护该等组件。在未图示的一实施例中,硬掩模可位于例如位格在带区402(参考图5)中的上拉(PU)晶体管。
在此时,随后会用传统加工形成半导体结构的其余部分,且建立SRAM阵列400(参考图5)的终止边界(例如,图5的边界412、414),例如用“带”设计技术。
例如,在形成STIs 240后及/或在鳍片206的宽度W的第二次减少后,可形成虚拟栅极堆栈(例如,参考图4以虚线图示的虚拟栅极堆栈270)于鳍片阵列204的一部分上面,可形成数个间隔体(例如,参考图4的间隔体280、282),可形成源极/漏极区(例如,参考图4的源极区284和漏极区286),可移除该虚拟栅极堆栈,进行取代金属栅极(replacement metalgate;RMG)工艺,以及形成一介电层。该虚拟栅极堆栈(dummy gate stack)的形成可用任何当前已知或未来开发用于在半导体结构中形成虚拟栅极堆栈的技术。该虚拟栅极堆栈可包括例如多晶硅,及/或适宜用于形成该虚拟栅极堆栈的任何其他材料。该等间隔体(spacer)可沿着该虚拟栅极堆栈的侧壁形成。该等间隔体的形成可用任何当前已知或未来开发用于在半导体结构中形成间隔体的技术。源极区与漏极区可通过外延成长(epitaxial growth)一外延层(epi-layer),例如硅锗,从鳍片206、208、210的暴露区(例如,参考图4的暴露区288、290)形成。该源极区与该漏极区的形成可从任何当前已知或未来开发用于在半导体结构中形成源极及/或漏极区的技术。如众所周知,鳍片206、208、210的暴露区的掺杂,例如在形成时用离子植入或原位掺杂。也如众所周知,引入半导体的掺杂剂元素(dopantelement)可建立p型(受体)或者是n型(施体)传导性。硅的常见掺杂剂为用于p型的硼(B)、铟(In);以及用于n型的磷(P)、砷(As)、锑(Sb)。掺杂剂有两种:“施体(donor)”与“受体(acceptor)”。N型植入物为施体而P型者为受体。例如,可在形成源极/漏极区后移除该虚拟栅极堆栈。该虚拟栅极堆栈的移除可用任何当前已知或未来开发用于在半导体结构中移除虚拟栅极堆栈的技术。可进行根据传统技术的RMG工艺,例如,包括在间隔体之间形成金属栅极堆栈。RMG工艺可包括任何当前已知或以后开发的半导体制造技术。一介电层可形成于该结构上面。尽管已描述用于传统加工的步骤,然而应了解,传统加工可包括未描述于本文的附加步骤。
如图4所示,与传统加工相比,本揭示内容的一具体实施例更可包括:在一取代金属栅极工艺期间于移除虚拟栅极堆栈270(以虚线图示)之后,第三次减少鳍片206、208、210的暴露端部的该宽度。在一实施例中,除了鳍片206、208、210的暴露端部的该宽度的第一次减少,如以上说明图2时所述,及/或,如以上说明图3时所述,鳍片206、208、210的暴露端部的该宽度的第二次减少以外,可进行鳍片206、208、210的暴露端部的该宽度的第三次减少。在另一实施例中,鳍片206、208、210的暴露端部的该宽度的第三次减少可以只减少鳍片206、208、210的暴露端部的宽度(亦即,施加于鳍片206、208、210的暴露端部的初始宽度W的第三次减少,且不进行如以上在说明图2时所述的第一次宽度减少与如以上在说明图3时所述的第二次宽度减少)。图4图示不进行第一次宽度减少及第二次宽度减少,以及第三次宽度减少施加于鳍片206、208、210的暴露端部的初始宽度W的实施例。
图4图示使鳍片206、208、210的暴露端部的初始宽度W减少到缩减宽度W2。硬掩模(未图示)可形成于图示于图4的结构上面,其包括衬底202、鳍片阵列204、间隔体280、282、STIs(未图示)等等。该硬掩模的形成,例如可用沉积或任何其他当前已知或未来开发用于在半导体制造中形成硬掩模的技术。然后,可图案化该硬掩模以建立氧化区302,例如于鳍片206、208、210的暴露端部上面,同时在鳍片阵列204的鳍片208、210的其余部分上面保持完整。例如,鳍片206、208、210可包括N个鳍片,且P个鳍片(未图示)可仍然被该硬掩模覆盖。氧化区302可包括例如带区402(参考图5),及/或带区402(参考图5)中包括鳍片206、208、210的暴露端部的部分。在未图示的另一实施例中,氧化区302可包括在SRAM阵列400(参考图5)中的一鳍片阵列中的3个最外面鳍片。在未图示的另一实施例中,氧化区302可包括在SRAM阵列400(参考图5)中适宜用于减少鳍片宽度的任何其他区域。如图4所示,该氧化工艺可减少鳍片206、208、210在氧化区302内暴露的暴露端部的初始宽度W。尽管鳍片206、208、210在图4的实施例中完全可见,然而应了解,可存在沿着鳍片的侧面的STIs(例如,参考图3的STIs 240)。鳍片206、208、210的暴露端部的缩减宽度W2,在此鳍片206、208、210的暴露端部例如皆设置在SRAM阵列400(参考图5)的带区402(参考图5)内,例如可导致带区位元晶体管的阈值电压增加,从而减少相邻带位格(参考图5,相邻带区位格410)的低电压故障计数值。鳍片206、208、210的暴露端部的缩减宽度W2可包括使初始宽度W减少例如约0.5纳米至约1纳米。在氧化工艺之后且在移除硬掩模300(未图示)的其余部分之前,包括鳍片206、208、210的暴露端部的氧化区302例如可选地暴露于氢氟酸(hydrofluoric acid;HF)以减少初始宽度W。硬掩模300(未图示)的其余部分随后可用任何当前已知或以后开发的半导体制造技术移除。尽管图示有特定个数的虚拟栅极堆栈、源极/漏极区、鳍片的暴露端部、鳍片等等,然而应了解,SRAM阵列400(参考图5)可加入任意多个所欲组件。
在形成硬掩模300(未图示)之前,第二硬掩模(未图示)可选地形成于鳍片阵列204上面。该第二硬掩模(未图示)的形成,例如,可用沉积或任何其他当前已知或未来开发用于在半导体制造中形成硬掩模的技术。该第二硬掩模(未图示)例如可大约覆盖鳍片206、208、210中包括鳍片206、208、210的暴露端部的半个高度。替换地,例如,可形成第二硬掩模(未图示)以覆盖鳍片206、208、210的高度的任何适宜部分,例如用沉积及/或平坦化。在图案化硬掩模300(未图示)以形成氧化区302后,该第二硬掩模(未图示)例如可保持完整。该第二硬掩模(未图示)可防止减少鳍片206、208、210的暴露端部的初始宽度W的一部分,在此该硬掩模覆盖鳍片206、208、210的暴露端部。
在未图示的另一实施例中,在氧化鳍片206、208、210的暴露端部期间,可形成另一硬掩模于SRAM阵列(参考图5)的附加组件上面以保护该等组件。在未图示的一实施例中,一硬掩模可位于例如位格在带区402(参考图5)中的上拉(PU)晶体管。
在此时,随后会用传统加工(用任何当前已知或以后开发的半导体制造技术)形成半导体结构的其余部分,且建立SRAM阵列400(参考图5)的终止边界(例如,图5的边界412、414),例如用“带”设计技术。
尽管未图示于图4,可进行额外的加工步骤及/或变更,在此氧化区302包括SRAM阵列400(参考图5)的带区402(参考图5),例如为了减少相邻带区位格的低电压故障计数值。在未图示的一实施例中,在加工期间可增加金属栅极堆栈292在鳍片206上面的宽度。在未图示的另一实施例中,可增加间隔体280、282沿着金属栅极堆栈292的侧壁的宽度。在未图示的另一实施例中,可减少鳍片206、208、210的源极区284或漏极区286的厚度。在未图示的另一实施例中,可实作在下拉(PD)及通栅(PG)上的较高功函数金属及/或用于下拉(PD)及通栅(PG)的较高环状植入物(halo implant),例如以减缓PD及PG。
图5图示SRAM阵列400,其包括鳍片阵列204,鳍片206、208、210,带区402,相邻带区位格410,及边界412、414。如上述,在SRAM阵列400的传统加工即将结束时,可建立终止边界,例如边界412、414。加入该传统SRAM阵列终止技术,“带”设计,例如带区402,以使装置的位格的电气特性保有位格布局一致性及同质性。以带设计终止的一结果包括系统性装置偏移,例如,系统性带邻近效应(SPE),其包括在该带(例如,带区402)附近的位格读取电流增加,格稳定性减少,下拉(PD)及/或通栅(PG)阈值电压(VT)减少,以及相邻带区位格(例如,相邻带区位格410)的低电压故障计数值增加。
根据本揭示内容的数个具体实施例,如上述,减少至少一鳍片的暴露端部在带区402内的宽度例如可能增加Vt且减少相邻带位格的低电压故障计数值。例如,可用描述于本文的鳍片宽度减少工艺减少鳍片206、208、210的暴露端部在带区402内的初始宽度W。包括上述鳍片206、208、210的暴露端部的氧化区302(参考图4)可包括例如带区402。在另一实施例中,带区402可包括SRAM阵列400的3个最外面鳍片。在一实施例中,可独立进行鳍片206、208、210的暴露端部的初始宽度W的第一次减少(如图1所示),鳍片206、208、210的暴露端部的初始宽度W的第二次减少(如图2所示),以及鳍片206、208、210的暴露端部的初始宽度W的第三次减少(如图3所示),以减少鳍片206的初始宽度W。例如,只第二次减少鳍片206、208、210的暴露端部的初始宽度W,除了SRAM阵列400的传统加工以外。在另一实施例中,可以任何组合的方式进行鳍片206、208、210的暴露端部的初始宽度W如图1所示的第一次减少,如图2所示的第二次宽度减少,以及如图3所示的第三次宽度减少。例如,可进行第一次鳍片宽度减少(参考图1)、第二次鳍片宽度减少(参考图2)及第三次鳍片宽度减少(参考图3),除了SRAM阵列400(参考图5)的传统加工以外。在另一实施例中,可进行第一次鳍片宽度减少(参考图1)与第三次鳍片宽度减少(参考图3),除了SRAM阵列400的传统加工以外。应了解,SRAM阵列400可包括含有鳍片的任何半导体制造装置。
图6图示用于减少鳍片的暴露端部的宽度的示范工艺。图6a图示包括衬底602的初始结构600,与包括鳍片606、608、610的鳍片阵列604。鳍片606、608、610例如可只包括N个鳍片。鳍片606、608、610可包括初始宽度W。图6b图示硬掩模620在初始结构600上面的形成。尽管图示完全覆盖鳍片606、608、610的硬掩模620,然而可形成硬掩模620以覆盖鳍片606、608、610的任何适宜部分。图6c图示移除硬掩模620例如在鳍片606、608、610的暴露端部上面的一部分,以建立氧化区302(参考图4)且暴露鳍片606、608、610的暴露端部。图6c以虚线图示未被移除硬掩模620的部分。图6d图示氧化包括鳍片606、608、610的暴露端部的结构的结果。例如,鳍片606、608、610的暴露端部的初始宽度W可减少到缩减宽度W2。图6d以虚线图示硬掩模620的其余部分,以及鳍片606、608、610中在氧化期间被硬掩模620覆盖的部分的初始宽度W。图6e图示包括鳍片606、608、610中有缩减宽度W2的暴露端部的初始结构600,且以虚线图示在移除硬掩模620的其余部分后有初始宽度W的鳍片606、608、610的部分。
图7图示用于减少鳍片的暴露端部的宽度的示范工艺。例如,可进行图示于图7的示范工艺作为一鳍片阵列的第一减少工艺,或作为一鳍片阵列的第二减少工艺,其中例如至少一鳍片的一部分有先前被第一减少工艺减少的宽度。在图7的实施例中,该鳍片宽度减少工艺实施例图示为在鳍片阵列上进行的第一宽度减少工艺。图7a图示初始结构700,其包括衬底702,包括鳍片706、708、710的鳍片阵列704。鳍片706、708、710例如可只包括N个鳍片。鳍片706、708、710可包括初始宽度W。图7b图示STIs 720在初始结构700上面形成于鳍片706、708、710之间。尽管图示大约覆盖鳍片的半个高度的STIs 720,然而应了解,可形成覆盖鳍片706、708、710的任何适宜部分的STIs720。图7c图示第一硬掩模730在初始结构700及STIs 720上面的形成。尽管图示完全覆盖鳍片706、708、710的其余部分的第一硬掩模730,然而可形成覆盖鳍片706、708、710的任何适宜部分的第一硬掩模730。图7d图示移除第一硬掩模730(以虚线图示)例如在鳍片706、708、710的端部上面的一部分以建立氧化区302(参考图4)和建立鳍片706、708、710的暴露端部。图7d以虚线图示第一硬掩模730的其余部分。图7e图示氧化包括氧化区302(参考图4)的结构的结果。例如,鳍片706、708、710的暴露端部的初始宽度W可减少到缩减宽度W2,在此第一硬掩模730不覆盖鳍片706、708、710的暴露端部。图7e图示第一硬掩模730的其余部分,以及包括初始宽度W(以虚线图示)的鳍片706、708、710在氧化期间被第二硬掩模(未图示)覆盖的部分。图7f图示初始结构700,其包括鳍片706、708、710在移除第一硬掩模730的其余部分后包括缩减宽度W2的暴露端部。图7f图示在移除第一硬掩模的其余部分后包括初始宽度W(以虚线图示)的鳍片706、708、710在氧化期间被第一硬掩模730覆盖的部分。
图8的示范流程图图示在制造SRAM阵列400(参考图5)的全过程中的可选的鳍片宽度减少工艺。步骤S800可包括例如包括鳍片206、208、210(参考图1)的初始结构200(参考图1)的传统形成。步骤S802可包括在形成鳍片后的第一可选的鳍片宽度减少,如图2及图6所示。步骤S804可包括浅沟槽隔离(STI)的传统形成。例如,在步骤S800后,或在可选的步骤S802后,可进行步骤S804。步骤S806可包括在形成STI后的第二可选的鳍片宽度减少,例如,图示于图3及图7的鳍片宽度减少。例如,在步骤S800及S804后,或在步骤S800、S802及S804后,可进行步骤S806。步骤S808可包括栅极电极、间隔体、外延层等等在步骤S804及/或S806之后的传统形成。步骤S810可包括例如取代金属栅极(RMG)工艺的一组开始步骤。例如,步骤S810可包括一直到移除栅极电极的RMG步骤,(参考,例如图4的虚拟栅极堆栈270)。步骤S812可包括第三可选的鳍片宽度减少,例如图示于图4的鳍片宽度减少。例如,在步骤S800、S804、S808及S810后,可进行步骤S812。例如,在步骤S800、S802、S804、S808及S810后,可进行步骤S812。例如,在步骤S800、S804、S806、S808及S810后,可进行步骤S812。在另一实施例中,在步骤S800、S802、S804、S806、S808及S810后,可进行步骤S812。步骤S814可包括RMG工艺的其余部分,例如,在形成于步骤S808的间隔体之间沉积一栅极电极。在步骤S810及/或S812后,可进行步骤814。例如,步骤816可包括SRAM阵列400(参考图5)的其他传统加工。
如以上所述的方法使用于集成电路芯片的制造。所得集成电路芯片可由制造者以原始晶圆形式(raw wafer form)(也就是具有多个未封装芯片的单一晶圆)、作为裸晶粒(bare die)或已封装的形式来销售。在后一情形下,芯片装在单芯片封装中(例如,塑胶载体(plastic carrier),具有固定至母板或其他更高层载体的引脚(lead)),或多芯片封装体中(例如,具有表面互连件(surface interconnection)或内嵌互连件(buriedinterconnection)任一或两者兼具的陶瓷载体)。然后,在任一情形下,芯片与其他芯片、离散电路元件及/或其他信号处理装置集成成为(a)中间产品(例如,母板),或(b)最终产品中的任一者的一部分。该最终产品可为包括集成电路芯片的任何产品,从玩具及其他低端应用到具有显示器、键盘或其他输入装置及中央处理器的先进电脑产品不等。
用于本文的术语只为了要描述特定具体实施例而非旨在限制本揭示内容。如本文所使用的,单数形式“一”、“一个”及“该”旨在也包括复数形式,除非上下文中另有明确指示。更应了解,用语“包含”及/或“包括”在使用于专利说明书中时系具体描述提及的特征、整数、步骤、操作、元件及/或组件的存在,但不排除存在或加入一或更多其他特征、整数、步骤、操作、元件及/或彼等的群组。“可选的”或“可选地”意指随后所述事件或情况可能发生也可能不发生,以及该描述包括发生事件的实例与不发生事件的实例。
可应用如用于本专利说明书及申请专利范围中的近似语以修饰允许改变而不导致相关基本功能改变的任何数量表示法。因此,用一用语或数个用语例如“约”、“大约”及“实质上”修饰的数值,不受限于指定的确切数值。至少在某些情况下,该近似语可对应至用于测量该数值的仪器的精确度。在本专利说明书及申请专利范围中,范围限制可予以组合及/或互换,此类范围被识别且包括包含于其中的所有子范围,除非上下文或语言另有说明。适用于一范围中的一特定数值的“大约”适用于可表示提及数值的+/-10%的两个数值,且除非取决于测量该数值的仪器的精确度。
所有构件或步骤的对应结构、材料、动作以及等效物加上下列申请专利范围之中的功能元件旨在包括用于与其他主张元件结合一起按具体主张方式完成功能的任何结构、材料或动作。提出本揭示内容的描述是为了图解说明而非旨在穷尽或以所揭示的形式限制本揭示内容。本领域一般技术人员明白有许多修改及变体而不脱离本揭示内容的范畴及精神。该具体实施例经选择及描述成可最佳地解释本揭示内容的原理及其实际应用,且使得本领域的其他一般技术人员能够了解本揭示内容有不同修改的不同具体实施例适合使用于想到的特定用途。

Claims (20)

1.一种减少集成电路(IC)中的鳍片宽度的方法,该方法包含:
氧化一鳍片阵列中的至少一鳍片的一暴露部分,其中,除了该至少一鳍片的该暴露部分以外,设置一第一硬掩模于该鳍片阵列上面,且其中,该氧化减少该至少一鳍片的该暴露部分的一宽度。
2.如权利要求1所述的方法,其特征在于,氧化该至少一鳍片的该暴露部分在形成一浅沟槽隔离(STI)于该鳍片阵列的数对鳍片间前发生。
3.如权利要求1所述的方法,其特征在于,氧化该至少一鳍片的该暴露部分在形成一浅沟槽隔离(STI)于该鳍片阵列的数对鳍片间后发生。
4.如权利要求1所述的方法,其特征在于,氧化该至少一鳍片的该暴露部分在一取代金属栅极工艺期间于移除一虚拟栅极后发生。
5.如权利要求1所述的方法,其特征在于,该至少一鳍片的该暴露部分为该至少一鳍片设置在该鳍片阵列的一带区中的一端。
6.如权利要求1所述的方法,其特征在于,该至少一鳍片为该鳍片阵列的一组3个最外面鳍片中的一者。
7.如权利要求1所述的方法,其特征在于,该至少一鳍片包括一N型鳍片。
8.如权利要求1所述的方法,进一步包含:形成一第二硬掩模于该鳍片阵列上面且于该第一硬掩模下面,其中,该第二硬掩模覆盖该至少一鳍片的该暴露部分的一高度的一部分。
9.一种减少集成电路(IC)中的鳍片宽度的方法,该方法包含:
形成一第一硬掩模于一衬底的一鳍片阵列上面;
移除该第一硬掩模的一部分以暴露该鳍片阵列中的至少一鳍片的一暴露端部,其中,该至少一鳍片的该暴露端部设置在该鳍片阵列的一带区内;
氧化该至少一鳍片的该暴露端部,其中,该氧化造成该至少一鳍片的该暴露端部的一宽度的第一次减少;以及
从该鳍片阵列移除该硬掩模的一其余部分。
10.如权利要求9所述的方法,进一步包含:在沉积该第一硬掩模于该鳍片阵列上面前,沉积一第二硬掩模于该鳍片阵列上面,其中,在移除该第一硬掩模的一部分后,该第二硬掩模覆盖该至少一鳍片的该暴露端部的一高度的一部分。
11.如权利要求9所述的方法,在形成该第一硬掩模前,进一步包含:
形成一浅沟槽隔离(STI)于在该衬底中的该鳍片阵列的数对鳍片间;
形成一虚拟栅极堆栈于该鳍片阵列的一部分上面;
形成沿着该虚拟栅极的一第一侧壁及一第二侧壁的一组间隔体;
外延成长一外延层于该虚拟栅极堆栈上面;
形成一源极区及一漏极区于该鳍片阵列的各鳍片中;以及
移除该虚拟栅极堆栈。
12.如权利要求11所述的方法,其特征在于,形成该组间隔体包括:增加该组间隔体在该晶体管阵列的一带区内的一关键尺寸。
13.如权利要求11所述的方法,其特征在于,外延成长该外延层包括:减少该外延层在该晶体管阵列的一带区内的一宽度。
14.如权利要求11所述的方法,其特征在于,形成该虚拟栅极堆栈包括:增加该虚拟栅极堆栈在该晶体管阵列的一带区内的一关键尺寸。
15.如权利要求9所述的方法,进一步包含:
在移除该第一硬掩模的其余部分后,在该衬底中形成一组浅沟槽隔离(STI),其中,该组浅沟槽隔离中的各浅沟槽隔离设置在该鳍片阵列的数对鳍片间;
在形成该组浅沟槽隔离后,形成一第二硬掩模于该鳍片阵列上面;
移除该第二硬掩模的一部分以暴露该鳍片阵列的该至少一鳍片的该暴露端部,其中,该至少一鳍片的该暴露端部设置在该鳍片阵列的一带区内;
氧化该至少一鳍片的该暴露端部,其中,该氧化造成该至少一鳍片的该暴露端部的该宽度的第二次减少;以及
移除该第二硬掩模的一其余部分。
16.一种SRAM位格阵列结构,其包含在一衬底中的一鳍片阵列中的至少一鳍片,其中,该鳍片阵列中的该至少一鳍片的一第一部分的宽度小于该至少一鳍片的一第二部分的宽度。
17.如权利要求16所述的结构,其特征在于,该鳍片阵列中的该至少一鳍片的该部分设置在该鳍片阵列的一带区中。
18.如权利要求16所述的结构,其特征在于,该鳍片阵列中的该至少一鳍片的该部分为该至少一鳍片的一端。
19.如权利要求16所述的结构,其特征在于,该至少一鳍片包括一N型鳍片。
20.如权利要求16所述的结构,其特征在于,该至少一鳍片的该第一部分的宽度比该至少一鳍片的该第二部分小约0.5纳米至约1纳米。
CN201810509581.XA 2017-03-11 2018-05-24 在finfet sram阵列中减少鳍片宽度以减轻低电压带位故障的方法 Active CN108962826B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762470223P 2017-03-11 2017-03-11
US15/603,827 US10163914B2 (en) 2017-03-08 2017-05-24 Method of reducing fin width in FinFET SRAM array to mitigate low voltage strap bit fails
US15/603,827 2017-05-24

Publications (2)

Publication Number Publication Date
CN108962826A true CN108962826A (zh) 2018-12-07
CN108962826B CN108962826B (zh) 2023-07-28

Family

ID=63445109

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810509581.XA Active CN108962826B (zh) 2017-03-11 2018-05-24 在finfet sram阵列中减少鳍片宽度以减轻低电压带位故障的方法

Country Status (3)

Country Link
US (2) US10163914B2 (zh)
CN (1) CN108962826B (zh)
TW (1) TWI685894B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10157987B1 (en) * 2017-08-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-based strap cell structure
US11037937B2 (en) 2019-11-20 2021-06-15 Globalfoundries U.S. Inc. SRAM bit cells formed with dummy structures

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1653608A (zh) * 2002-06-03 2005-08-10 国际商业机器公司 体半导体的鳍状fet器件及其形成方法
CN101567374A (zh) * 2008-04-21 2009-10-28 海力士半导体有限公司 具有增大尺寸的浮起体的半导体器件及其制造方法
US20110039380A1 (en) * 2009-08-12 2011-02-17 Imec Method for Forming a Floating Gate Non-Volatile Memory Cell
CN103227152A (zh) * 2012-01-26 2013-07-31 格罗方德半导体公司 利用侧壁图像转移技术形成sram装置的方法
CN103247678A (zh) * 2012-02-07 2013-08-14 台湾积体电路制造股份有限公司 具有新式边缘鳍状件的finfet结构
CN103972100A (zh) * 2013-02-01 2014-08-06 格罗方德半导体公司 使用心轴氧化工艺形成鳍式场效应晶体管半导体设备的鳍部的方法
US20150371892A1 (en) * 2014-06-18 2015-12-24 Globalfoundries Inc. Methods of forming a finfet semiconductor device with a unique gate configuration, and the resulting finfet device
US20160268378A1 (en) * 2015-03-12 2016-09-15 Globalfoundries Inc. Integrated strained fin and relaxed fin
US20170005090A1 (en) * 2015-06-30 2017-01-05 International Business Machines Corporation FINFET with U-Shaped Channel
US20170069630A1 (en) * 2015-09-08 2017-03-09 Ji-Hoon Cha Semiconductor device having fin and dual liner

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005086024A (ja) 2003-09-09 2005-03-31 Toshiba Corp 半導体装置及びその製造方法
US9006842B2 (en) 2013-05-30 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning strain in semiconductor devices
US10032869B2 (en) * 2016-08-17 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device having position-dependent heat generation and method of making the same

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1653608A (zh) * 2002-06-03 2005-08-10 国际商业机器公司 体半导体的鳍状fet器件及其形成方法
CN101567374A (zh) * 2008-04-21 2009-10-28 海力士半导体有限公司 具有增大尺寸的浮起体的半导体器件及其制造方法
US20110039380A1 (en) * 2009-08-12 2011-02-17 Imec Method for Forming a Floating Gate Non-Volatile Memory Cell
CN103227152A (zh) * 2012-01-26 2013-07-31 格罗方德半导体公司 利用侧壁图像转移技术形成sram装置的方法
CN103247678A (zh) * 2012-02-07 2013-08-14 台湾积体电路制造股份有限公司 具有新式边缘鳍状件的finfet结构
CN103972100A (zh) * 2013-02-01 2014-08-06 格罗方德半导体公司 使用心轴氧化工艺形成鳍式场效应晶体管半导体设备的鳍部的方法
US20150371892A1 (en) * 2014-06-18 2015-12-24 Globalfoundries Inc. Methods of forming a finfet semiconductor device with a unique gate configuration, and the resulting finfet device
US20160268378A1 (en) * 2015-03-12 2016-09-15 Globalfoundries Inc. Integrated strained fin and relaxed fin
US20170005090A1 (en) * 2015-06-30 2017-01-05 International Business Machines Corporation FINFET with U-Shaped Channel
US20170069630A1 (en) * 2015-09-08 2017-03-09 Ji-Hoon Cha Semiconductor device having fin and dual liner

Also Published As

Publication number Publication date
US20190019798A1 (en) 2019-01-17
US10163914B2 (en) 2018-12-25
US20180261605A1 (en) 2018-09-13
TWI685894B (zh) 2020-02-21
CN108962826B (zh) 2023-07-28
TW201901792A (zh) 2019-01-01
US10332897B2 (en) 2019-06-25

Similar Documents

Publication Publication Date Title
US11158508B2 (en) Barrier layer above anti-punch through (APT) implant region to improve mobility of channel region of fin field effect transistor (finFET) device structure
US8105901B2 (en) Method for double pattern density
US9236269B2 (en) Field effect transistor (FinFET) device with a planar block area to enable variable Fin pitch and width
US9263449B2 (en) FinFET and fin-passive devices
US8211759B2 (en) Semiconductor structure and methods of manufacture
US11152362B2 (en) Fin field effect transistor (FinFET) device structure
US20150348913A1 (en) Planar metrology pad adjacent a set of fins in a fin field effect transistor device
CN106531686A (zh) 互连结构和其制造方法及半导体器件
US9219002B2 (en) Overlay performance for a fin field effect transistor device
CN108962826A (zh) 在finfet sram阵列中减少鳍片宽度以减轻低电压带位故障的方法
US10121711B2 (en) Planar metrology pad adjacent a set of fins of a fin field effect transistor device
US9437740B2 (en) Epitaxially forming a set of fins in a semiconductor device
CN109309126A (zh) 在vfet结构的处理期间在栅极区中长度的控制
US9041105B2 (en) Integrated circuit including transistor structure on depleted silicon-on-insulator, related method and design structure
US10644110B2 (en) Co-integration of elastic and plastic relaxation on the same wafer
KR102222818B1 (ko) 반도체 장치의 제조 방법
US20150004769A1 (en) Method of Semiconductor Integrated Circuit Fabrication
US20230050645A1 (en) Alignment Structure for Semiconductor Device and Method for Forming the Same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20210309

Address after: California, USA

Applicant after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Applicant before: GLOBALFOUNDRIES INC.

GR01 Patent grant
GR01 Patent grant