TWI671810B - 半導體裝置的形成方法與半導體裝置 - Google Patents

半導體裝置的形成方法與半導體裝置 Download PDF

Info

Publication number
TWI671810B
TWI671810B TW107129652A TW107129652A TWI671810B TW I671810 B TWI671810 B TW I671810B TW 107129652 A TW107129652 A TW 107129652A TW 107129652 A TW107129652 A TW 107129652A TW I671810 B TWI671810 B TW I671810B
Authority
TW
Taiwan
Prior art keywords
layer
mask
openings
etching
forming
Prior art date
Application number
TW107129652A
Other languages
English (en)
Other versions
TW201913762A (zh
Inventor
林建宏
范振豊
陳志壕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201913762A publication Critical patent/TW201913762A/zh
Application granted granted Critical
Publication of TWI671810B publication Critical patent/TWI671810B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種減少線蜿蜒的方法,包括:在一基底的上方形成一矽圖形化層;以及在上述矽圖形化層的上方沉積一罩幕層。圖形化上述罩幕層,以在其中形成一或多個開口。將上述罩幕層薄化,以提供一較小的高寬比。然後,將上述罩幕層的圖形轉移至上述矽圖形化層。使用上述矽圖形化層,依序將即將形成一金屬線的一目標層圖形化。

Description

半導體裝置的形成方法與半導體裝置
本發明實施例是關於半導體裝置的形成方法與所形成的半導體裝置,特別是關於製造金屬線的方法與所形成的半導體裝置。
為了在晶圓上形成積體電路,會使用微影製程。 一典型的微影製程包括塗布一光阻;以及在上述光阻上定義圖形。在上述圖形化的光阻中的圖形是被一微影罩幕所定義,而且是被上述微影罩幕中的透明部分或不透明部分所定義。然後,經由一蝕刻步驟,將在上述圖形化的光阻中的圖形轉移至下方的構件,其中是將上述圖形化的光阻作為一蝕刻罩幕使用。在上述蝕刻步驟之後,移除上述圖形化的光阻。
隨著積體電路的尺寸的進一步縮減,用於光學圖形化技術的高高寬比的層堆疊會在將圖型轉移至一無晶形矽基底的過程中,降低形成蜿蜒的阻力。線的蜿蜒可接著導致圖形缺陷。圖形缺陷與線的蜿蜒可造成金屬圖形線的破斷及導致形失效。
一實施例是一種半導體裝置的形成方法,包含:在一基底的上方形成一圖形層;在上述圖形層的上方沉積一第 一罩幕層;圖形化上述第一罩幕層,以在其中形成一或多個開口;將上述第一罩幕層薄化;使上述第一罩幕層的上述一或多個開口變寬;以及將上述第一罩幕層的圖形轉移至上述圖形層。
另一實施例是一種半導體裝置的形成方法,包括:在一基底的上方形成一介電層,其中上述基底包含一或多個主動裝置;在上述介電層的上方形成一罩幕層;在上述罩幕層的上方形成一叁層結構,上述叁層結構包括一第一第一材料的一頂層、一第二材料的一中間層與一第三材料的一底層;圖形化上述頂層,以形成第一組開口;將上述頂層的圖形轉移至上述中間層,以形成第二組開口;將上述中間層的圖形轉移至上述底層,以形成第三組開口;同時在一第一維度擴大上述第三組開口、在一第二維度縮小上述第三組開口;以及經由上述第三組開口蝕刻上述罩幕層。
另一實施例是一種半導體裝置,包括:一基底,具有形成於其中的一或多個主動裝置;一接點,連接至上述一或多個主動裝置的一第一主動裝置;以及一互連結構,在上述接點的上方,上述互連結構包括一金屬線,上述金屬線連接於上述接點,上述金屬線具有一第一部分,上述第一部分重疊於上述接點;其中上述金屬線的上述第一部分成蜿蜒狀;上述金屬線的上述第一部分的一平均中線與上述金屬線的上述第一部分的一側壁的最遠點的垂直距離為一第一距離;上述金屬線的上述第一部分的一平均中線與上述金屬線的上述第一部分的一側壁的最近點的垂直距離為一第二距離;上述第一距離與 上述第二距離之差大於零且小於25Å;以及上述金屬線的上述第一部分與最靠近的相鄰第一部分金屬線之間的節距(pitch)在30nm與50nm之間。
10‧‧‧基底
11‧‧‧電晶體
12‧‧‧閘極
13‧‧‧閘極間隔物
14‧‧‧源/汲極區
15‧‧‧閘極與源/汲極接觸
16‧‧‧介電層
20‧‧‧裝置
21‧‧‧金屬化結構
22A、22B‧‧‧介電層
23‧‧‧金屬化層
24‧‧‧導體構件
24A‧‧‧金屬線
24B‧‧‧介層結構
25‧‧‧阻障層
26、126‧‧‧蝕刻停止層
26A‧‧‧第一蝕刻停止層
26B‧‧‧第二蝕刻停止層
28、128‧‧‧介電層(目標層)
30、32、132‧‧‧罩幕
34、134‧‧‧介電質罩幕
36、136、236‧‧‧圖形罩幕層
38‧‧‧底層
40‧‧‧中間層
42‧‧‧上層
44、46、48、50、52、54、56、60、62‧‧‧開口
64‧‧‧介層結構
64A、64B、64C‧‧‧導體介層結構
66、66A、66B、66C‧‧‧導線
68‧‧‧襯墊
70‧‧‧中間線
72‧‧‧中心線
100‧‧‧結構
110‧‧‧半導體條狀物
138、238、338‧‧‧圖形化的底層
140‧‧‧圖形化的中間層
237‧‧‧間隔物罩幕
d0‧‧‧深度
d1、d2、d3‧‧‧距離
h1、h2‧‧‧高度
p1、p2、p3‧‧‧節距
w1、w2‧‧‧寬度
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1~10圖是顯示根據一些實施例的形成具有較少的蜿蜒的金屬線的方法的中間步驟。
第11圖是顯示根據一些實施例的形成具有較少的蜿蜒的金屬線的雙重圖形化方法的中間步驟。
第12~13圖是顯示根據一些實施例的形成具有較少的蜿蜒的金屬線的自對準的雙重圖形化方法的中間步驟。
第14圖是顯示根據一些實施例的根據一圖形而形成的具有較少的蜿蜒的一系列的金屬線的俯視圖。
第15~16圖是顯示根據一些實施例的在一半導體基底中形成半導體條狀物的方法的中間步驟。
要瞭解的是,以下的揭露內容提供許多不同的實施例或範例以實施本發明實施例的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定實施例或範例,以簡化本發明實施例的說明。當然,這些特定的範例並非用以限定。例如,元件的尺寸並非受限於所揭露的範圍或值,但可能依存於製程 條件及/或裝置所需求的性質。此外,若是本發明實施例敘述了一第一構件形成於一第二構件之上或上方,即表示其可能包括上述第一構件與上述第二構件是直接接觸的實施例,亦可能包括了有附加構件形成於上述第一構件與上述第二構件之間,而使上述第一構件與第二構件可能未直接接觸的實施例。為了簡潔,可能以任意的比例繪示各種構件。此外,本發明實施例可能會在各種實施例重複使用相同的元件符號。這樣的重複是為了敘述上的簡化與明確,而非意指所討論的不同實施例及/或結構之間的關係。
此外,其與空間相關用詞。例如「在...下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包括使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
本發明實施例提供製造金屬線的方法,其對於金屬線的形成減少了線的蜿蜒程度。線的蜿蜒是發生在將藉由一高的外觀比-高度對寬度之比(高寬比)而定義的一圖形轉移至下方的一圖形化層的時候。經由高高寬比的罩幕層蝕刻上述圖形化層,會導致上述圖形化層具有過度的蜿蜒。在上述圖形化層具有過度的蜿蜒之下,當將一下方的目標層圖形化以形成用於金屬線的開口時,上述開口及所形成的金屬層將會亦具有過度的蜿蜒。過度的蜿蜒可在後續形成的金屬線造成短路、橋接 及計畫外的斷路。實施例減少了一罩幕的高度對寬度的外觀比,而將此罩幕用來圖形化上述圖形化層。藉由減少高度對寬度的外觀比,上述圖形化層的蝕刻會得到開口具有較少蜿蜒的結果。後續,將上述圖形化層用來圖形化上述目標層時,在上述目標層中的對應開口會同樣地較為筆直,而得到較筆直的金屬線,而減少短路、橋接以及計畫外的斷路的傾向。
第1~11圖是顯示根據一些實施例的在一目標層形成構件的中間步驟的剖面圖。第1圖顯示結構100,結構100包括基底10及上方各層。結構100可置於一晶圓上。基底10可以一半導體材料形成,上述半導體材料例如矽、矽鍺(silicon germanium)或其類似材料。在一些實施例中,基底10是一結晶半導體基底,例如一結晶矽基底、一結晶矽碳(silicon carbon)基底、一結晶矽鍺基底、一III-V族化合物半導體基底或其類似基底。在一實施例中,基底10可包括已摻雜或未摻雜的塊矽(bulk silicon)或一絕緣層上覆矽(silicon-on-insulator;SOI)基底的一主動層。一般而言,一絕緣層上覆矽基底包括一層半導體材料,例如為矽、鍺、矽鍺、絕緣層上覆矽、絕緣層上覆矽鍺(silicon germanium on insulator;SGOI)或上述之組合。亦可使用其他基底,包括多層基底、組成漸變基底(gradient substrates)或混合取向基底(hybrid orientation substrates)。
裝置20可包括主動裝置與被動裝置二者,且被形成在基底10的頂表面或基底10的範圍內。主動裝置可包括各式各樣的主動裝置,例如電晶體及其類似裝置;被動裝置可包括例如電容器、電阻器、電感器及其類似裝置等的裝置。主動裝 置與被動裝置可一起用來產生所設計的所欲的結構性及功能性的組件。可使用任何適當的方法,將上述主動元件與上述被動元件形成在基底10的範圍內或基底10上。例如,裝置20的一個裝置可以是電晶體11,電晶體11包括一閘極12、閘極間隔物13與源/汲極區14。閘極與源/汲極接觸15可用來電性連接於電晶體11。電晶體11可以是一鰭式或平面式場效電晶體(field effect transistor;FET),且可以是一n型或p型電晶體或一互補式金屬-氧化物-半導體(complimentary metal-oxide semiconductor;CMOS)裝置的一部分。一介電層16可包括一或多層的介電材料,閘極與源/汲極接觸15位於其中而電性連接於主動裝置與被動裝置。
金屬化結構21是形成在基底10的上方。金屬化結構21包括一或多個金屬化層23。第1圖顯示金屬化結構21具有一個金屬化層23。每個金屬化層23包括一介電層22B,在介電層22B具有形成於其中的導體構件24。金屬化結構21可以是例如一互連結構或一重分布結構。金屬化結構21可包括一介電層22A與複數個導體構件24,介電層22A將一或多個金屬化層23與上述基底分離且將一或多個金屬化層23彼此分離。介電層22A例如為一金屬間介電(nter-Metal Dielectric;IMD)層或一層間介電(Inter-Layer Dielectric;ILD)層,可包括例如低於3.8、低於3.0或低於2.5的低介電常數(k值)。金屬化結構21的介電層22A與22B可以以磷矽玻璃(phosphosilicate glass;PSG)、硼矽玻璃(borosilicate glass;BSG)、硼磷矽玻璃(boron phosphate silicate glass;BPSG)、摻氟的矽玻璃(fluorinated silicate glass;FSG)、矽酸四乙酯(etraethyl orthosilicate;TEOS)、Black Diamond(Applied Materials Inc.的一註冊商標)、一含碳的低介電常數介電材料、含氫倍半矽氧烷(Hydrogen SilsesQuioxane;HSQ)、甲基倍半矽氧烷(MethylSilsesQuioxane;MSQ)或其類似材料形成。
金屬化結構21是形成在基底10的上方及裝置20的上方,且將各種裝置20連接在一起,並對電路的設計提供輸入/輸出(input/output)以形成功能性的電路系統。在一實施例中,金屬化結構21是由交錯配置的介電層22A與金屬化層23形成,且可經由任何適當的製程(例如沉積、鑲嵌、雙鑲嵌等)而形成。在一實施例中,可具有一或多個金屬化層23,其藉由至少一個介電層22A而與基底10分離且彼此分離。金屬化層23的精確數量及介電層22A的數量是視設計而定。
導體構件24可包括複數個金屬線24A與複數個導體介層結構24B,金屬線24A是一或多個金屬化層23的一部分,介層結構24B穿過至少一個介電層22A。金屬線24A是用來發送訊號。介層結構24B可延伸穿過介電層22A,以接觸下方的構件。在一實施例中,導體構件24可以是例如銅等的材料,使用例如一鑲嵌(damascene)或雙鑲嵌(dual damascene)製程而形成,在上述鑲嵌或雙鑲嵌製程中,在金屬化層23的範圍內形成一開口,以例如銅或鎢等的導體材料填充及/或過度填充上述開口,以及實行一平坦化製程而將上述導體材料嵌入金屬化層23。然而,可使用任何適當的材料與任何適當的製程來形成導體構件24。在一些實施例中,一阻障層25可圍繞導體構件 24。在一些實施例中,導體構件24可以是一晶片的接點。
蝕刻停止層(etch stop layer;ESL)26可包括一介電材料,例如碳化矽、氮化矽或其類似材料。蝕刻停止層26可以以一氮化物、一矽-碳類材料、一摻碳的氧化物及/或上述之組合形成。其形成方法包括電漿輔助化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;PECVD)或其他方法,例如高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition;HDPCVD)、原子層沉積(Atomic Layer Deposition;ALD)、低壓化學氣相沉積(low pressure Chemical Vapor Deposition;LPCVD)、物理氣相沉積(physical vapor deposition;PVD)及其類似方法。根據一些實施例,蝕刻停止層26亦用來作為一擴散阻障層,以用來避免例如銅等的不希望有的元素擴散到後續形成的低介電常數介電層(例如:介電層28,將在後文詳細敘述)中。蝕刻停止層26可包括摻碳的氧化物(Carbon-Doped Oxide;CDO)、吸收碳的氧化物(carbon-incorporated silicon oxide;SiOC)或摻氧的碳化物(oxygen-Doped Carbide;ODC)。蝕刻停止層26亦可以以摻氮的碳化矽(Nitrogen-Doped silicon Carbide;NDC)形成。
蝕刻停止層26可包括一或多個個別的層。在一些實施例中,例如一第一蝕刻停止層26A與第二蝕刻停止層26B,其中第一蝕刻停止層26A是用來保護下方的結構並為了後續的蝕刻製程的蝕穿提供一控制點。可將第一蝕刻停止層26A沉積至約10Å與約100Å之間、例如約40Å的厚度,亦可使用其他適當的厚度。
一旦已形成第一蝕刻停止層26A來覆蓋導體構件24,在一些實施例中,在第一蝕刻停止層26A的上方形成一第二蝕刻停止層26B。在一實施例中,是以與第一蝕刻停止層26A不同的材料來形成第二蝕刻停止層26B。第二蝕刻停止層26B的材料可使用例如前列等的沉積製程來形成,並可沉積至約10Å與約100Å之間、例如約40Å的厚度,然而亦可使用其他適當的形成方法及其他適當的厚度。
進一步繪示於第1圖的是介電層28,其形成在蝕刻停止層26的上方。根據本發明的一些實施例,介電層28是以一低介電常數介電材料形成,上述低介電常數介電材料具有低於約3.0、低於約2.5或更低的低介電常數(k值)。介電層28可使用選自與用以形成介電層22A的候選材料的相同族群的材料來形成。當選自與候選材料的相同族群的材料,介電層22A的材料與介電層28的材料可以相同或彼此不同。根據一些實施例,介電層28是一含矽與碳的低介電常數介電層。根據本發明實施例,亦可將介電層28稱為「目標層」,其中此目標層具有複數個開口,上述複數個開口是根據複數個圖形而形成於其此目標層中,且在此目標層填充複數個金屬線與插塞。
在一些實施例中,在介電層28的上方存在一罩幕30。在一些實施例中,罩幕30可以是一介電質硬罩幕,其可以以氧化物(例如為矽酸四乙酯(tetraethylorthosilicate;TEOS)氧化物)、不含氮的抗反射塗層(Nitrogen-Free Anti-Reflective Coating;NFARC,其為氧化物)、碳化矽、氧氮化矽或其類似材料。其形成方法包括電漿輔助化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;PECVD)、高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition;HDPCVD)或其類似方法。
一罩幕32是形成在罩幕30的上方或介電層28的上方。在一些實施例中,罩幕32可以是一硬罩幕。在一些實施例中,罩幕32可以是一金屬硬罩幕且可包括一或多個金屬,例如鈦(Ti)或鉭(Ta)。在一些實施例中,罩幕32的金屬可以在一金屬氮化物的形式,上述金屬氮化物例如為氮化鈦(TiN)或氮化鉭(TaN)。在一些實施例中,罩幕32可以以例如為氮化矽等的一非金屬氮化物、例如為氧氮化矽等的一氧氮化物或其類似材料形成。罩幕32的形成方法包括物理氣相沉積(Physical Vapor Deposition;PVD)、無線射頻物理氣相沉積(Radio Frequency Physical Vapor Deposition;RFPVD)、原子層沉積(Atomic Layer Deposition;ALD)或其類似方法。
介電質罩幕34是形成在罩幕32的上方。在一些實施例中,介電質罩幕34可以是一硬罩幕。介電質罩幕34可使用選自與用以形成罩幕30的候選材料的相同族群的材料來形成,且可使用選自與用以形成罩幕30的候選方法的相同族群的方法來形成。罩幕30與介電質罩幕34可以以相同的材料形成,或可包括不同的材料。在一些實施例中,介電質罩幕34可在沉積之後被圖形化,以曝露下方的罩幕32的一部分。在這樣的實施例中,可以使用介電質罩幕34來蝕刻下方的目標層28。
圖形罩幕層36是形成在介電質罩幕34的上方。在一些實施例中,是以無晶形矽或與下方的介電質罩幕34具有高 蝕刻選擇性的另一材料來形成圖形罩幕層36。在一些實施例中,例如當使用一自對準雙重圖形化(self-aligned double patterning;SADP)技術時,圖形罩幕層36可以是一心軸(mandrel)層。在一些實施例中,圖形罩幕層36可以是一圖形,以將其用於一道圖形化-一道蝕刻(one-patterning-one-etching;1P1E)製程。根據一些實施例,可將圖形罩幕層36用於二道圖形化-二道蝕刻(two-patterning-two-etching;2P2E)製程,其中二個相鄰的開口(請見例如第6圖的開口54)是在不同的微影製程中形成,因此可將相鄰的開口設置得彼此靠近,而不會導致光學近接效應(optical proximity effect)。附加的圖形化步驟可使用在圖形罩幕層36上,例如三道圖形化-三道蝕刻(three-patterning-three-etching(3P3E)、諸如此類或是前文討論的技術之組合。
在將圖形罩幕層36圖形化之後(請見第6圖的圖形罩幕層236),會將其用於一後續的製程作為一罩幕,其結果會將目標的介電層28圖形化。當上述開口的高度對寬度的外觀比高,作為上述蝕刻製程的結果,在目標的介電層28中的所造成的金屬構件(例如:複數個金屬線)將會蜿蜒,例如:未相對地筆直。在此處敘述的實施例會控制所形成的開口的高寬比,其造成的導體構件具有較小的蜿蜒至沒有蜿蜒。
仍請參考第1圖,在圖形罩幕層36的上方形成一叁層結構,上述叁層結構包括一底層38、在底層38的上方的一中間層40以及在中間層40的上方的一上層42。在一些實施例中,底層38與上層42是以光阻形成,上述光阻包括有機材料。中間 層40可包括一無機材料,上述無機材料可以是碳化物(例如為氧碳化矽)、氮化物(例如為氮化矽)、氧氮化物(例如為氧氮化矽)、氧化物(例如為氧化矽)或其類似材料。中間層40相對於上層42與中間層40中間層40具有高蝕刻選擇性,因此,是將上層42用來作為對中間層40的圖形化的一蝕刻罩幕,並將中間層40用來作為對底層38的圖形化的一蝕刻罩幕。
底層38的厚度可以在約300Å與約1200Å之間,例如為約600Å;中間層40的厚度可以在約100Å與約500Å之間,例如為約300Å;上層42的厚度可以在約500Å與約1500Å之間,例如為約1000Å。雖然以上提供上述層的例示範圍與厚度,但亦可使用其他厚度的這些層。
在形成上層42之後,使用一可接受的光學微影技術,將上層42圖形化如第1圖所示。上層42在其中包括複數個開口44。在結構100的一俯視圖中,開口44可具有例如條狀、圓形的介層結構或導體圖形等的形狀。
接下來,請參考第2圖,對中間層40進行蝕刻,以形成圖形化的中間層140。對中間層40進行的蝕刻是使用上層42作為一蝕刻罩幕,因此將上層42的圖形轉移至中間層40,以形成一圖形化的中間層140。圖形化的中間層140具有複數個開口46,開口46是延伸自開口44。在將中間層40圖形化成圖形化的中間層140的過程中,上層42可以部分或完全消耗。對中間層40進行蝕刻,其結果使圖形化的中間層140中的開口46具有在蝕刻輪廓的頂部較寬、在蝕刻輪廓的底部較窄的開口。換句話說,在圖形化的中間層140中的開口46的所產生的輪廓可逐 漸變細。可使用任何適當的蝕刻技術,例如使用對於圖形化的中間層140的材料具有選擇性的蝕刻劑之溼蝕刻或乾蝕刻。
請轉至第3圖,然後對底層38進行蝕刻,以形成圖形化的底層138。對底層38進行的蝕刻是使用圖形化的中間層140作為一蝕刻罩幕,因此將圖形化的中間層140的圖形轉移至底層38,以形成圖形化的底層138。圖形化的底層138具有複數個開口48,開口48是延伸自開口46(第2圖)。如果上層42在圖形化的中間層140的圖形化中並未完全消耗,則上層42在底層38的圖形化的過程中也將會完全消耗。開口48是形成在圖形化的底層138中。在製程的變動範圍內,開口48可以逐漸變細或可具有垂直的側壁。可使用任何適當的蝕刻技術,例如使用對於圖形化的底層138的材料具有選擇性的蝕刻劑之溼蝕刻或乾蝕刻。底層38的蝕刻製程的進行,是:歷時一蝕刻時間tBT,上述蝕刻時間tBT是在約5秒與約20秒之間,例如為約8秒;在約3mTorr與約60mTorr之間、例如為約15mTorr的壓力;在約25℃與約80℃之間、例如為約45℃的溫度;使用以約10V與約400V、例如為110V的電壓施加的一偏壓。可使用其他的環境條件與蝕刻時間。
現在請參考第4圖,對圖形罩幕層36進行一突穿(breakthrough;BT)蝕刻製程,以形成圖形罩幕層136。對圖形罩幕層36進行的蝕刻是使用圖形化的底層138作為一蝕刻罩幕,因此將圖形化的底層138的圖形轉移至圖形罩幕層36的一頂部,以形成圖形罩幕層136。圖形罩幕層136具有複數個開口50,開口50是延伸自開口48(第3圖)。同樣地,在上述突穿蝕刻 的過程中,圖形化的中間層140將會被完全消耗。上述突穿蝕刻製程可使用任何適當的蝕刻製程,例如一乾蝕刻製程。在一些實施例中,例如,在圖形罩幕層136是以矽形成時,上述突穿蝕刻製程可以是一反應性離子蝕刻(reactive ion etch;RIE)製程,其使用的蝕刻製程氣體包括含氟的形式,例如為CHF3、CF4、CH2F2、SF3、其類似氣體或上述之組合。可使用附加的製程氣體,例如為Ar、N2、O2、其類似氣體或上述之組合。上述突穿蝕刻製程可為放熱製程。上述反應性離子蝕刻製程的進行,是:歷時一蝕刻時間tBT,上述蝕刻時間tBT是在約5秒與約20秒之間,例如為約10秒;在約3mTorr與約60mTorr之間、例如為約10mTorr的壓力;在約20℃與約60℃之間、例如為約40℃的溫度。可使用其他的環境條件與蝕刻時間。
在上述突穿蝕刻製程之後,在開口50中的初始突穿可具有在約1nm與10nm之間、例如為約5nm的一深度d0。開口50的節距(pitch)p1可以在約30nm與約50nm之間、例如為約40nm。開口50的寬度w1可以在約5nm與約30nm之間、例如為約10nm。開口50之在圖形罩幕層136中的部分的高度h1可以在約20nm與約100nm之間、例如為約40nm。雖然已列出例示的深度d0、節距p1、高度h1及寬度w1的範圍及值,但可以使用其他的範圍及值。高度h1對寬度w1的比值可以是約3至15。
第5圖顯示在圖形化的底層138的一進一步的蝕刻以減少圖形化的底層138的高度並製造圖形化的底層238之後的結構100。上述圖形化的底層138的進一步蝕刻可以是一異向性或半異向性乾蝕刻,其使用對於圖形化的底層138的材料具 有選擇性的一適當的蝕刻劑。造成圖形化的底層238的上述進一步蝕刻的進行,是:歷時一蝕刻時間tBT,上述蝕刻時間tBT是在約3秒與約15秒之間,例如為約8秒;在約3mTorr與約60mTorr之間、例如為約30mTorr的壓力;在約20℃與約60℃之間、例如為約40℃的溫度。可使用其他的環境條件與蝕刻時間;使用以約5V與約400V、例如為100V的電壓施加的一偏壓。與造成圖形化的底層138的上述初始蝕刻比較,造成圖形化的底層238的上述進一步蝕刻可以在較高的功率進行。上述異向性蝕刻造成圖形化的底層138的材料的頂表面被移除,藉此縮小通過圖形化的底層238的開口50的高度,以形成開口52。同樣地,可以在相同的蝕刻製程或一分離的蝕刻製程將開口50加寬,以形成開口52。因此,開口50的高度與開口50的寬度都可以被改變,以形成開口52。開口52之通過圖形化的底層238(不包括上述突穿)的部分的高度h2可以是由根據製程氣體與蝕刻參數而定,將高度h1縮減約25%至75%而成。類似地,開口52之通過圖形化的底層238的部分的寬度w2可以是由根據製程氣體與蝕刻參數而定,將寬度w1增加約25%至75%而成。在圖形化的底層138的上述進一步蝕刻之後,在圖形化的底層238中的高度h2對寬度w2的比值可以是約1.5至4,例如為約2。在一些實施例中,圖形化的底層138的高度,可藉由取代圖形化的底層138上述蝕刻或是附加於圖形化的底層138的上述蝕刻的一化學機械研磨(chemical mechanical polish;CMP)製程而被縮減。
由於高度對寬度的外觀比已經在圖形化的底層238中被減少,在後續的上述目標層的蝕刻,會減少蜿蜒線的 可能性,這會在後文對第9圖的敘述中討論。
第6圖顯示圖形罩幕層136的一異向性蝕刻,以形成圖形罩幕層236。使用圖形化的底層238作為一蝕刻罩幕,對圖形罩幕層136進行蝕刻,因此將圖形化的底層238的圖形轉移至圖形罩幕層136,以形成一圖形罩幕層236。圖形罩幕層236具有複數個開口54,開口54是延伸自開口52。上述蝕刻技術可包含一乾蝕刻,此乾蝕刻使用一適當的蝕刻劑。在一些實施例中,為了蝕刻圖形罩幕層236而選擇的蝕刻劑可以是一不含氟的蝕刻劑,例如一氯系的蝕刻劑。在其他實施例中,可以使用其他蝕刻劑,包括氟系的蝕刻劑。在圖形罩幕層236下方的介電質罩幕34,可作為為了蝕穿圖形罩幕層236的一蝕刻停止層。
第6圖所繪示的乾蝕刻製程的進行,是:歷時一蝕刻時間tBT,上述蝕刻時間tBT是在約3秒與約20秒之間,例如為約8秒;在約3mTorr與約60mTorr之間、例如為約30mTorr的壓力;在約20℃與約60℃之間、例如為約40℃的溫度。可使用其他的環境條件與蝕刻時間。
請參考第7圖,在圖形罩幕層236的蝕刻之後,可藉由一灰化(ashing)製程來移除圖形化的底層238。接下來,使用圖形罩幕層236作為蝕刻罩幕,對介電質罩幕34進行蝕刻,因此將圖形罩幕層236的圖形轉移至介電質罩幕34,以形成目前被圖形化的介電質罩幕134。介電質罩幕134具有複數個開口56,開口56是延伸自開口54。介電質罩幕134的蝕刻,可藉由任何適當的技術來進行,例如藉由對介電質罩幕34的材料具有選擇性的溼蝕刻或乾蝕刻。在一些實施例中,介電質罩幕134 的蝕刻可能會消耗圖形罩幕層236。
請參考第8圖,在介電質罩幕134的蝕刻之後,將介電質罩幕134用來將罩幕32圖形化,以形成罩幕132。使用介電質罩幕134作為蝕刻罩幕,對罩幕32進行蝕刻,因此將介電質罩幕134的圖形轉移至罩幕32,以形成目前被圖形化的罩幕132。罩幕132具有複數個被蝕刻的開口60,開口60是延伸自開口56。所使用的蝕刻劑與蝕刻技術可以對罩幕132的材料具有選擇性。
在第9圖中,是將罩幕132作為一蝕刻罩幕使用,以藉由依序對每一層進行蝕刻,將罩幕132的圖形逐漸轉移至下方的介電質罩幕30、目標的介電層28以及蝕刻停止層26。開口62是藉由將開口60延伸進入下方之層而形成。在一些實施例中,在對下方之層進行蝕刻中使用罩幕132作為一罩幕之前,可藉由一分離的製程來移除介電質罩幕134的殘留部分(如果有任何殘留的話)。在一些實施例中,介電質罩幕134的殘留部分的移除,可以與罩幕130的蝕刻同時進行。目標的介電層128以及蝕刻停止層126的形成,可藉由使用對於目標的介電層128的材料以及蝕刻停止層126的材料具有選擇性的一適當的蝕刻劑的一乾蝕刻或一溼蝕刻等的一適當的蝕刻技術。特別是,目標的介電層128的蝕刻,可以使用一電漿或反應式離子蝕刻的異向性蝕刻,因此開口62的寬度在製程變化的範圍內是相對地平均。
接下來,移除罩幕132,所造成的結構如第9圖所示。在移除罩幕132之前或之後,可對蝕刻停止層126進行蝕 刻,以曝露導體構件24。開口62可包括溝槽及/或介層窗。例如,介層窗可及於曝露的導體構件24,而溝槽可被形成為具有一底部,上述底部是在目標的介電層128的最頂端的表面與目標的介電層128的最底部的表面之間。
作為上述叁層結構的圖形化的底層238的較低的外觀比的結果,圖形罩幕層236形成在俯視圖具有實質上筆直(無蜿蜒)的側壁,而造成開口62亦為筆直(無蜿蜒)。
第10圖顯示在開口62(第9圖)中,形成導體介層結構64A、64B與64C(合稱為介層結構64)。導線66A、66B與66C(合稱為導線66)亦形成於開口62中。介層結構64與導線66可包括襯墊(liner)68,襯墊68可以是擴散阻障層、黏著層及/或類似者。襯墊68可為導體。襯墊68可以以鈦、氮化鈦、鉭、氮化鉭或其他替代材料形成。導線66及介層結構64的內部區域包括衣導體材料,例如銅、一銅合金、銀、金、鎢、鋁或其類似材料。根據一些實施例,介層結構64及導線66的形成,包括:進行一毯覆式的沉積,以形成襯墊68;在上述襯墊的上方形成銅或銅合金的一薄種子層;以及經由例如電鍍(electro-plating)、無電解電鍍(electro-less plating)、沉積或其類似者,以金屬材料填充剩餘的開口62。然後,進行例如一化學機械研磨等的一平坦化,以使導線66的表面齊平,並從目標的介電層128的頂表面移除多餘的導體材料。罩幕130(第8圖)可在平坦化中被移除或在平坦化之後被蝕刻。第10圖的剖面圖,可以是例如沿著第14圖的線A-A。
在後續的步驟中,可形成一附加的蝕刻停止層(未 繪示),以及在上述附加的蝕刻停止層的上方,形成更多的低介電常數介電層、金屬線以及介層結構(未繪示)。其製程步驟及所造成的結構類似於如第1~10圖所示者。
前文參照第1圖至第10圖所顯示及敘述的製程,可用來進行多重圖形化製程,例如二道圖形化-二道蝕刻或自對準雙重圖形化。例如,第11圖顯示在一第一圖形化完成前文對第1圖至第6圖所敘述的步驟之後的二道圖形化-二道蝕刻製程的一中間步驟。在將圖形化的底層238的圖形轉移至圖形罩幕層236(在第6圖中)之後,可移除上述叁層結構(例如:上層42、圖形化的中間層140以及圖形化的底層238)的任何留下來的殘留部分,然後在圖形罩幕層236的上方形成一新的叁層結構。在圖形罩幕層236的上方形成上述新的叁層結構之後,可以重複前文對第1圖至第6圖所敘述的步驟,以形成圖形化的底層338,圖形化的底層338是用來圖形化圖形罩幕層236的一不同的部分。第11圖顯示從一第一圖形化製程形成的開口54(第6圖)被以一阻劑材料填充,上述阻劑材料可以是用於圖形化的底層338的相同材料。上述叁層結構的圖形化的底層338已使用前文對第1圖至第5圖所敘述的類似製程而被圖形化。在下一步驟(未繪示)中,可將圖形化的底層338的圖形轉移至圖形罩幕層236。後續的步驟可依循前文對第7圖至第10圖所敘述的類似製程。在將圖形罩幕層236轉移至下方之層之前,可將一類似的製程用來對相同的圖形罩幕層236作附加的圖形化(三道圖形化-三道蝕刻)。作為上述三重圖形化技術的結果,與在一單一的圖形化技術所達成者比較,可將開口的節距p2形成為較小 的節距,例如為約20nm至約50nm,例如為約30nm。可使用其他的節距。
第12與13圖繪示一自對準雙重圖形化製程中間步驟。在一自對準雙重圖形化製程中,請參考第6圖,在將圖形化的底層238的圖形轉移至圖形罩幕層236之後,可將圖形化的底層238移除。在本實施例中,圖形罩幕層236是用於雙重圖形化的一心軸層。接下來,可以在圖形罩幕層236的上方,沉積間隔物材料。接下來,如第12圖所示,使用一適當的技術對上述間隔物材料進行異向性蝕刻,以移除上述間隔物材料的水平部分,其結果,形成了間隔物罩幕237。接下來,如第13圖所示,可移除上述心軸,且可將間隔物罩幕237用來在如前文對第7圖至第10圖所作敘述的後續步驟中,取代圖形罩幕層236。作為上述自對準雙重圖形化技術的結果,與在一單一的圖形化技術所達成者比較,可將開口的節距p3形成為較小的節距,例如為約10nm至約40nm,例如為約20nm。可使用其他的節距。
第14圖顯示在參考第10圖所討論的平坦化之後的導線66的俯視圖。導線66的蜿蜒特徵可藉由導線66的中間線(midline)70與中心線(centerline)72來顯示。可將中間線70理解為導線66的平均中間線,其平行於導線66的一主要方向。可將中心線72理解為由在導線66的二個側壁之間繪示的垂直於導線66的一主要方向的所有的線段的中間點所組成的線。換句話說,中心線72是導線66的實際的中心。距離d1是從中間線70到導線66的一側壁的最大距離(例如:最遠點)。距離d2是從中間線70到導線66的一側壁的最小距離(例如:最近點)。距離d3是 中間線70與中心線72交叉處的二點之間的距離。這可以是蜿蜒的頻率的指標,例如側壁從一理想(完美地筆直)的側壁凹入或突出分布在上述線性距離的測量值。如果導線66無蜿蜒(為完美地筆直),則d1與d2之差應為零。亦即,d1等於d2。若使用此處敘述的技術來減少在上述目標層(以及形成於其中的導線66)中的蜿蜒,d1-d2之差可以在零與30Å之間,例如約25Å。在一些實施例中,d1-d2之差可以是小於約30Å的非零之值,例如約25Å。在一些實施例中,距離d3可以在約10Å與100Å之間,例如約50Å。
第15~16圖是顯示根據一些實施例的一基底的圖形化。第15圖顯示將會在後續被圖形化以形成作為一或多個鰭式場效電晶體(fin field-effect transistors;FinFETs)的一部分的複數個鰭狀物。存在於第15圖之層可以相同或相似於在第1圖所示之層,除了在基底10中尚未形成主動裝置之外。對圖形化的罩幕層36進行圖形化的步驟,可遵循前文對第1~6圖所作敘述。在一些實施例中,可以省略一或多個罩幕30、罩幕32以及介電質罩幕34。在其存在之處,可使用例如前文對第7~9圖所作敘述的製程及材料來形成罩幕30、罩幕32以及介電質罩幕34。罩幕130(請見第9圖)可用來圖形化基底10,以形成複數個半導體條狀物110。作為使用前述製程的結果,可以將半導體條狀物110形成為其具有較少的蜿蜒。
在半導體條狀物110的形成之後,可以將半導體條狀物110用來形成一鰭式場效電晶體,例如為電晶體11(第1圖)。特別是例如第1圖的閘極12與閘極間隔物13等的一閘極結 構,可以形成在半導體條狀物110的上方且正交於半導體條狀物110的方向。可以在相鄰於上述閘極結構之處,形成例如第1圖的源/汲極區14等的複數個源/汲極區。可以形成閘極與源/汲極接觸15,以接觸電晶體11。
此處敘述的實施例提供以微細節距在裝置中形成金屬線的手段,這些金屬線具有比其他技術還少的蜿蜒。蜿蜒的消除或減少,提供了在更微細的節距寬度的互連結構具有更高的可靠度。
一實施例是一種半導體裝置的形成方法,包含:在一基底的上方形成一圖形層;在上述圖形層的上方沉積一第一罩幕層;圖形化上述第一罩幕層,以在其中形成一或多個開口;將上述第一罩幕層薄化;使上述第一罩幕層的上述一或多個開口變寬;以及將上述第一罩幕層的圖形轉移至上述圖形層。
另一實施例是一種半導體裝置的形成方法,包括:在一基底的上方形成一介電層,其中上述基底包含一或多個主動裝置;在上述介電層的上方形成一罩幕層;在上述罩幕層的上方形成一叁層結構,上述叁層結構包括一第一第一材料的一頂層、一第二材料的一中間層與一第三材料的一底層;圖形化上述頂層,以形成第一組開口;將上述頂層的圖形轉移至上述中間層,以形成第二組開口;將上述中間層的圖形轉移至上述底層,以形成第三組開口;同時在一第一維度擴大上述第三組開口、在一第二維度縮小上述第三組開口;以及經由上述第三組開口蝕刻上述罩幕層。
另一實施例是一種半導體裝置,包括:一基底,具有形成於其中的一或多個主動裝置;一接點,連接至上述一或多個主動裝置的一第一主動裝置;以及一互連結構,在上述接點的上方,上述互連結構包括一金屬線,上述金屬線連接於上述接點,上述金屬線具有一第一部分,上述第一部分重疊於上述接點;其中上述金屬線的上述第一部分成蜿蜒狀;上述金屬線的上述第一部分的一平均中線與上述金屬線的上述第一部分的一側壁的最遠點的垂直距離為一第一距離;上述金屬線的上述第一部分的一平均中線與上述金屬線的上述第一部分的一側壁的最近點的垂直距離為一第二距離;上述第一距離與上述第二距離之差大於零且小於25Å;以及上述金屬線的上述第一部分與最靠近的相鄰第一部分金屬線之間的節距(pitch)在30nm與50nm之間。
前述內文概述了許多實施例的特徵,使所屬技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。所屬技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。所屬技術領域中具有通常知識者也應了解這些均等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。

Claims (10)

  1. 一種半導體裝置的形成方法,包含:在一基底的上方形成一圖形層;在該圖形層的上方沉積一第一罩幕層;圖形化該第一罩幕層,以在其中形成一或多個開口;將該第一罩幕層薄化;使該第一罩幕層的該一或多個開口變寬;以及將該第一罩幕層的圖形轉移至該圖形層。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括:在將該第一罩幕層薄化之前,對該圖形層的一頂表面進行蝕刻,以突穿該圖形層該頂表面。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中圖形化該第一罩幕層更包括以一第一功率對該第一罩幕層進行異向性蝕刻,其中將該第一罩幕層薄化包括以一第二功率對該第一罩幕層進行異向性蝕刻而將該第一罩幕層從一第一厚度縮小到一第一厚度,其中該第二功率大於該第一功率。
  4. 如申請專利範圍第3項所述之半導體裝置的形成方法,其中對該第一罩幕層進行異向性蝕刻使該第一罩幕層的該一或多個開口變寬,藉此使該一或多個開口的一第一開口從一第一寬度變寬到一第二寬度。
  5. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括:使用該圖形層作為一罩幕以蝕刻該基底以從該基底形成複數個半導體條狀物;或者使用該圖形層作為一罩幕以蝕刻一目標層以在其中形成複數個開口;以及在該目標層的開口中沉積一導體材料,其中該導體材料連接於該基底中的一裝置的一金屬構件。
  6. 一種半導體裝置的形成方法,包括:在一基底的上方形成一介電層,其中該基底包含一或多個主動裝置;在該介電層的上方形成一罩幕層;在該罩幕層的上方形成一叁層結構,該叁層結構包括一第一第一材料的一頂層、一第二材料的一中間層與一第三材料的一底層;圖形化該頂層,以形成第一組開口;將該頂層的圖形轉移至該中間層,以形成第二組開口;將該中間層的圖形轉移至該底層,以形成第三組開口;同時在一第一維度擴大該第三組開口、在一第二維度縮小該第三組開口;以及經由該第三組開口蝕刻該罩幕層。
  7. 如申請專利範圍第6項所述之半導體裝置的形成方法,其中在擴大該第三組開口之前,經由該第三組開口而蝕刻該罩幕層的一頂表面。
  8. 如申請專利範圍第6項所述之半導體裝置的形成方法,更包括:移除該叁層結構的任何留下的部分;在該罩幕層的上方形成一間隔層;對該間隔層進行異向性蝕刻,以移除該間隔層的水平部分;對該罩幕層進行蝕刻,以形成一間隔物罩幕;以及使用該間隔物罩幕作為一蝕刻罩幕,對一目標層進行蝕刻。
  9. 如申請專利範圍第6項所述之半導體裝置的形成方法,其中:將該中間層的圖形轉移至該底層以形成第三組開口,包括以一第一功率對該底層進行蝕刻;同時在一第一維度擴大該第三組開口、在一第二維度縮小該第三組開口,包括以一第二功率對該底層進行蝕刻;以及該第一功率小於該第二功率。
  10. 一種半導體裝置,包括:一基底,具有形成於其中的一或多個主動裝置;一接點,連接至該一或多個主動裝置的一第一主動裝置;以及一互連結構,在該接點的上方,該互連結構包括一金屬線,該金屬線連接於該接點,該金屬線具有一第一部分,該第一部分重疊於該接點;其中,該金屬線的該第一部分成蜿蜒狀;該金屬線的該第一部分的一平均中線與該金屬線的該第一部分的一側壁的最遠點的垂直距離為一第一距離;該金屬線的該第一部分的一平均中線與該金屬線的該第一部分的一側壁的最近點的垂直距離為一第二距離;該第一距離與該第二距離之差大於零且小於25Å;以及該金屬線的該第一部分與最靠近的相鄰第一部分金屬線之間的節距(pitch)在30nm與50nm之間。
TW107129652A 2017-08-31 2018-08-24 半導體裝置的形成方法與半導體裝置 TWI671810B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762552464P 2017-08-31 2017-08-31
US62/552,464 2017-08-31
US15/871,675 US10861705B2 (en) 2017-08-31 2018-01-15 Reduction of line wiggling
US15/871,675 2018-01-15

Publications (2)

Publication Number Publication Date
TW201913762A TW201913762A (zh) 2019-04-01
TWI671810B true TWI671810B (zh) 2019-09-11

Family

ID=65435464

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107129652A TWI671810B (zh) 2017-08-31 2018-08-24 半導體裝置的形成方法與半導體裝置

Country Status (3)

Country Link
US (3) US10861705B2 (zh)
CN (1) CN109427657B (zh)
TW (1) TWI671810B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10522394B2 (en) * 2017-09-25 2019-12-31 Marvell World Trade Ltd. Method of creating aligned vias in ultra-high density integrated circuits
US11152255B2 (en) * 2019-03-28 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of performing chemical-mechanical polishing process in semiconductor devices
US11398377B2 (en) * 2020-01-14 2022-07-26 International Business Machines Corporation Bilayer hardmask for direct print lithography
US11521926B2 (en) 2021-03-10 2022-12-06 Nanya Technology Corporation Semiconductor device structure with serpentine conductive feature and method for forming the same
US11855226B2 (en) * 2021-07-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Thin film transistor, semiconductor device and method of fabricating thin film transistor
US11923246B2 (en) * 2021-09-15 2024-03-05 International Business Machines Corporation Via CD controllable top via structure
TWI787138B (zh) * 2022-02-24 2022-12-11 南亞科技股份有限公司 具有遮罩線以抑制訊號串擾的半導體元件

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201546537A (zh) * 2014-03-28 2015-12-16 Hoya Corp 空白遮罩、相位移轉遮罩之製造方法、相位移轉遮罩、以及半導體元件之製造方法(二)

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6812140B2 (en) * 2002-03-26 2004-11-02 Winbond Electronics Corporation Method for contact profile improvement
KR100454130B1 (ko) * 2002-05-28 2004-10-26 삼성전자주식회사 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
US7129162B2 (en) * 2002-12-30 2006-10-31 Texas Instruments Incorporated Dual cap layer in damascene interconnection processes
US7820543B2 (en) 2007-05-29 2010-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced copper posts for wafer level chip scale packaging
US7838424B2 (en) 2007-07-03 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced reliability of wafer-level chip-scale packaging (WLCSP) die separation using dry etching
US7863742B2 (en) 2007-11-01 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Back end integrated WLCSP structure without aluminum pads
KR101073075B1 (ko) * 2009-03-31 2011-10-12 주식회사 하이닉스반도체 이중 패터닝 공정을 이용한 반도체장치 제조 방법
US8450212B2 (en) * 2011-06-28 2013-05-28 International Business Machines Corporation Method of reducing critical dimension process bias differences between narrow and wide damascene wires
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US9257333B2 (en) 2013-03-11 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming same
US9263839B2 (en) 2012-12-28 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for an improved fine pitch joint
US8987058B2 (en) 2013-03-12 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for wafer separation
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
CN103456680B (zh) * 2012-06-05 2015-11-25 中芯国际集成电路制造(上海)有限公司 低k介质层中形成孔槽的方法
US9196532B2 (en) 2012-06-21 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods for forming the same
US8865585B2 (en) 2012-07-11 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming post passivation interconnects
US8987884B2 (en) 2012-08-08 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package assembly and methods for forming the same
US9275924B2 (en) 2012-08-14 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having a recess filled with a molding compound
US8754508B2 (en) 2012-08-29 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure to increase resistance to electromigration
US8952530B2 (en) 2012-09-14 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Post passivation interconnect structures and methods for forming the same
US8772151B2 (en) 2012-09-27 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation scheme
US8884400B2 (en) 2012-12-27 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor in Post-Passivation structures and methods of forming the same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8846548B2 (en) 2013-01-09 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Post-passivation interconnect structure and methods for forming the same
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US9773732B2 (en) 2013-03-06 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for packaging pad structure
US9196559B2 (en) 2013-03-08 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Directly sawing wafers covered with liquid molding compound
US8987922B2 (en) 2013-03-11 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for wafer level packaging
US9275925B2 (en) 2013-03-12 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for an improved interconnect structure
US9053279B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern modification with a preferred position function
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US8809185B1 (en) * 2013-07-29 2014-08-19 Tokyo Electron Limited Dry etching method for metallization pattern profiling
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
KR102171265B1 (ko) * 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201546537A (zh) * 2014-03-28 2015-12-16 Hoya Corp 空白遮罩、相位移轉遮罩之製造方法、相位移轉遮罩、以及半導體元件之製造方法(二)

Also Published As

Publication number Publication date
CN109427657A (zh) 2019-03-05
US20210118688A1 (en) 2021-04-22
US11594419B2 (en) 2023-02-28
US10861705B2 (en) 2020-12-08
CN109427657B (zh) 2021-03-05
US20190067022A1 (en) 2019-02-28
TW201913762A (zh) 2019-04-01
US20230154760A1 (en) 2023-05-18

Similar Documents

Publication Publication Date Title
TWI671810B (zh) 半導體裝置的形成方法與半導體裝置
TWI536520B (zh) 半導體裝置及方法
US9865500B2 (en) Method of fine line space resolution lithography for integrated circuit features using double patterning technology
US9613880B2 (en) Semiconductor structure and fabrication method thereof
KR20170001544A (ko) 전도성 라인 위의 에칭 중단 층을 갖는 상호 접속 구조체
TW201810591A (zh) 半導體裝置與其形成方法
TWI588901B (zh) 自對準通孔流程
TWI713113B (zh) 半導體裝置之形成方法
CN109427656B (zh) 半导体装置及其制造方法
TW202145392A (zh) 半導體結構
TW201732930A (zh) 半導體裝置之形成方法
CN106952863B (zh) 半导体器件的形成方法
US10923423B2 (en) Interconnect structure for semiconductor devices
US20220148918A1 (en) Etching to reduce line wiggling
KR101959669B1 (ko) 전도성 피쳐를 형성하는 방법
US9275873B2 (en) Masking process and structures formed thereby
TW202244995A (zh) 製造半導體元件之方法
TW202324513A (zh) 半導體結構的形成方法
TW202310091A (zh) 具有深金屬線的半導體結構及用於形成該半導體結構的方法