TWI635565B - 具有變化閾值電壓之半導體裝置及其製造方法 - Google Patents

具有變化閾值電壓之半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI635565B
TWI635565B TW106104248A TW106104248A TWI635565B TW I635565 B TWI635565 B TW I635565B TW 106104248 A TW106104248 A TW 106104248A TW 106104248 A TW106104248 A TW 106104248A TW I635565 B TWI635565 B TW I635565B
Authority
TW
Taiwan
Prior art keywords
region
threshold voltage
layer
work function
voltage adjustment
Prior art date
Application number
TW106104248A
Other languages
English (en)
Other versions
TW201735245A (zh
Inventor
巴拉 坎南
光允
西德斯 克力斯南
安藤孝
夫亞 納拉瓦納恩
Original Assignee
格羅方德半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 格羅方德半導體公司 filed Critical 格羅方德半導體公司
Publication of TW201735245A publication Critical patent/TW201735245A/zh
Application granted granted Critical
Publication of TWI635565B publication Critical patent/TWI635565B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Abstract

本發明提供半導體裝置製造方法,包括:設置結構,該結構具有至少一個區域並包括設於基板上方的介電層;在該介電層上方形成包括閾值電壓調整層的多層堆疊結構,該多層堆疊結構包括位於該至少一個區域的第一區域中的第一閾值電壓調整層、以及位於該至少一個區域的第二區域中的第二閾值電壓調整層;以及退火該結構以定義該至少一個區域的變化閾值電壓,該退火促進至少一個閾值電壓調整種類自該第一閾值電壓調整層及該第二閾值電壓調整層擴散進入該介電層中,其中,該第一區域的閾值電壓獨立於該第二區域的該閾值電壓。

Description

具有變化閾值電壓之半導體裝置及其製造方法
本發明關於半導體裝置以及製造該半導體裝置的方法,尤其關於具有變化閾值電壓的半導體裝置。
傳統上,不同的半導體裝置經製造而具有一個或多個不同的裝置特性,例如閾值電壓、開關速度、洩露功率消耗等。多種不同的設計可分別向意圖執行特定功能的裝置提供這些特性的其中一個或多個的優化。例如,一種設計可具有降低的閾值電壓,以增加提供計算邏輯功能的裝置的開關速度,而另一種設計可具有增加的閾值電壓,以降低提供記憶體儲存功能的裝置的功率消耗。如半導體裝置技術例如場效電晶體(field-effect transistor;FET)中所已知的那樣,閾值電壓是允許電流從源區經過FET的通道區流至汲區所需的最小閘極電壓。使用分別針對不同的功能進行優化的多個分立裝置的系統將導致系統複雜性增大、系統覆蓋區(footprint)增加以及系統成本增加。
為克服現有技術的特定缺點並提供額外的優點,在一個態樣中提供一種製造半導體裝置的方法,該方法包括例如:設置結構,該結構具有至少一個區域並包括設於基板上方的介電層;在該介電層上方形成包括閾值電壓調整層的多層堆疊結構,該多層堆疊結構包括位於該至少一個區域的第一區域中的第一閾值電壓調整層、以及位於該至少一個區域的第二區域中的第二閾值電壓調整層;以及退火該結構以定義該至少一個區域的變化閾值電壓,該退火促進至少一個閾值電壓調整種類(threshold-voltage adjusting species)自該第一犧牲層及該第二犧牲層擴散進入該介電層中,其中,該第一區域的閾值電壓獨立於該第二區域的該閾值電壓。
在另一個態樣中,提供一種半導體裝置,其例如包括:PFET裝置區域的第一替代金屬閘極結構,具有第一有效功函數並包括具有第一閾值調整種類的第一介電層;以及該PFET裝置區域的第二替代金屬閘極結構,具有第二有效功函數並包括缺乏該第一閾值調整種類的該第一介電層,其中,該第一有效功函數大於或等於5eV(電子伏)。
通過本發明的技術實現額外的特徵及優點。本發明的其它實施例及態樣在本文中作詳細說明並作為所請求保護的發明的部分。
100‧‧‧中間結構、結構
102‧‧‧基板
104‧‧‧區域
106‧‧‧區域
108‧‧‧區域
110‧‧‧區域
112‧‧‧層間介電材料層、層間介電材料
114‧‧‧介電層
114’‧‧‧介電層
114”‧‧‧介電層
116‧‧‧犧牲功函數層、氮化鈦功函數層
118‧‧‧開口
120‧‧‧多層堆疊結構
122‧‧‧額外犧牲功函數層
124‧‧‧閾值電壓調整層
126‧‧‧第二犧牲功函數層
128‧‧‧開口
130‧‧‧額外多層堆疊結構
132‧‧‧閾值電壓調整層
134‧‧‧覆蓋層
136‧‧‧閘極材料
138‧‧‧功函數層
140‧‧‧閘極材料
本發明的一個或多個態樣被特別指出並在說明書的結束處的聲明中被明確稱為示例。結合附圖參照下面的詳細說明可清楚本發明的上述及其它目的、特徵以及優點,該些附圖中:第1A圖顯示依據本發明的一個或多個態樣在後閘極半導體裝置製程期間所獲得的中間結構的剖視圖並顯示設於基板的一個或多個區域內的介電層;第1B圖顯示依據本發明的一個或多個態樣在該介電層上方共形設置犧牲功函數層以後的第1A圖的結構;第1C圖顯示依據本發明的一個或多個態樣自該基板的第一區域選擇性移除該犧牲功函數層以後的第1B圖的結構;第1D圖顯示依據本發明的一個或多個態樣形成多層堆疊結構以後的第1C圖的結構;第1E圖顯示依據本發明的一個或多個態樣選擇性移除該基板的第二區域內的該多層堆疊結構以後的第1D圖的結構;第1F圖顯示依據本發明的一個或多個態樣形成額外多層堆疊結構以後的第1E圖的結構;第1G圖顯示依據本發明的一個或多個態樣退火以定義該第一區域及該第二區域的閾值電壓並隨後移除該多層堆疊結構及該額外多層堆疊結構以後的第1F圖的結構; 第1H圖顯示依據本發明的一個或多個態樣在該基板的該一個或多個區域內設置一個或多個功函數層以後的第1G圖的結構;以及第1I圖顯示依據本發明的一個或多個態樣在該基板的該一個或多個區域內已形成替代閘極結構的第1H圖的所得結構。
通過參照附圖中所示的非限制例子來更加充分地解釋本發明的態樣及其特定的特徵、優點以及細節。省略對已知材料、製造工具、製程技術等的說明,以免在細節上不必要地模糊本發明。不過,應當理解,該詳細說明及該具體例子儘管標示本發明的實施例,但僅作為示例,而非限制。本領域的技術人員將會從本發明中瞭解在基礎的發明概念的精神和/或範圍內的各種替代、修改、添加和/或佈局。
在某種程度上,本發明提供一種製造一個或多個半導體裝置的方法,該半導體裝置包括具有變化閾值電壓的場效電晶體(FET)裝置。在一個態樣以及在典型積體電路中,可互連數千個或更多FET。作為一個例子,以及在互補金屬氧化物半導體(complementary metal-oxide-semiconductor;CMOS)技術中,n型FET(NFET)可例如通過共用共閘極結構與p型FET(PFET)互連,或者可通過金屬接觸連接。在典型積體電路製程期間,可能想要通過以具有不同閾值電壓的不同FET實施該積體電路的不同部分來 優化該積體電路的洩露、功率消耗以及速度。例如,可能想要以相對較高的速度執行邏輯或算術功能,以支持高級特徵,並以相對較低的速度執行記憶體儲存,以節約功率。當使用傳統的製程來設計將NFET及PFET兩者與多個閾值電壓組合的積體電路時,產生挑戰。本文中所使用的變化閾值電壓是指一個FET(例如NFET或PFET)的閾值電壓獨立於該積體電路的不同區域中的對應FET的閾值電壓進行調製。
在本發明的一個態樣中,提供一種製造半導體裝置的方法,該方法包括:設置結構,該結構具有至少一個區域並包括設於基板上方的介電層;在該介電層上方形成包括閾值電壓調整層的多層堆疊結構,該多層堆疊結構包括位於該至少一個區域的第一區域中的第一閾值電壓調整層、以及位於該至少一個區域的第二區域中的第二閾值電壓調整層;以及退火該結構以定義該至少一個區域的變化閾值電壓,該退火促進至少一個閾值電壓調整種類自該第一閾值電壓調整層及該第二閾值電壓調整層擴散進入該介電層中,其中,該第一區域的閾值電壓獨立於該第二區域的該閾值電壓。
在一個實施例中,該多層堆疊結構可包括或由犧牲功函數層(sacrificial work-function layer)製成,且該閾值電壓調整層設於該犧牲功函數層上方,其中,所述形成該多層堆疊結構可包括例如設定該犧牲功函數層的厚度,以定義該至少一個區域的該變化閾值電壓。本文中所 使用的“設定”是指改變或調節至少一個區域中的該犧牲功函數層的厚度,以定義該至少一個區域的閾值電壓。另外,所述形成該多層堆疊結構可包括設定該犧牲功函數層在該第一區域中的第一厚度以及在該第二區域中的第二厚度,其中,該第二厚度小於該第一厚度。在一個例子中,所述形成該多層堆疊結構可包括設定該犧牲功函數層的厚度,以使該犧牲功函數層在該第二區域中不存在。也就是說,沒有犧牲功函數層設於該第二區域中。
在一個態樣中,該多層堆疊結構可包括例如位於該第一區域中的第一多層堆疊結構、以及位於該第二區域中的第二多層堆疊結構,其中,該第一多層堆疊結構不同於該第二多層堆疊結構。例如,該第一多層堆疊結構可包括第一犧牲功函數層、設於該第一犧牲功函數層上方的該第一閾值電壓調整層、以及設於該第一閾值電壓調整層上方的第二犧牲功函數層,以及其中,該第一閾值電壓調整層可包括或由定義該第一區域的該閾值電壓的閾值電壓調整種類製成。該第一區域的該閾值電壓可包括例如p型場效電晶體(pFET)裝置的閾值電壓,該第一區域的該閾值電壓在0mV(毫伏)至200mV的範圍內。在一個例子中,該第一犧牲層的該閾值電壓調整種類可為或包括鋁(Al)、氧化鋁(Al2O3)、鍺(Ge)、氧化鍺(GeO2)等的至少其中一種。
在另一個態樣中,該第二多層堆疊結構可包括例如該第二閾值電壓調整層、設於該第二閾值電壓調 整層上方的覆蓋層、以及設於該覆蓋層上方的犧牲閘極材料,其中,該第二閾值電壓調整層可包括定義該第二區域的該閾值電壓的閾值電壓調整種類。例如,該第二區域的該閾值電壓可包括NFET裝置的閾值電壓,該第二區域的該閾值電壓在0mV至200mV的範圍內。例如,該第二閾值電壓調整層的該閾值電壓調整種類可包括或由含稀土金屬材料或含鹼土金屬材料的至少其中一種製成。在一個例子中,該含稀土金屬材料可為或包括元素週期表的IIIB族元素的至少其中一種。在另一個例子中,該含鹼土金屬材料可為或包括具有式MA的化合物,其中,M可為鹼土金屬,且A可為氧(O)、硫(S)或鹵化物的至少其中一種。
在另一個實施例中,該至少一個區域可包括例如具有NFET裝置或PFET裝置的至少其中一種的閾值電壓的第三區域,其中,該第三區域的該閾值電壓獨立於該第一區域及該第二區域的該閾值電壓。例如,所述形成該多層堆疊結構可包括例如:在該第一區域、該第二區域及該第三區域中的該介電層上方形成犧牲功函數層;在該第一區域中選擇性移除該犧牲功函數層,而不影響該第二區域或該第三區域;以及在該第一區域、該第二區域及該第三區域上方形成額外犧牲功函數層。另外,所述形成該多層堆疊結構可包括相對該第二區域及該第三區域中的該功函數層的該厚度,在該第一區域中設定該額外犧牲功函數層的厚度,該額外犧牲功函數層的該設定定義該第一區域的該閾值電壓。
在又一個實施例中,在所述設定該第一區域中的該額外犧牲功函數層之後,該製造方法還可包括選擇性移除該第二區域中的該多層堆疊結構。所述形成還可包括在該第一區域、該第二區域及該第三區域中形成額外多層堆疊結構,其中,該額外多層堆疊結構不同於該多層堆疊結構。另外,該第一區域中的該多層堆疊結構可包括具有第一閾值電壓調整種類的該第一閾值電壓調整層,以及該第二區域中的該額外多層堆疊結構可包括具有第二閾值電壓調整種類的該第二閾值電壓調整層,其中,該第一閾值電壓調整層不同於該第二閾值電壓調整層。
在另一個實施例中,在所述退火該結構之後,該製造方法還可包括在該至少一個區域上方設置功函數層,其中,該至少一個閾值電壓調整種類向該介電層中的該擴散定義該至少一個區域中的該功函數層的有效功函數,該第一區域、該第二區域及該第三區域中的該功函數層的該有效功函數彼此獨立。例如,該有效功函數可包括該第一區域中的第一PFET裝置的第一有效功函數、以及該第三區域中的第二PFET裝置的第二有效功函數,該第一有效功函數低於該第二有效功函數。
在本發明的又一個態樣中,提供一種半導體裝置,其包括:PFET裝置區域的第一替代金屬閘極結構,具有第一有效功函數並包括具有第一閾值調整種類的第一介電層;以及該PFET裝置區域的第二替代金屬閘極結構,具有第二有效功函數並包括缺乏該第一閾值調整種 類的該第一介電層,其中,該第一有效功函數大於或等於5eV。
例如,該第一有效功函數為200mV或大於該第二有效功函數。另外,該半導體裝置可包括:NFET裝置區域的第一替代金屬閘極結構,包括具有第二閾值調整種類的該第一介電層;以及該NFET裝置區域的第二替代金屬閘極結構,包括缺乏該第一閾值調整種類及該第二閾值調整種類的該第一介電層, 下面參照附圖,為方便理解,該些附圖並非按比例繪製,其中,不同附圖中所使用的相同元件符號表示相同或類似的組件。
例如,第1A至1I圖顯示依據本發明的一個或多個態樣製造其中一個或多個區域中具有變化閾值電壓的半導體裝置的方法的一個實施例。有利地,如下所述,依據本發明的一個或多個態樣,該製造方法可通過獨立於另一個FET的閾值電壓來調製一個FET的閾值電壓而允許針對不同區域中的FET選擇不同的閾值電壓。
第1A圖顯示依據本發明的一個或多個態樣在半導體裝置的替代閘極製程期間所獲得的中間結構的剖視圖。如圖所示並在一個例子中,中間結構100可包括基板102以及一個或多個區域,以支持形成平面場效電晶體(FET)裝置或非平面場效電晶體(FET)裝置,例如鰭式場效電晶體(FINFET)裝置或半導體奈米線FET裝置。
例如,基板102可為塊體半導體材料,例如 塊體矽晶圓。作為另一個例子,基板102還可為或包括任意的含矽材料,例如但不限於矽(Si)、單晶矽、多晶矽或非晶矽。基板102還可包括層式半導體結構,例如空洞層上矽(silicon-on-nothing;SON)、絕緣體上矽(silicon-on-insulator;SOI)、絕緣體上矽鍺(silicon germanium-on-insulator;SGOI)、絕緣體上鍺(germanium-on-insulator;GOI)、替代絕緣體上矽(silicon-on replacement insulator;SRI)等。基板102可附加地或替代地包括各種隔離結構或區域、摻雜區域以及/或者裝置特徵。另外,基板102還可包括其它合適的元素半導體,例如鍺(Ge),或者化合物半導體,例如矽鍺(SiGe)、SiC、SiGeC、砷化鎵(GaAs)、磷化鎵(GaP)、氮化鎵(GaN)、砷化銦(InAs)、磷化銦(InP),和/或所有其它III/V族或II/VI族化合物半導體。
繼續參照第1A圖,中間結構100還可包括設於基板102上方的層間介電材料層(未顯示),其例如可促進製造所得半導體裝置,例如同一晶圓上的場效電晶體(FET)裝置。僅作為示例,該層間介電材料可為或包括介電材料,例如場氧化物或可流動氧化物材料,在一個例子中,其可通過使用各種技術形成,例如化學氣相沉積(chemical vapor deposition;CVD)、電漿增強型CVD等。
本領域的技術人員將理解,在基板102上方可設置犧牲閘極結構(未顯示),其可包括或由犧牲閘極材料(也未顯示)例如非晶矽製成,以為將要形成的後續金屬閘極電極保持閘極位置。可執行後續製程以例如在基板 102上方形成源汲區(未顯示)。例如,該源汲區可通過使用任意合適的技術形成,包括例如離子植入、嵌入源/汲材料的磊晶生長以及活化退火。隨後,在基板102上方可設置層間介電材料層112,以填充相鄰犧牲閘極結構之間的間隔,並可採用化學機械拋光或回蝕刻拋光,以通過將該犧牲閘極結構用作蝕刻停止層來拋去多餘的層間介電材料。隨後,可移除該犧牲閘極結構,以在基板102上方形成一個或多個區域104、106、108及110,其例如可由層間介電材料112隔開。
請繼續參照第1A圖,其顯示具有四個場效電晶體(FET)裝置的中間結構100的一個實施例,以提供有關本發明的總體背景。出於上面所解釋的原因,可能想要使積體電路的不同FET具有不同的閾值電壓。例如,CMOS積體電路可能需要一對低閾值電壓以及一對高閾值電壓,每對針對各NFET及PFET提供閾值電壓。如圖所示,例如,一對區域可與提供低閾值電壓及高閾值電壓的一對NFET關聯,而對應的一對區域可與提供低閾值電壓及高閾值電壓的一對PFET關聯,或者反之。尤其,以及在一個例子中,區域104及106可與分別提供低閾值電壓及高閾值電壓的一對NFET關聯,而區域108及110可與分別提供低閾值電壓及高閾值電壓的一對PFET關聯。
例如,作為使用任意合適的沉積製程的一個或多個層,在區域104、106、108及110內可共形設置介電層114,其例如可構成所得閘極結構的部分。本文中 所使用的術語“共形(conformally)”是指介電層114沿著層間介電材料112的輪廓並在其上方設置。例如,介電層114可包括或由具有大於二氧化矽的介電常數(SiO2的k=3.9)的介電常數k的高k介電材料製成,且可通過執行合適的沉積製程沉積,例如原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(CVD)等。在特定的例子中,介電層114可具有大於4.0的介電常數,且較佳地,具有大於8.0的介電常數。可用於該介電層的高k介電材料的例子包括但不限於HfO2、ZrO2、La2O3、Al2O3、TiO2、SrTiO3、LaAlO3、Y2O3、HfOxNy、ZrOxNy、La2OxNy、Al2OxNy、TiOxNy、SrTiOxNy、LaAlOxNy、Y2OxNy,及其矽酸鹽,以及其合金,其中x=0.5至3,且y=0至2。儘管該介電層的厚度可依據特定的應用以及所採用的沉積製程而變化,但在一個例子中,介電層114可具有在1奈米(nm)至30奈米範圍內的厚度。
第1B圖顯示依據本發明的一個或多個態樣在介電層114上方共形設置犧牲功函數層116以後的第1A圖的結構。依據該閘極結構是例如PFET還是NFET裝置的部分,犧牲功函數層116可包括或者由例如選自元素週期表的IVA-VIA族的適當金屬氮化物例如氮化鈦(TiN)、氮化鉭(TaN)、氮化鈮(NbN)、氮化釩(VN)、氮化鎢(WN)等製成。儘管犧牲功函數層116的厚度可依據特定的應用而變化,但在一個例子中,該犧牲功函數層的厚度可在0.5奈米至3奈米的範圍內,且可例如通過沉積製程如ALD、CVD、物 理氣相沉積(physical vapor deposition;PVD)或其電漿增強型版本設於介電層114上方。本文中所使用的“犧牲功函數層”是指依據本發明的一個或多個態樣,在退火該結構以使閾值調整種類擴散進入該介電層中以後所移除的功函數層。
接著,如第1C圖中所示,可執行一個或多個製程以自區域108移除犧牲功函數層116,從而使介電層114暴露於本文中將要揭示的進一步製程步驟。該犧牲功函數層的該移除導致在區域108內選擇性形成開口118,而不影響基板102的區域104、106及110。自區域108移除犧牲功函數層116可通過一個或多個傳統的蝕刻製程實現,例如乾式或濕式蝕刻製程。在一個例子中,犧牲功函數層116例如氮化鈦(TiN)可通過使用氨基溶液例如標準清洗製程(被稱作SC1溶液)移除,以暴露區域108中的下方介電層114。在一個具體例子中,該SC1製程(例如包括由過氧化氫(H2O2)及氫氧化銨(NH4OH)構成的溶液)可在室溫(約20ºC)與約65ºC之間的溫度下執行約30秒至約10分鐘的時長。或者,氮化鈦功函數層116的該移除也可通過使用過氧化氫(H2O2)溶液以及傳統的SC1溶液製程達成。
如第1D圖中所示,在區域104、106、108及110的介電層114上方可共形形成多層堆疊結構120。此多層堆疊結構120包括例如額外犧牲功函數層122、設於額外犧牲功函數層122上方的閾值電壓調整層124、以 及設於閾值電壓調整層124上方的第二犧牲功函數層126。多層堆疊結構120的這些層可通過使用各種不同的材料以及製造技術,例如化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)或此類製程的電漿增強型版本形成。所示層的厚度也可依據特定的應用而變化。
例如,額外犧牲功函數層122可包括或者由與設於區域104、106及110中的介電層114上方的犧牲功函數層112的材料基本類似或相同的材料製成。如上聯繫第1B圖所述,額外犧牲功函數層122可包括或者由例如選自元素週期表的IVA-VIA族的適當金屬氮化物如氮化鈦(TiN)、氮化鉭(TaN)、氮化鈮(NbN)、氮化釩(VN)、氮化鎢(WN)等製成,且可通過採用上述一個或多個沉積製程形成。在區域108中的介電層114上方直接沉積額外犧牲功函數層122允許設定或調製多個不同區域中的該犧牲功函數層的厚度,從而使FET具有多個不同的閾值電壓。例如,通過相對不同區域(例如區域104、106及110)中的犧牲功函數層116以及額外犧牲功函數層122的厚度設定或調節區域108中的額外犧牲功函數層122的厚度,可實現不同的閾值電壓。在一個例子中,額外犧牲功函數層118的厚度可在0.5奈米至3奈米的範圍內。
請繼續參照第1D圖,接著在額外犧牲功函數層122上方可形成多層堆疊結構120的閾值電壓調整層124。例如,閾值電壓調整層124(具有0.5奈米至3奈米範圍內的厚度)可包括或由閾值電壓調整種類製成,該閾值電 壓調整種類例如可為PFET閾值電壓調整種類,以定義區域108中的PFET裝置的閾值電壓。本文中所使用的“閾值電壓調整種類”是指依據暴露區域內所製造的裝置類型定義該區域(例如NFET或PFET)的閾值電壓的元素或化學物質。在一個例子中,閾值電壓調整層124的該閾值電壓調整種類可為或包括鋁(Al)、氧化鋁(Al2O3)、鍺(Ge)、氧化鍺(GeO2)、碳化鋁鈦(TiAlC)或其組合的至少其中一種。在一個具體例子中,該閾值電壓調整種類(例如其中設有約5至50%鋁的碳化鋁鈦(TiAlC))可促進定義PFET裝置的閾值電壓。
在閾值電壓調整層124上方可沉積多層堆疊結構120的第二犧牲功函數層126。第二犧牲功函數層126例如可包括或者由與設於區域104、106及110中的介電層114上方的額外功函數層122及犧牲功函數層112的材料基本類似或相同的材料製成。如上聯繫第1B圖所述,第二犧牲功函數層126可包括或者由例如選自元素週期表的IVA-VIA族的適當金屬氮化物如氮化鈦(TiN)、氮化鉭(TaN)、氮化鈮(NbN)、氮化釩(VN)、氮化鎢(WN)等製成,且可通過採用上述一個或多個沉積製程形成。在一個例子中,第二犧牲功函數層126的厚度可在0.5奈米至3奈米的範圍內。在一個例子中,且當閾值電壓調整層124包括碳化鋁鈦層時,夾置該閾值電壓調整層的額外犧牲功函數層122與第二犧牲功函數層126可有利地促進保護設於該閾值電壓調整層中的鋁免於在周圍條件下被氧化。
接著,如第1E圖中所示,可採用一個或多個微影圖案化製程,以自基板102的區域104選擇性移除多層堆疊結構120並在其中形成開口128。例如,可採用一系列保護遮罩來圖案化該多層堆疊結構,以保護設於區域106、108及110內的該多層堆疊結構,使區域104的多層堆疊結構120暴露於任意合適的蝕刻製程,例如乾式或濕式蝕刻製程。在一個例子中,該濕式蝕刻製程可使用例如SC1溶液(例如包括H2O2及NH4OH)在室溫(約20ºC)與約65ºC之間的溫度下執行約30秒至約10分鐘的時長。要注意的是,如圖所示,多層堆疊結構120自區域104的此選擇性移除導致介電層114暴露於本文中將要揭示的進一步製程步驟。
第1F圖顯示在該晶圓的區域104、106、108及110內共形形成額外多層堆疊結構130以後的第1E圖的結構。此額外多層堆疊結構130包括例如閾值電壓調整層132、設於閾值電壓調整層132上方的覆蓋層134、以及設於覆蓋層134上方的閘極材料136。如圖所示,額外多層堆疊結構130在區域106、108及110中可設於多層堆疊結構120(第1D圖)上方,而在區域104中直接設於介電層114上方。提供額外多層堆疊結構130的該各種層可通過使用各種不同的材料及製造技術,例如化學氣相沉積(CVD)、原子層沉積(ALD)、分子束沉積、金屬有機化學氣相沉積(metallorgano chemical vapor deposition;MOCVD)、物理氣相沉積(PVD)或此類製程的電漿增強型版本形成。所示層 的厚度也可依據特定的應用而變化。
如圖所示,額外多層堆疊結構130的該不同層區別於多層堆疊結構120(第1D圖)的該不同層。例如,閾值電壓調整層132可包括或由閾值電壓調整種類製成,該閾值電壓調整種類例如可為NFET閾值電壓調整種類,以定義該基板的區域104中的NFET裝置的閾值電壓。在一個例子中,閾值電壓調整層132的該閾值電壓調整種類(具有在約0.05奈米至1奈米範圍內的厚度)可為或包括含稀土金屬材料或含鹼土金屬材料的至少其中一種。在一個具體例子中,該含稀土金屬材料可為或包括元素週期表的IIIB族元素(包括例如La、Ce、Pr、Nd、Pm、Sm、Eu、Ga、Tb、Dy、Ho、Er、Tm、Yb、Lu或其組合)的至少其中一種。尤其,該含稀土金屬材料可為或包括至少La、Ce、Y、Sm、Er和/Tb,較佳為La。在另一個具體例子中,該閾值電壓調整種類可為或包括具有化學式MA的含鹼土金屬材料,其中,M可為選自元素週期表的IIA族的鹼土金屬(包括例如Be、Mg、Ca、Sr、Ba或其組合),A可為氧(O)、硫(S)或鹵化物的至少其中一種,且X=0、1或2。在一個特定例子中,該含鹼土金屬材料可為Mg。
可設置覆蓋層134以在各種製程步驟期間保護閾值電壓調整層132免受損傷。在一個例子中,覆蓋層134可為或包括氮化鈦(TiN)或氮化鉭(TaN),且可具有在約1奈米至3奈米之間的厚度。隨後,在覆蓋層134上方可設置犧牲閘極材料136。此犧牲閘極材料136可為或包 括例如非晶矽(a-Si)或多晶矽材料,以在一個實施例中為將要通過使用(例如)後閘極製程方法形成的後續金屬閘極電極保持閘極位置。
如圖所示並在一個實施例中,該一個或多個犧牲功函數層(例如犧牲功函數層116以及多層堆疊結構120的額外犧牲功函數層122)可經設定以在基板102的不同區域中具有不同的厚度,從而支持不同區域中的不同閘極堆疊結構。有利地,不同區域中的這些不同閘極堆疊結構相應能夠實現不同區域中的多個閾值電壓。例如,並如圖所示,在區域108中的介電層114上方直接形成多層堆疊結構120的額外犧牲功函數層122導致該犧牲功函數層具有厚度T1,而在犧牲功函數層116上方形成額外犧牲功函數層122導致該功函數層在區域106及110中具有厚度T2,厚度T1小於厚度T2。相反,該犧牲功函數層經設定而具有的厚度使其在該基板的區域104中不存在。如下面進一步所述,不同區域中的該犧牲功函數層的厚度的這些差別用以獨立於另一個FET的閾值電壓調製一個FET的閾值電壓。
請參照第1G圖,結構100可經歷一個或多個退火製程,以使該閾值電壓調整種類自一個或多個不同的閾值電壓調整層擴散進入下方介電層114中。有利地,該閾值電壓調整種類的此擴散促進定義不同區域(例如區域104、106、108及110)中的閾值電壓。例如,該退火製程可通過使用各種可用的退火技術執行,例如在存在氣體 (如氮氣和/或氬氣)的情況下的浸入式退火(soak anneal)、尖峰式/快速熱退火(spike/rapid thermal anneal;RTA)或雷射退火(laser anneal;LSA)。在一個具體例子中,LSA退火可在約800ºC至1100ºC的溫度下執行約1毫秒至30秒。
另外並在一個實施例中,該退火製程有利於促進該閾值電壓調整種類自該閾值電壓調整層(例如閾值電壓調整層124及132(見第1F圖))至少部分擴散進入下方介電層114中,從而改變介電層114的電性屬性。在一個例子中,在退火以後,來自閾值電壓調整層132(見第1F圖)的該NFET閾值電壓調整種類(例如鑭)可至少部分擴散進入下方介電層114中,從而在區域104中導致形成介電層114’(介電層114’在本文中也可被稱作閾值電壓(Vt)調整介電層)。具有已經改變的電性屬性的此介電層114’定義區域104中的NFET裝置的閾值電壓。在此例子中,區域104中的該NFET裝置的該閾值電壓可在0mV至200mV範圍內。另外,通過改變區域104及區域106中的閘極堆疊結構,並且還通過設定或調節設於該不同的多層堆疊結構的該閾值電壓調整層與介電層114之間的該不同犧牲功函數層的厚度,區域104中的該NFET裝置的閾值電壓可獨立於區域106中的該NFET裝置的閾值電壓而調製。在這樣一個例子中,區域106中的該NFET裝置的閾值電壓可比區域104中的該NFET裝置的閾值電壓高約50mV至約200mV的量級。
在另一個例子中,來自閾值電壓調整層124 (見第1F圖)的該PFET閾值電壓調整種類(例如鋁)可至少部分擴散進入介電層114中,從而導致在區域108中形成介電層114"(介電層114"在本文中也可被稱作閾值電壓(Vt)調整介電層)。具有已經改變的電性屬性的此介電層114"定義區域108中的PFET裝置的閾值電壓。另外,如上所述,通過設定或調節設於該多層堆疊結構的該閾值電壓調整層與介電層114之間的該不同犧牲功函數層的厚度,區域108中的該PFET裝置的閾值電壓可獨立於區域110中的該PFET裝置的閾值電壓而調製。通過調製擴散進入該介電層中的閾值電壓調整種類的濃度/數量,該犧牲功函數層的厚度的該設定或調節相應定義不同區域中的不同閾值電壓。
本領域的技術人員將理解,閘極結構中的材料累積堆疊的有效功函數直接影響FET裝置的閾值電壓。請參照第1F圖,影響該FET的有效功函數的項目之一可為具有厚度T1的犧性功函數層122(見第1F圖)。犧牲功函數層122的厚度促進較大濃度的該閾值電壓調整種類擴散進入區域108中的介電層114中,從而改變電性屬性,例如功函數偏移增加,區域108中的該介電層的Tinv(閘極電容的倒數)增加。電性屬性中的這些變化相應地導致定義區域108中的PFET裝置的閾值電壓。在一個例子中,區域108中的PFET裝置的閾值電壓可為約0mV至約200mV。
在另一個例子中,相對擴散進入區域108中的下方介電層114中的該閾值電壓調整種類的濃度,區 域110中的具有厚度T2的該犧牲功函數層(見第1F圖)(例如,包括犧牲功函數層116及額外犧牲功函數層122)促進該閾值電壓調整種類以較低濃度擴散。擴散進入該介電層中的該閾值電壓調整種類的此降低濃度相應地導致降低該功函數偏移以及較低的Tinv代價,從而定義區域110的閾值電壓。在此例子中,相對區域108的閾值電壓,區域110具有較高的PFET閾值電壓。在一個具體例子中,區域110的該PFET閾值電壓可比區域108的閾值電壓高約50mV至200mV的量級。
如第1G圖中進一步顯示,執行一個或多個蝕刻製程,以自區域104、106、108及110移除犧牲功函數層116(見第1F圖)、多層堆疊結構120(見第1F圖)以及額外多層堆疊結構130(見第1F圖),並暴露不同區域中的該介電層。例如,該蝕刻製程可通過使用例如氨基蝕刻溶液如標準清洗1製程(被稱為SC1)及標準清洗2製程(被稱為SC2)的一系列等向性濕式蝕刻製程達成。例如,SC1製程可通過使用過氧化氫(H2O2)及氫氧化銨(NH4OH)達成,且SC2製程可通過使用水、過氧化氫(H2O2)及氫氯酸(HCl)達成。蝕刻化學劑的選擇可受在不影響該下方介電層的情況下移除這些層的效率驅動。
第1H圖顯示在該基板的該區域內設置一個或多個功函數層138以後的第1G圖的結構。這些功函數層(例如可構成該替代閘極結構的部分)可為或包括金屬及其氮化物,例如氮化鈦(TiN)、TaN、TiAlN、TaAlN、NbN、 VN、WN。在另一個例子中,一個或多個功函數層138還可包括金屬及其碳化物,例如TiC、TiAlC、TiAl、TaC、TaAlC、NbC等。在另一個例子中,一個或多個功函數層138還可包括Ru、Pt、Mo、Co及合金以及其組合。儘管出於說明方便,在第1H圖中的NFET裝置區域104及106及PFET裝置區域108及110中對該一個或多個功函數層138作相同標記,但本領域的技術人員將意識到,該NFET裝置區域及PFET裝置區域通常具有一個或多個不同的功函數層138。
另外,在一個實施例中,擴散於介電層114內的閾值調整種類的差別摻雜濃度/數量有利地促進定義區域104、106、108及110(例如FET)中的有效功函數,尤其區域104及108中的有效功函數。例如,由於分別設於下方介電層114"及114內的同一閾值調整種類的不同濃度,兩個PFET裝置區域108與110之間的該FET的有效功函數。例如且在一個實施例中,由於擴散於介電層114內的閾值電壓調整種類的最小濃度,PFET裝置區域110的有效功函數可為約4.6eV至約4.8eV。在一個具體例子中,PFET裝置區域110的有效功函數層可為約4.8eV。類似地,由於擴散於介電層114"內的閾值電壓調整種類的較高濃度,PFET裝置區域108的有效功函數可為約4.8eV至約5.0eV。在一個具體例子中,該功函數層的有效功函數層可為約5.0eV。這之所以重要有兩個原因。首先,具有高達5.0eV的有效功函數的替代金屬閘極結構的PFET 裝置在過去無法獲得。其次,調節兩個PFET裝置區域的功函數(以及因此閾值電壓)達200mV或更多的能力是不可能的。在另一個例子中,由於擴散於介電層114’內的閾值電壓調整種類的較高濃度,NFET裝置區域104的有效功函數可在約4.1eV至約4.5eV的範圍內,而在下方介電層114內具有降低濃度的該閾值調整種類的NFET裝置區域106可具有約4.2eV至4.6eV的有效功函數。
第1I圖顯示依據本發明的一個或多個態樣,在該基板的該一個或多個區域內已形成閘極材料140的第1H圖的所得結構。閘極材料(例如可構成所得替代閘極結構的部分)可為任意各種金屬閘極電極材料,例如鎢(W)、鋁(Al)、鎳(Ni)、鈷(Co)、以及鈦(Ti),且可通過使用製程(例如ALD或CVD)共形沉積於區域104、106、108及110內。本領域的技術人員將理解,替代閘極結構將包括一種或多種閘極材料,該閘極材料被置於通過移除犧牲閘極結構所形成的介電層的開口中。如此,替代閘極結構通常在該閘極開口內具有至少一個具有U型輪廓的膜。
本文中所使用的術語僅是出於說明特定實施例的目的,並非意圖限制本發明。除非上下文中明確指出,否則這裡所使用的單數形式“一個”以及“該”也意圖包括複數形式。還應當理解,術語“包括”(以及任意形式的包括)、“具有”(以及任意形式的具有)以及“包含”(以及任意形式的包含)都是開放式連接動詞。因此,“包括”、“具有”或“包含”一個或多個步驟或元件的方法 或裝置具有那些一個或多個步驟或元件,但並不限於僅僅具有那些一個或多個步驟或元件。類似地,“包括”、“具有”或“包含”一個或多個特徵的一種方法的步驟或一種裝置的元件具有那些一個或多個特徵,但並不限於僅僅具有那些一個或多個特徵。而且,以特定方式配置的裝置或結構至少以這種方式配置,但也可以未列出的方式配置。
申請專利範圍中的所有方式或步驟加功能元素的相應結構、材料、動作及等同(如果有的話)意圖包括執行該功能的任意結構、材料或動作結合具體請求保護的其它請求保護的元素。本發明的說明是出於示例及說明目的,並非意圖詳盡無遺或將本發明限於所揭示的形式。本領域的技術人員很容易瞭解許多修改及變更,而不背離本發明的範圍及精神。該些實施例經選擇並說明以最好地解釋本發明的一個或多個態樣的原理以及實際應用,並使本領域的技術人員能夠理解針對為適應所考慮的特定應用進行各種修改的各種實施例的本發明的一個或多個態樣。

Claims (20)

  1. 一種製造半導體裝置的方法,該方法包括:設置結構,該結構具有至少一個區域並包括設於基板上方的介電層,其中,該至少一個區域存在於位於直接設置在該基板上的層間介電材料中的開口中;在該介電層上方形成包括閾值電壓調整層的多層堆疊結構,該多層堆疊結構包括位於該至少一個區域的第一區域中的第一閾值電壓調整層、以及位於該至少一個區域的第二區域中的第二閾值電壓調整層;以及退火該結構以定義該至少一個區域的變化閾值電壓,該退火促進至少一個閾值電壓調整種類自該第一閾值電壓調整層及該第二閾值電壓調整層擴散進入該介電層中,其中,該第一區域的閾值電壓獨立於該第二區域的該閾值電壓。
  2. 如申請專利範圍第1項所述的方法,其中,該多層堆疊結構包括犧牲功函數層,且該閾值電壓調整層設於該犧牲功函數層上方,其中,所述形成該多層堆疊結構包括設定該犧牲功函數層的厚度,以定義該至少一個區域的該變化閾值電壓。
  3. 如申請專利範圍第2項所述的方法,其中,所述形成包括設定該犧牲功函數層在該第一區域中的第一厚度以及在該第二區域中的第二厚度,該第二厚度小於該第一厚度。
  4. 如申請專利範圍第2項所述的方法,其中,所述形成包括設定該犧牲功函數層的厚度,以使該犧牲功函數層在該第二區域中不存在。
  5. 如申請專利範圍第1項所述的方法,其中,該多層堆疊結構包括位於該第一區域中的第一多層堆疊結構、以及位於該第二區域中的第二多層堆疊結構,該第一多層堆疊結構不同於該第二多層堆疊結構。
  6. 如申請專利範圍第5項所述的方法,其中,該第一多層堆疊結構包括第一犧牲功函數層、設於該第一犧牲功函數層上方的該第一閾值電壓調整層、以及設於該第一閾值電壓調整層上方的第二犧牲功函數層,以及其中,該第一閾值電壓調整層包括定義該第一區域的該閾值電壓的閾值電壓調整種類。
  7. 如申請專利範圍第6項所述的方法,其中,該第一區域的該閾值電壓包括p型場效電晶體(pFET)裝置的閾值電壓,該第一區域的該閾值電壓為0mV至200mV,以及其中,該第一閾值電壓調整層的該閾值電壓調整種類包括鋁(Al)、氧化鋁(Al2O3)、鍺(Ge)或氧化鍺(GeO2)的至少其中一種。
  8. 如申請專利範圍第5項所述的方法,其中,該第二多層堆疊結構包括該第二閾值電壓調整層、設於該第二閾值電壓調整層上方的覆蓋層、以及設於該覆蓋層上方的犧牲閘極材料,其中,該第二閾值電壓調整層包括定義該第二區域的該閾值電壓的閾值電壓調整種 類。
  9. 如申請專利範圍第8項所述的方法,其中,該第二區域的該閾值電壓包括n型場效電晶體(nFET)裝置的閾值電壓,該第二區域的該閾值電壓在0mV至200mV的範圍內,以及其中,該第二閾值電壓調整層的該閾值電壓調整種類包括含稀土金屬材料或含鹼土金屬材料的至少其中一種。
  10. 如申請專利範圍第1項所述的方法,其中,該至少一個區域包括具有n型場效電晶體(nFET)裝置或p型場效電晶體(pFET)裝置的至少其中一種的閾值電壓的第三區域,該第三區域的該閾值電壓獨立於該第一區域及該第二區域的該閾值電壓。
  11. 如申請專利範圍第10項所述的方法,其中,所述形成包括:在該第一區域、該第二區域及該第三區域中的該介電層上方形成犧牲功函數層;在該第一區域中選擇性移除該犧牲功函數層,而不影響該第二區域或該第三區域;以及在該第一區域、該第二區域及該第三區域上方形成額外犧牲功函數層。
  12. 如申請專利範圍第11項所述的方法,其中,所述形成包括相對該第二區域及該第三區域中的該犧牲功函數層的該厚度,在該第一區域中設定該額外犧牲功函數層的厚度,該額外犧牲功函數層的該設定定義該第一 區域的該閾值電壓。
  13. 如申請專利範圍第11項所述的方法,在所述設定該第一區域中的該額外犧牲功函數層之後,還包括選擇性移除該第二區域中的該多層堆疊結構。
  14. 如申請專利範圍第10項所述的方法,其中,所述形成還包括在該第一區域、該第二區域及該第三區域中形成額外多層堆疊結構,該額外多層堆疊結構不同於該多層堆疊結構。
  15. 如申請專利範圍第14項所述的方法,其中,該第一區域中的該多層堆疊結構包括具有第一閾值電壓調整種類的該第一閾值電壓調整層,以及該第二區域中的該額外多層堆疊結構包括具有第二閾值電壓調整種類的該第二閾值電壓調整層,該第一閾值電壓調整層不同於該第二閾值電壓調整層。
  16. 如申請專利範圍第10項所述的方法,在所述退火該結構之後,還包括在該至少一個區域上方設置功函數層,其中,該至少一個閾值電壓調整種類向該介電層中的該擴散定義該至少一個區域中的該功函數層的有效功函數,該第一區域、該第二區域及該第三區域中的該功函數層的該有效功函數彼此獨立。
  17. 如申請專利範圍第16項所述的方法,其中,該有效功函數包括該第一區域中的第一p型場效電晶體(pFET)裝置的第一有效功函數、以及該第三區域中的第二p型場效電晶體(pFET)裝置的第二有效功函數,該第一有 效功函數低於該第二有效功函數。
  18. 一種半導體裝置,包括:PFET裝置區域的第一替代金屬閘極結構,具有第一有效功函數並包括具有第一閾值調整種類的第一介電層;以及該PFET裝置區域的第二替代金屬閘極結構,具有第二有效功函數並包括缺乏第一閾值調整種類的該第一介電層,其中,該第一有效功函數大於或等於5eV。
  19. 如申請專利範圍第18項所述的半導體裝置,其中,該第一有效功函數為200mV或大於該第二有效功函數。
  20. 如申請專利範圍第18項所述的半導體裝置,還包括:NFET裝置區域的第一替代金屬閘極結構,包括具有第二閾值調整種類的該第一介電層;以及該NFET裝置區域的第二替代金屬閘極結構,包括缺乏該第一閾值調整種類及該第二閾值調整種類的該第一介電層。
TW106104248A 2016-02-29 2017-02-09 具有變化閾值電壓之半導體裝置及其製造方法 TWI635565B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/055,826 US9748145B1 (en) 2016-02-29 2016-02-29 Semiconductor devices with varying threshold voltage and fabrication methods thereof
US15/055,826 2016-02-29

Publications (2)

Publication Number Publication Date
TW201735245A TW201735245A (zh) 2017-10-01
TWI635565B true TWI635565B (zh) 2018-09-11

Family

ID=59653451

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106104248A TWI635565B (zh) 2016-02-29 2017-02-09 具有變化閾值電壓之半導體裝置及其製造方法

Country Status (3)

Country Link
US (1) US9748145B1 (zh)
CN (1) CN107134455B (zh)
TW (1) TWI635565B (zh)

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10522534B2 (en) * 2016-04-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET varactor with low threshold voltage and method of making the same
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) * 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US9941142B1 (en) * 2017-01-12 2018-04-10 International Business Machines Corporation Tunable TiOxNy hardmask for multilayer patterning
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN108573924B (zh) * 2017-03-07 2020-10-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10607990B2 (en) * 2017-05-09 2020-03-31 International Business Machines Corporation Fabrication of field effect transistors with different threshold voltages through modified channel interfaces
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) * 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10529815B2 (en) * 2017-10-31 2020-01-07 International Business Machines Corporation Conformal replacement gate electrode for short channel devices
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10504795B2 (en) 2018-03-27 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for patterning a lanthanum containing layer
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10510621B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for threshold voltage tuning and structures formed thereby
CN110391285B (zh) * 2018-04-23 2023-04-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11501999B2 (en) * 2018-09-28 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt fill for gate structures
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11264477B2 (en) * 2019-09-23 2022-03-01 Globalfoundries U.S. Inc. Field-effect transistors with independently-tuned threshold voltages
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11362002B2 (en) * 2020-01-28 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Adjusting work function through adjusting deposition temperature
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200807692A (en) * 2006-04-21 2008-02-01 Ibm Dynamic memory cell structures
US7855105B1 (en) * 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
TW201208078A (en) * 2010-06-02 2012-02-16 Ibm Interface structure for channel mobility improvement in high-k metal gate stack
US20140363960A1 (en) * 2013-06-05 2014-12-11 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7943458B2 (en) * 2009-10-06 2011-05-17 International Business Machines Corporation Methods for obtaining gate stacks with tunable threshold voltage and scaling
US8288222B2 (en) 2009-10-20 2012-10-16 International Business Machines Corporation Application of cluster beam implantation for fabricating threshold voltage adjusted FETs
US8445974B2 (en) 2010-01-07 2013-05-21 International Business Machines Corporation Asymmetric FET including sloped threshold voltage adjusting material layer and method of fabricating same
US8309447B2 (en) * 2010-08-12 2012-11-13 International Business Machines Corporation Method for integrating multiple threshold voltage devices for CMOS
US8420473B2 (en) 2010-12-06 2013-04-16 International Business Machines Corporation Replacement gate devices with barrier metal for simultaneous processing
KR101409433B1 (ko) 2010-12-28 2014-06-24 캐논 아네르바 가부시키가이샤 반도체 디바이스 제조방법 및 장치
US8643115B2 (en) * 2011-01-14 2014-02-04 International Business Machines Corporation Structure and method of Tinv scaling for high κ metal gate technology
US9202698B2 (en) 2012-02-28 2015-12-01 International Business Machines Corporation Replacement gate electrode with multi-thickness conductive metallic nitride layers
KR20140034347A (ko) * 2012-08-31 2014-03-20 삼성전자주식회사 반도체 장치 및 그 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200807692A (en) * 2006-04-21 2008-02-01 Ibm Dynamic memory cell structures
US7855105B1 (en) * 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
TW201208078A (en) * 2010-06-02 2012-02-16 Ibm Interface structure for channel mobility improvement in high-k metal gate stack
US20140363960A1 (en) * 2013-06-05 2014-12-11 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US9748145B1 (en) 2017-08-29
CN107134455B (zh) 2022-07-05
US20170250117A1 (en) 2017-08-31
TW201735245A (zh) 2017-10-01
CN107134455A (zh) 2017-09-05

Similar Documents

Publication Publication Date Title
TWI635565B (zh) 具有變化閾值電壓之半導體裝置及其製造方法
US11031297B2 (en) Multiple gate length vertical field-effect-transistors
US8110467B2 (en) Multiple Vt field-effect transistor devices
US7462538B2 (en) Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US8445345B2 (en) CMOS structure having multiple threshold voltage devices
US8373219B2 (en) Method of fabricating a gate stack integration of complementary MOS device
JP7438237B2 (ja) 積層型縦型輸送電界効果トランジスタのためのハイブリッド・ゲート・スタック集積
US20070052037A1 (en) Semiconductor devices and methods of manufacture thereof
US9716155B2 (en) Vertical field-effect-transistors having multiple threshold voltages
US20170040449A1 (en) Reduced Local Threshold Voltage Variation MOSFET Using Multiple Layers of Epi for Improved Device Operation
US20120012939A1 (en) Semiconductor device and method of manufacturing the same
EP1976017A1 (en) Semiconductor device
US8932921B2 (en) N/P metal crystal orientation for high-k metal gate Vt modulation
WO2010146641A1 (ja) 半導体装置及びその製造方法
JP2004247341A (ja) 半導体装置
US10644138B2 (en) Fin field-effect transistors with enhanced strain and reduced parasitic capacitance
US20230411520A1 (en) Semiconductor structure including semiconductor devices with different threshold voltages and method for manufacturing the same
US20240088277A1 (en) Field effect transistor with channel capping layer
WO2009090974A1 (ja) 半導体装置及びその製造方法