TWI615908B - 用於基板之射束處理的處理氣體增強 - Google Patents

用於基板之射束處理的處理氣體增強 Download PDF

Info

Publication number
TWI615908B
TWI615908B TW104129088A TW104129088A TWI615908B TW I615908 B TWI615908 B TW I615908B TW 104129088 A TW104129088 A TW 104129088A TW 104129088 A TW104129088 A TW 104129088A TW I615908 B TWI615908 B TW I615908B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
gcib
etching
halogen
Prior art date
Application number
TW104129088A
Other languages
English (en)
Other versions
TW201618202A (zh
Inventor
麥可 葛瑞夫
諾埃爾 羅素
馬修 C 葛溫
艾倫 J 萊斯
Original Assignee
東京威力科創艾派恩股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創艾派恩股份有限公司 filed Critical 東京威力科創艾派恩股份有限公司
Publication of TW201618202A publication Critical patent/TW201618202A/zh
Application granted granted Critical
Publication of TWI615908B publication Critical patent/TWI615908B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J27/00Ion beam tubes
    • H01J27/02Ion sources; Ion guns
    • H01J27/026Cluster ion sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0812Ionized cluster beam [ICB] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/16Vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31732Depositing thin layers on selected microareas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Combustion & Propulsion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

描述一種射束處理系統和操作方法。特別是,該射束處理系統包含:一射束來源,其具有一噴嘴組件,用以將一主要氣體經由該噴嘴組件導入一真空室,以產生一氣態射束,例如氣體團簇離子束;及選用性地,一電離器,位於該噴嘴組件下游,且用以將該氣態射束離子化,以產生一離子化氣態射束。該射束處理系統更包含:一處理腔室,一基板係置放於該處理腔室之內,以藉由該氣態射束處理;及一第二氣體來源,其中該第二氣體來源包含輸送一第二氣體的一第二氣體供應系統、及一第二氣體控制器,該第二氣體控制器操作性控制於該噴嘴組件下游注入該射束處理系統之該第二氣體的流動。

Description

用於基板之射束處理的處理氣體增強
[相關案件交互參照]本申請案主張申請於西元2014年9月5日之待審查之美國暫時專利申請案第62/046,878號的優先權,其全部內容於此藉由參照全部納入本案揭示內容。
本發明係關於射束處理,例如氣體團簇離子束(GCIB)處理。
氣體團簇離子束(GCIB)技術已顯示為一有用的處理技術,用於將包含微電子工作件之工作件上的薄膜改質、蝕刻、清潔、平滑化、及形成。為了此處討論,氣體團簇係在標準溫度和壓力條件下為氣態的奈米尺寸材料粒團。此等氣體團簇可藉由在從噴嘴進入真空之高壓氣體膨脹期間個別氣體原子(或分子)的凝聚而加以形成,且它們可由包含幾到幾千個原子/分子或更多的粒團組成,上述原子/分子係藉由稱作凡得瓦力的弱原子間力鬆散地結合在一起。該等氣體團簇可藉由電子轟擊加以離子化,這允許氣體團簇使用一電場加速而形成可控制射束能量的定向射束。
以可控制能量定向GCIB照射工作件,可用以根據專門針對工作件上位置之劑量處理工作件。此技術稱作位置特定處理(LSP),其中GCIB的處理劑量或照射目標時間係藉由調整掃描速率而在整個工作件上變化。因此,工作件上的一個位置可與另一位置不同地加以處理。
對於半導體/微電子元件製造,存在工業規模之工作件GCIB處理的一些新興應用。目前,隨著先進CMOS(互補式金氧半導體)邏輯和記憶體的持續尺寸定比縮減,尺寸變化控制和材料調處的需求同時上升且益發挑戰。結果,將GCIB處理插入晶圓廠工作流程的機會係增加。然而,為了使GCIB處理成為可行且可持續發展的技術,實施方式必須確保對於生產率需求的適當製程速率、材料選擇率、及低微粒汙染的製程良率等等。
本發明實施例係關於射束處理,例如GCIB處理。特別是,本發明一些實施例係關於GCIB蝕刻處理。此外,本發明其他實施例係關於降低微粒汙染的GCIB處理。
根據一種實施例,描述例如氣體團簇離子束(GCIB)處理系統的射束處理系統和操作方法。特別是,描述射束處理系統和操作方法。特別是,該射束處理系統包含:一射束來源,其具有一噴嘴組件,用以將一主要氣體經由該噴嘴組件導入一真空室,以產生一氣態射束,例如氣體團簇離子束;及選用性地,一電離器,位於該噴嘴組件下游,且用以將該氣態射束離子化,以產生一離子化氣態射束。該射束處理系統更包含:一處理腔室,一基板係置放於該處理腔室之內,以藉由該氣態射束處理;及一第二氣體來源,其中該第二氣體來源包含輸送一第二氣體的一第二氣體供應系統、及一第二氣體控制器,該第二氣體控制器操作性控制於該噴嘴組件下游注入該射束處理系統之該第二氣體的流動。
根據另一實施例,描述一種處理基板的方法。該方法包含:在例如氣體團簇離子束(GCIB)處理系統之一射束處理系統的一處理腔室之中提供一基板;藉由將一主要氣體經由至少一噴嘴膨脹進入該射束處理系統,形成一氣態射束,例如GCIB;在該至少一噴嘴的一出口下游的一位置,供應一第二氣體至該射束處理系統;及獨立於該供應步驟,將該氣態射束照射至該基板的暴露表面,以在該第二氣體存在的情況下處理該基板的暴露表面。
在各種實施例中,描述系統和方法,用於使用射束處理,例如氣體團簇離子束(GCIB)處理,來處理基板上的複數層,包含含矽層、含Ge層、含金屬層、及半導體層。熟習相關技藝者了解,可在沒有一個以上特定細節的情況下,或具有其他替代物及/或額外方法、材料、或元件的情況下,實施各種實施例。另一方面,眾所周知的結構、材料、或操作不詳細顯示或說明,以免混淆本發明各種實施例的實施態樣。類似地,以說明為目的,描述特定的數目、材料、及構造,以提供本發明的完整理解。儘管如此,本發明可在沒有此等特定細節的情況下實施。此外,吾人理解在圖示中顯示的各種實施例係說明性表示,且未必依比例繪製。
整個說明書中,提及「一個實施例」或「一實施例」係表示關於該實施例所述的一特定的特徵、結構、材料、或特性係包含於本發明的至少一個實施例,但不表示上述特徵、結構、材料、或特性存在於每個實施例。因此,整個說明書中在各處出現的「在一個實施例中」或「在一實施例中」之用語,不必然關於本發明的相同實施例。此外,在一個以上的實施例中可以任何適合方式組合該等特定的特徵、結構、材料、或特性。在其他的實施例中,可包含各種額外的層及/或結構,且/或可省略所述之特徵。
如此處所使用的「基板」,一般性關於根據本發明所處理的物件。該基板可包含一元件的任何材料部分或結構,特別是半導體或其他電子元件,且舉例來說可為一基底基板結構,例如一半導體晶圓,或在一基底基板結構之上或上方的一層。例如一薄膜。因此,基板不限定於任何特定的基底結構、下層或上層、圖案化或未圖案化,而是包含任何此層或基底結構、及任何層及/或基底結構的組合。以下說明可能提及特定型態的基板,但這僅以說明為目的而無限定性。
如以上部分描述的,製程良率、速率、選擇性、輪廓控制(包含CD(關鍵尺寸)控制)、及表面粗糙度,與其他製程結果一起提供決定成功射束處理(例如GCIB處理)的必要度量指標。為改善射束處理效能及穩定性,此處提供一些實施例。
因此,根據各種實施例,描述使用氣態射束處理基板的系統和方法。整個說明書中,描述用以處理基板(例如半導體工作件或微電子裝置工作件)的氣體團簇離子束(GCIB)之形成、使用及增強。然而,所述不限定於GCIB處理系統的領域。更一般而言,以下所述各種實施例係關於一射束處理系統,其用以形成氣態射束。該氣態射束包含,但不僅限於,粒子射束、帶電粒子射束、氣體團簇束(GCB)、氣體團簇離子束(GCIB)、或其組合、或其任何部分。帶電及/或不帶電的氣態射束的任何部分可用以處理基板。氣態射束可包含離子化物種、中性物種、或其混合物。氣態射束可包含原子物種、分子物種、團簇物種、或其混合物。在一個例子中,氣態射束可包含尚未離子化的GCB。在另一例子中,氣態射束可包含已離子化的GCIB。在又另一實施例中,GCIB的離子化或帶電成分可加以分離和引導以處理基板,或者是,GCIB的中性成分可加以分離和引導以處理基板。
現在參照圖式,其中在數個圖中類似的參考標號指示對應的部件。圖1提供根據一實施例用於處理基板的GCIB處理系統100的示意圖。GCIB處理系統100包含:真空室102;基板固持器150,待處理基板152係固定於其上;及真空泵浦系統170A、170B、和170C。基板152可為半導體基板、晶圓、平板顯示器(FPD)、液晶顯示器(LCD)、或任何其他工作件。GCIB處理系統100係用以產生GCIB以處理基板152。
仍參照圖1中的GCIB處理系統100,真空室102包含三個連通腔室,亦即是來源腔室104、電離/加速腔室106、及處理腔室108,以產生一降壓封閉空間。該三個腔室係分別藉由真空泵浦系統170A、170B、及170C加以排空至適合的操作壓力。在此三個連通腔室104、106、108之中,氣體團簇束可在第一腔室(來源腔室104)之中形成,而GCIB可在第二腔室(電離/加速腔室106)之中形成,該氣體團簇束係在該第二腔室之中加以離子化和加速。接著,在第三腔室(處理腔室108)之中,加速的GCIB可用以處理基板152。
如圖1所顯示,GCIB處理系統100可包含一個以上氣體來源,用以將一種以上氣體或氣體混合物引導至真空室102。舉例來說,儲存在第一氣體來源111之中的第一氣體成分係在壓力下經由第一氣體控制閥113A供給至一個以上氣體計量閥113。此外,舉例來說,儲存在第二氣體來源112之中的第二氣體成分係在壓力下經由第二氣體控制閥113B供給至一個以上氣體計量閥113。此外,舉例來說,第一氣體成分或第二氣體成分或其二者可包含一可冷凝惰性氣體、載體氣體、或稀釋氣體。舉例來說,惰性氣體、載體氣體或稀釋氣體可包含貴重氣體,即He、Ne、Ar、Kr、Xe、或Rn。
此外,第一氣體來源111和第二氣體來源112可單獨使用或彼此結合使用以產生離子化團簇。材料成分可包含被期望與材料層反應或導入材料層之元素的主要原子或分子物種。
包含第一氣體成分或第二氣體成分或二者之高壓可冷凝氣體,係經由氣體進給管114導入停滯腔室116,且經由一適當形狀的噴嘴110噴入此大幅降壓的真空環境。由於從停滯腔室116進入來源腔室104的較低壓力區域的高壓可冷凝氣體的膨脹,氣體速度加速至超音速,且氣體團簇束118從噴嘴110噴出。
由於噴束膨脹導致之靜態焓與動能交換造成之噴束的自然冷卻,導致氣體噴束的一部分冷凝且形成具有團簇之氣體團簇束118,團簇每一者由數個至數千個弱束縛的原子或分子組成。位於噴嘴110出口下游介於來源腔室104與電離/加速腔室106之間的氣體分離器120,將可能尚未冷凝為團簇之氣體團簇束118周圍邊緣的氣體分子,與可能已形成團簇之氣體團簇束118核心中的氣體分子部分地分離。除其他原因外,此選擇一部分氣體團簇束118的操作,可在較高壓力可能有害之下游區域(例如電離器122及處理腔室108)中降低壓力。此外,氣體分離器120對進入電離/加速腔室106的氣體團簇束界定一初始尺寸。
GCIB處理系統100亦可包含具有一個以上分離器開口的多個噴嘴。關於多氣體團簇離子束系統的設計的額外細節,係提供於:美國專利申請案公開第2010/0193701A1號,發明名稱為“Multiple Nozzle Gas Cluster Ion Beam System”,申請於西元2009年4月23日;及美國專利申請案公開第2010/0193472A1號,發明名稱為“Multiple Nozzle Gas Cluster Ion Beam Processing System and Method of Operating”,申請於西元2010年3月26日;上述專利申請案內容於此藉由參照全部納入本案揭示內容。
在氣體團簇束118已形成於來源腔室104之中後,在氣體團簇束118之中的組成氣體團簇係由電離器122加以離子化以形成GCIB 128。電離器122可包含一電子衝擊電離器,從一條以上的燈絲124產生電子,其被加速且引導而在電離/加速腔室106內部與氣體團簇束118的氣體團簇碰撞。在與氣體團簇碰撞式衝擊時,具充足能量的電子,從氣體團簇中的分子彈出電子而產生離子化的分子。氣體團簇的離子化可造成一群體之帶電氣體團簇離子,通常具有淨正電荷。
如圖1所顯示,射束電子設備130係用以電離、擷取、加速、及聚焦GCIB 128。射束電子設備130包含一燈絲電源供應器136,其提供用以加熱電離器燈絲124的電壓VF
此外,射束電子設備130包含在電離/加速腔室106之中的一組適當偏壓的高壓電極126,其擷取來自電離器122的團簇離子。高壓電極126接著將所擷取的團簇離子加速至所欲能量,且將它們聚焦以界定GCIB 128。在GCIB 128之中團簇離子的動能典型在約1000電子伏特(1 keV)至數十keV的範圍。舉例來說,GCIB 128可加速至1至100 keV。
如圖1中所描述,射束電子設備130更包含一陽極電源供應器134,其提供電壓VA 至電離器122的陽極,以加速發射自電離器燈絲124的電子及造成該等電子轟擊在氣體團簇束118之中的氣體團簇,如此產生團簇離子。
此外,如圖1所述,射束電子設備130包含一擷取電源供應器138,其提供電壓VEE 以將高壓電極126其中至少一者偏壓,以從電離器122的電離區域擷取離子且形成GCIB 128。舉例來說,擷取電源供應器138提供一電壓至高壓電極126的第一電極,該電壓係小於或等於電離器122的陽極電壓。
此外,射束電子設備130可包含一加速器電源供應器140,其提供電壓VACC 以相對於電離器122偏壓高壓電極126其中一者,俾以使總GCIB加速能量約等於VACC 電子伏特(eV)。舉例來說,加速器電源供應器140提供一電壓至高壓電極126的一第二電極,該電壓小於或等於電離器122的陽極電壓及第一電極的擷取電壓。
更進一步地,射束電子設備130可包含透鏡電源供應器142、144,其可加以設置而將高壓電極126其中若干以電位(例如VL1 及VL2 )偏壓而聚焦GCIB 128。舉例來說,透鏡電源供應器142可提供一電壓至高壓電極126的第三電極,該電壓小於或等於電離器122的陽極電壓、第一電極的擷取電壓、及第二電極的加速器電壓;且透鏡電源供應器144可提供一電壓至高壓電極126的第四電極,該電壓小於或等於電離器122的陽極電壓、第一電極的擷取電壓、第二電極的加速器電壓、及第三電極的第一透鏡電壓。
要注意到,電離及擷取方案二者上的許多變型可加以使用。雖然此處所述方案對說明目的而言係有用的,另一擷取方案包含將電離器和擷取電極(或擷取光學元件)的第一元件設置於VACC 。這通常需要對於電離器電源供應器之控制電壓的光纖編程,但建立一較簡單的整體光學元件串。無論電離器及擷取透鏡偏壓的細節,此處所述發明係有用的。
在電離/加速腔室106之中高壓電極126下游的射束過濾器146,可用以從GCIB 128消除一種以上單體及光團簇離子,而界定進入處理腔室108的經過濾的處理GCIB 128A。在一個實施例中,射束過濾器146大幅減少具有100以下原子或分子或二者之團簇的數量。射束過濾器可包含一磁鐵組件,用以施加磁場穿過GCIB 128以協助此過濾處理。
仍參照圖1,一射束閘148係配置在電離/加速腔室106之中的GCIB 128的路徑之中。射束閘148有開啟狀態及關閉狀態,在開啟狀態時,允許GCIB 128通過電離/加速腔室106到達處理腔室108以界定處理GCIB 128A;在關閉狀態時,則阻止GCIB 128進入處理腔室108。控制電纜將控制訊號從控制系統190傳送到射束閘148。控制訊號以可控制的方式切換射束閘148於開啟與關閉狀態之間。
基板152,其可為晶圓或半導體晶圓、平板顯示器(FPD)、液晶顯示器(LCD)、或其它欲以GCIB處理進行處理的基板,被放置在處理腔室108中的處理GCIB 128A之路徑上。因為大部分的應用係期望在處理大型基板時獲得空間上均勻的結果,所以希望能有掃描系統,以均勻地掃描處理GCIB 128A橫越大面積,以產生空間上均勻的結果。
X掃描致動器160讓基板固持器150在X掃描移動(進入或離開紙張平面)的方向上線性移動。Y掃描致動器162讓基板固持器150在Y掃描移動164(通常正交於X掃描移動)的方向上線性移動。X掃描和Y掃描移動的結合,以一種像光柵掃描移動的方式使基板152(由基板固持器150所固持)移動通過處理GCIB 128A,使得處理GCIB 128A均勻地(或以其他方式編程地)照射於基板152的表面,以進行基板152的處理。
基板固持器150以相對於處理GCIB 128A之軸的一個角度放置基板152,使得處理GCIB 128A具有相對於基板152表面的一個射束入射角166。射束入射角166可以是90度或某些其它角度,但一般是90度或接近90度。在Y掃描期間,基板152和基板固持器150從顯示的位置移動到另一個位置「A」,分別以符號152A和150A來表示。須注意在兩位置之間移動時,基板152被處理GCIB 128A掃描通過;而在兩邊末端的位置時,基板152被移動至完全在處理GCIB 128A的路徑之外(過掃描(over-scanned))。雖然在圖1中未清楚地顯示,類似的掃描和過掃描也被執行於(通常)為正交的X掃描移動方向(進入或離開紙張平面)。
射束電流感測器180可位於處理GCIB 128A的路徑上基板固持器150的另一邊,以便在基板固持器150被掃描而超出處理GCIB 128A的路徑時,可截取處理GCIB 128A的樣本。射束電流感測器180一般是法拉第杯或類似物,其除了射束進入開口外是封閉的,且通常利用電絕緣座182固定在真空室102的壁上。
如圖1所示,控制系統190經由電纜連接到X掃描致動器160及Y掃描致動器162,並控制X掃描致動器160及Y掃描致動器162,以便將基板152放置在處理GCIB 128A之中或之外,並且相對於處理GCIB 128A均勻地掃描基板152,以藉由處理GCIB 128A達成對工件152所預期的處理。控制系統190利用電纜接收由射束電流感測器180所收集到的採樣射束電流,從而監控GCIB,並且藉由在預定劑量已經被傳送時將基板152從處理GCIB 128A移開而控制基板152所接收到的GCIB劑量。
在圖2所示的實施例中,GCIB處理系統200可能類似於圖1的實施例,並且更包含X-Y定位載台253,用來在兩個軸上固持及移動基板252,使得能夠相對於處理GCIB 128A而有效地掃描基板252。舉例來說,X移動可能包括進入及離開紙張平面的移動,而Y移動可能包括沿著方向264的移動。
處理GCIB 128A以相對於基板252表面的射束入射角266,在基板252表面上的投射衝擊區域286上衝擊基板252。藉著X-Y移動,X-Y定位載台253可將基板252表面的每一部分放置在處理GCIB 128A的路徑上,使得該表面的每一區域可以與投射衝擊區域286重合,以由處理GCIB 128A進行處理。X-Y控制器262經由電纜將電訊號提供給X-Y定位載台253,用來控制在X軸及Y軸方向每一者上之位置及速度。X-Y控制器262經由電纜從控制系統190接收控制訊號,並且可經由電纜被控制系統190操控。根據習知的X-Y載台定位技術,X-Y定位載台253藉著連續或步進的方式移動,以將基板252的不同區域放置在投射衝擊區域286內。在一實施例中,X-Y定位載台253係藉由控制系統190程式化地操作,以可程式化的速度透過投射衝擊區域286來掃描基板252的任何部分,以藉由處理GCIB 128A進行GCIB處理。
定位載台253的基板固持表面254是導電的,並且連接到由控制系統190所操控的劑量測定處理器。定位載台253的電絕緣層255將基板252及基板固持表面254與定位載台253的基底部分260隔離開。由照射處理GCIB 128A而於基板252之中所誘發的電荷,係經由基板252及基板固持表面254而加以傳導,且一訊號經由定位載台253耦接到控制系統190,以用於劑量量測。劑量量測具有積分裝置,用來對GCIB電流進行積分,以決定GCIB的處理劑量。在某些情況下,電子的標靶中和來源(未顯示),有時稱作電子潮(electron flood),可被用來中和處理GCIB 128A。在此例中,儘管有電荷的額外來源,仍可使用法拉第杯(未顯示,但其可與圖1中之射束電流感測器180類似)來確保準確的劑量量測,這是因為典型的法拉第杯只允許高能的正離子進入及接受量測。
在操作中,控制系統190發出訊號以開啟射束閘148,以對基板252照射處理GCIB 128A。控制系統190監控由基板252所收集之GCIB電流的量測值,以計算基板252所接收的累積劑量。當基板252所接收的劑量到達預定的劑量時,控制系統190關閉射束閘148,並且基板252的處理係完成。基於基板252的特定區域所接收到的GCIB劑量之量測結果,控制系統190可以調整掃描速度,以達到用以處理基板252之不同區域之合適的射束照射目標時間。
或者是,處理GCIB 128A可以固定的速度、固定的圖案掃描整個基板252表面;然而,GCIB的強度係加以調變(可以稱之為Z軸調變),以傳遞刻意為不均勻之劑量給樣品。在GCIB處理系統200中,GCIB強度可以利用各種方法其中的任何一種加以調變,包括:改變來自GCIB來源供應器的氣體流量;藉著改變燈絲電壓VF 或改變陽極電壓VA 而調變電離器122;藉著改變透鏡電壓VL1 及/或VL2 而調變透鏡聚焦;或利用可變的射束擋片、可調的快門、或可變的孔徑而以機械的方式阻擋掉一部分的GCIB。調變的變動可為連續的類比變動,或者可為時間相依的開關或閘。
處理腔室108可更包括原位量測系統。舉例來說,原位量測系統可包括一光學診斷系統,該光學診斷系統具有光發射器280及光接收器282,分別用來以入射光訊號284照射基板252、以及從基板252接收散射光訊號288。光學診斷系統包含光學窗,其允許入射光訊號284及散射光訊號288通過而進出處理室108。此外,光發射器280及光接收器282可分別地包含發射及接收光學元件。光發射器280接收、並且回應於來自控制系統190的控制電訊號。光接收器282將量測訊號送回給控制系統190。
原位量測系統可包含任何用來監控GCIB處理進度的儀器。根據一實施例,原位量測系統可構成光學散射量測系統。散射量測系統可包括購自Therma-Wave, Inc. (1250 Reliance Way, Fremont,CA 94539)或Nanometrics, Inc. (1550 Buckeye Drive, Milpitas,CA 95035)之散射計,其合併有射束輪廓橢偏量測法(橢圓偏光儀)及射束輪廓反射量測法(反射儀)。
舉例來說,原位量測系統可包括整合式光學數位輪廓量測(iODP)散射量測模組,其係用以量測由執行GCIB處理系統200 中的處理程序所產生的處理效能資料。舉例來說,此量測系統可測量或監控由處理程序所產生的量測資料。舉例來說,可利用此量測數據決定呈現出該處理程序的特徵之處理效能資料,例如處理速率、相對處理速率、特徵部輪廓角度、關鍵尺寸、特徵部厚度或深度、特徵部形狀等等。舉例來說,在一個將材料定向地沉積於基板上的處理之中,處理效能資料可包括關鍵尺寸(CD)(例如在特徵部(亦即介層窗、線等等)的頂部、中間或底部的CD)、特徵部深度、材料厚度、側壁角度、側壁形狀、沉積速率、相對沉積速率、其任何參數的空間分佈、用以呈現出其任何空間分佈的均勻性之特徵的參數等等。利用來自控制系統190的控制訊號以操作X-Y定位載台253,原位量測系統可以對於基板252的一個以上之特性加以測繪。
在圖3所示的實施例中,GCIB處理系統300可相似於圖1中的實施例,且更包含壓力單元腔室350,舉例來說,此壓力單元腔室設置在電離/加速腔室106之出口區域處或附近。壓力單元腔室350包含惰性氣體來源352及壓力感測器354,其中,惰性氣體來源352用以供應背景氣體至壓力單元腔室350,以升高壓力單元腔室350中的壓力,而壓力感測器354用以量測壓力單元腔室350之中升高的壓力。
壓力單元腔室350可用以修改GCIB 128之射束能量分佈,以產生經修改之處理GCIB 128A’。藉由沿GCIB路徑導引GCIB 128穿過壓力單元腔室350內之加壓區域,以致至少一部分的GCIB穿過加壓區域,而達成此射束能量分佈的修改。射束能量分佈的修改程度可藉由沿著至少一部分之GCIB路徑的壓力-距離積分值加以特徵化,其中距離(或壓力單元腔室350的長度)係以路徑長度(d)表示。當壓力-距離積分的數值增加時(藉由增加壓力及/或路徑長度(d)),射束能量分佈變寬且峰值能量降低。當壓力-距離積分的數值減少時(藉由減少壓力及/或路徑長度(d)),射束能量分佈變窄且峰值能量增加。關於壓力單元設計之更進一步細節可從美國專利第7,060,989號中確認,其發明名稱為”Method and apparatus for improved processing with a gas-cluster ion beam”,其全部內容係藉由參照合併於此。
控制系統190包括微處理器、記憶體、以及能夠產生控制電壓的數位I/O埠,此控制電壓足以傳送至GCIB處理系統100(或200、300)之輸入且將其啟動,也足以監控來自GCIB處理系統100(或200、300)的輸出。此外,控制系統190可被連接至真空泵浦系統170A、170B、及170C、第一氣體來源111、第二氣體來源112、第一氣體控制閥113A、第二氣體控制閥113B、射束電子設備130、射束過濾器146、射束閘148、X掃描致動器160、Y掃描致動器162、及射束電流感測器180,並且與前述元件交換資訊。舉例來說,為了在基板152上執行GCIB處理,可以利用儲存在記憶體中的程式,依照製程配方來啟動對GCIB處理系統100之前述元件的輸入。
然而,控制系統190可實施為通用型電腦系統,其執行部分或全部的本發明之基於微處理器的處理步驟,以回應執行包含於記憶體內之一個以上指令之一個以上序列的處理器。此等指令可從另一個電腦可讀媒體(例如硬碟或可移除式媒體驅動裝置)讀進控制器記憶體中。也可以使用多重處理裝置中之一個以上的處理器做為控制器微處理器,以執行主記憶體內的指令序列。在另一個實施例中,可以使用硬佈線電路以取代軟體指令、或與軟體指令相結合。因此,實施例並未侷限於硬體電路及軟體的任何特定組合。
如上所述,控制系統190可用來設置任何數目的處理元件,且控制系統190可以收集、提供、處理、儲存、及顯示來自處理元件的資料。控制系統190可包括若干應用程式及若干控制器,用來控制一個以上的處理元件。舉例來說,控制系統190可能包括圖形使用者介面(GUI)元件(未顯示),其可提供介面讓使用者能夠監控及/或控制一個以上的處理元件。
控制系統190可相對於GCIB處理系統100(或200、300)於當地設置,或相對於GCIB處理系統100(或200、300)設置於遠端。舉例來說,控制系統190可使用直接連接、內部網路、及/或網際網路而與GCIB處理系統100交換資料。控制系統190可能連接至例如顧客位置(亦即元件製造商等)的內部網路,或耦合至例如販售商位置(亦即設備製造商)的內部網路。替代地或額外地,控制系統190可能連接至網際網路。此外,另一部電腦(亦即控制器、伺服器等)可以經由直接連接、內部網路、及/或網際網路存取控制系統190,以交換資料。
藉由夾持系統(未顯示),例如機械夾持系統或電夾持系統(例如靜電夾持系統),可以將基板152(或252)固定於工件固持器150(或基板固持器250)。此外,基板固持器150(或250)可包括加熱系統(未顯示)或冷卻系統(未顯示),其用來調整及/或控制基板固持器150(或250)及基板152(或252)的溫度。
真空泵浦系統170A、170B、及170C可包括抽氣速率能夠上達約每秒5000公升(或更高)的渦輪分子真空泵(TMP),以及調節腔室壓力的閘閥。在習知的真空處理裝置中,可採用每秒抽氣1000至3000公升的TMP。TMP對於低壓處理(通常低於約50 mTorr)是有用的。雖然未顯示,吾人可瞭解壓力單元腔室350亦可包括真空泵浦系統。此外,用來監控腔室壓力的裝置(未顯示)可被連接至真空室102、或三個真空的腔室104、106、108其中任何一者。舉例來說,壓力量測裝置可為電容式壓力計或離子真空計。
現在參照圖4,根據另一實施例描述氣體團簇離子束(GCIB)處理系統400。GCIB處理系統400可包含在圖1至3的GCIB處理系統中所描繪的元件部分的任何元件或組合。特別是,GCIB處理系統400包含具有噴嘴組件410的GCIB來源401,其建構成將主要氣體經由噴嘴組件410引導至真空室402,以產生氣體團簇束。電離器係位於噴嘴組件410的下游,且用以離子化氣體團簇束以產生GCIB。
GCIB處理系統400更包含基板固持器450,待處理基板452係固定於其上且在真空室402之內受到掃描。真空室402包含三個連通腔室,亦即是來源腔室404、電離/加速腔室406、及處理腔室408,以產生一降壓封閉空間。該三個腔室係藉由一個以上真空泵浦系統加以排空至適合的操作壓力。在此三個連通腔室404、406、408之中,氣體團簇束可在第一腔室(來源腔室404)之中形成,而GCIB可在第二腔室(電離/加速腔室406)之中形成,該氣體團簇束係在該第二腔室之中加以離子化和加速。接著,在第三腔室(處理腔室408)之中,加速的GCIB可用以處理基板452。真空室402亦可包含壓力單元腔室455。壓力單元腔室455係連接至惰性氣體供應系統(未顯示於圖4),其供應背景氣體至壓力單元腔室455,以升高壓力單元腔室455中的壓力。
GCIB處理系統400更包含第二氣體來源(425、435、445),其中第二氣體來源(425、435、445)包含:第二氣體供應系統(422、432、442),其輸送第二氣體;及第二氣體控制器(420、430、440),其操作上控制注入噴嘴組件410下游之GCIB處理系統400的第二氣體的流動。在一個實施例中,第二氣體來源425係加以配置,以將第二氣體輸送至噴嘴組件410的出口411下游之來源腔室404。在另一實施例中,第二氣體來源435係加以配置,以將第二氣體輸送至分離器412下游的電離/加速腔室406。在另一實施例中,第二氣體來源455係加以配置,以輸送第二氣體進入最終孔徑460下游的處理腔室408。在另一實施例中,可使用第二氣體來源(425、435、445)的任何組合。
在另一實施例中,第二氣體來源445係加以配置,以將第二氣體沿一路徑輸送至最終孔徑460下游的處理腔室408,該路徑在與基板452暴露表面分隔開分離距離470的位置相交於GCIB(舉例來說,第二氣體係在GCIB與基板的衝擊區域處或附近引導至處理腔室408)。分離距離470可小於10 mm,小於5 mm,小於2 mm,或實質為零(第二氣體可為在基板暴露表面處與GCIB合流的噴束或射束)。
第二氣體控制器(420、430、440)可連接至一個以上的流量控制閥、流量感測器、或壓力感測器。並且,第二氣體控制器(420、430、440)可控制壓力(例如總/停滯壓力)(第二氣體係於該壓力注入)、第二氣體的流率、或其組合。
現在參照圖5,根據另一實施例描述氣體團簇離子束(GCIB)處理系統500。GCIB處理系統500可包含在圖1至3的GCIB處理系統中所描繪的元件部分的任何元件或組合。特別是,GCIB處理系統500更包含沿GCIB路徑在處理腔室408之內所配置的子腔室550,其中,子腔室550具有一入口552和一出口554,GCIB係通過入口552進入子腔室550,且GCIB係通過出口554離開子腔室550且之後隨即撞擊基板452。如所顯示,第二氣體來源545係與子腔室550流體連通,且建構成使第二氣體直接流入子腔室550。子腔室550可至少部分控制或侷限GCIB與第二氣體的交互作用。在另一實施例中,子腔室550可連接至真空管線和泵(未顯示),以容許該子腔室內部的獨立泵抽。在另一實施例中,子腔室550可連接至一個以上感測器(例如壓力感測器等),以容許對子腔室550內部之中環境的一特性(例如壓力)取樣。在又另一實施例中,子腔室550可連接至多個氣體來源。
GCIB處理系統400或500亦可用以蝕刻、生長、沉積、摻雜、修飾、或平滑化基板452之上的層或結構。在一個例子中,GCIB處理系統400或500包含GCIB蝕刻系統,其中第二氣體供應系統(422、432、442、542)輸送第二氣體,其與蝕刻GCIB反應,或與使用蝕刻GCIB蝕刻基板452所產生的蝕刻副產物反應,或與上述二者反應。
如圖6所描繪,根據一實施例描述一種操作GCIB處理系統的方法,例如系統100、200、300、400、或500。在流程圖600中所描述的方法開始於610,在氣體團簇離子束(GCIB)系統的處理腔室之中提供一基板。GCIB處理系統可包含圖1、2、3、4、或5、或其任何組合中所述之GCIB處理系統(100、200、300、400、或500)其中任一者。
該方法繼續進行,將基板牢固地固持於GCIB處理系統的降壓環境內。基板的溫度可加以控制或不加以控制。舉例來說,基板在GCIB處理製程期間可加以加熱或冷卻。此外,基板可包含導電材料、半導體材料、或介電材料、或其二種以上的任意組合。舉例來說,基板可包含半導體材料,例如矽、矽絕緣體(SOI)、鍺、或其組合。此外,舉例來說,基板可包含晶態矽。
此外,基板可包含含矽材料及/或含Ge材料。含矽材料可包含Si及選自由O、N、C、及Ge所組成群組的至少一元素。含Ge材料可包含Ge及選自由O、N、C、及Si所組成群組的至少一元素。
舉例來說,基板可包含矽、摻雜矽、無摻雜矽、非晶矽、單晶矽、多晶矽、矽氧化物(SiOx ,其中x>0;例如SiO2 )、矽氮化物(SiNy ,其中y>0;例如SiN1.33 或Si3 N4 )、矽碳化物(SiCz ,其中z>0)、矽氮氧化物(SiOx Ny ,其中x, y>0)、矽碳氧化物(SiOx Cy ,其中x, y>0)、矽碳氮化物(SiCx Ny ,其中x, y>0)、或矽鍺(Six Ge1-x ,其中x為Si的原子分數,1-x為Ge的原子分數,且0<1-x<1)。上述條列之材料任一者可摻雜或注入以選自由B、C、H、N、P、As、Sb、O、S、Se、Te、F、Cl、Br、及I所組成群組的一元素。又,上述條列之材料任一者可摻雜或注入以一金屬、一鹼金屬、一鹼土金屬、一稀土金屬、一過渡金屬、或一後過渡金屬。更進一步地,上述條列之材料任一者可於非晶相或晶相。
此外,基板可包括含金屬材料。該含金屬材料可包含一鹼金屬、一鹼土金屬、一過渡金屬、一後過渡金屬、一貴金屬、或一稀土金屬。含金屬材料可包含過渡金屬或後過渡金屬,其選自由Sc、Y、Zr、Hf、Nb、Ta、V、Cr、Mo、W、Mn、Re、Fe、Ru、Co、Rh、Ni、Pd、Pt、Cu、Ag、Au、Zn、Cd、B、Al、Ga、In、及Sn所組成的群組。該含金屬材料可包含金屬、金屬合金、金屬氧化物、金屬氮化物、金屬碳化物、金屬矽化物、金屬鍺化物、金屬硫化物等等。
此外,基板亦可包含半導體材料。該半導體材料可包含化合物半導體,例如三五族化合物(例如GaAs、GaN、GaP、InAs、InN、InP等)、二五族化合物(例如Cd3 P2 等)、或二六族化合物(例如ZnO、ZnSe、ZnS等等)(二、三、五、六族係指元素週期表中傳統或舊式IUPAC標記;根據更新或新式IUPAC標記,這些族分別關於2、13、15、16族)。該基板亦可包含硫族化物(例如硫化物、硒化物、碲化物)。
更進一步地,該基板可包含光阻(例如以上列出的光阻材料其中之一)、軟遮罩層、硬遮罩層、抗反射塗佈(ARC)層、有機平坦化層(OPL)、有機介電層(ODL)、及其二者以上的組合。
在611中,GCIB係藉由將主要氣體經由至少一噴嘴膨脹進入GCIB處理系統而加以形成。主要氣體包含一加壓氣體混合物,其含有選自有He、Ne、Ar、Kr、Xe、B、C、H、Si、Ge、N、P、As、O、S、F、Cl、及Br所組成群組的至少一原子物種。
舉例來說,主要氣體可含有至少一種蝕刻化合物或氣體。該至少一種蝕刻氣體可包含鹵素元素。該至少一種蝕刻氣體可包含鹵素元素和選自由C、H、N、及S所組成群組的一個以上元素。該至少一種蝕刻氣體可包含鹵素元素及選自由Si和Ge所組成群組的一個以上元素。
舉例來說,該至少一種蝕刻氣體可包含F2 、Cl2 、Br2 、NF3 、或SF6 。此外,舉例來說,該至少一種蝕刻氣體可包含鹵化物,例如HF、HCl、HBr、或HI。另外,舉例來說,該至少一種蝕刻氣體可包含鹵矽烷或鹵鍺烷,例如單取代鹵矽烷或鹵鍺烷(SiH3 F、GeH3 F等等)、二取代鹵矽烷或鹵鍺烷(SiH2 F2 、GeH2 F2 等等)、三取代鹵矽烷或鹵鍺烷(SiHF3 、GeHF3 等等)、或四取代鹵矽烷或鹵鍺烷(SiF4 、GeF4 、SiCl4 、GeCl4 、SiBr4 、GeBr4 )。此外,舉例來說,該至少一蝕刻氣體可包含鹵代甲烷,例如單取代鹵代甲烷(例如CH3 F、CH3 Cl、CH3 Br、CH3 I)、二取代鹵甲烷(例如CH2 F2 、CH2 ClF、CH2 BrF、CH2 FI、CH2 Cl2 、CH2 BrCl、CH2 ClI、CH2 Br2 、CH2 BrI、CH2 I2 )、三取代鹵甲烷(例如CHF3 、CHClF2 、CHBrF2 、CHF2 I、CHCl2 F、CHBrClF、CHClFI、CHBr2 F、CHBrFI、CHFI2 、CHCl3 、CHBrCl2 、CHCl2 I、CHBr2 Cl、CHBrClI、CHClI2 、CHBr3 、CHBr2 I、CHBrI2 、CHI3 )、或四取代鹵甲烷(例如CF4 、CClF3 、CBrF3 、CF3 I、CCl2 F2 、CBrClF2 、CClF2 I、CBr2 F2 、CBrF2 I、CF2 I2 、CCl3 F、CBrCl2 F、CCl2 FI、CBr2 ClF、CBrClFI、CClFI2 、CBr3 F、CBr2 FI、CBrFI2 、CFI3 、CCl4 、CBrCl3 、CCl3 I、CBr2 Cl2 、CBrCl2 I、CCl2 I2 、CBr3 Cl、CBr2 ClI、CBrClI2 、CClI3 、CBr4 、CBr3 I、CBr2 I2 、CBrI3 、CI4 )。
為了形成GCIB,蝕刻氣體的成分應選擇成於相對高壓下(例如,一大氣壓以上的壓力)單獨以氣相存在或與一載體氣體(例如貴重氣體元素或氮)組合而存在。
在一個實施例中,當蝕刻含Si及/或含Ge材料時,該至少一蝕刻氣體包含選自由F、Cl、及Br組成之群組的鹵元素。該至少一蝕刻氣體可更包含Si、Ge、N、S、C、或H,或C及H二者。舉例來說,該至少一蝕刻氣體可包含鹵化物、鹵矽烷、鹵鍺烷、或鹵代甲烷。此外,舉例來說,該至少一蝕刻氣體可包含SiF4 、CHF3 、SF6 、NF3 、F2 、Cl2 、Br2 、HF、HCl、HBr、CClF3 、CBrF3 、CHClF2 、或C2 ClF5 、或其二者以上的任何組合。
在另一實施例中,當蝕刻含Si及/或含Ge材料時,該至少一蝕刻氣體包含二種不同的鹵元素。第一鹵元素可選自由Cl及Br組成的群組,且第二鹵元素可包含F。該至少一蝕刻氣體可更包含C、或H、或C及H二者。舉例來說,該至少一蝕刻氣體可包含鹵代甲烷。此外,舉例來說,該至少一蝕刻氣體可包含CClF3 、CBrF3 、CHClF2 、或C2 ClF5 、或其二者以上的任何組合。
在另一實施例中,當對具有Si和選自由O、C、N、及Ge組成之群組的一個以上元素的含Si材料進行蝕刻時,該至少一蝕刻氣體包含鹵元素以及選自由Si、Ge、N、S、C、及H組成之群組的一個以上元素。舉例來說,蝕刻氣體可包含鹵矽烷或鹵代甲烷。此外,舉例來說,蝕刻氣體可包含SiF4 、CH3 F、CH3 Cl、CH3 Br、CHF3 、CHClF2 、CHBrF2 、CH2 F2 、CH2 ClF、CH2 BrF、CHCl2 F、CHBr2 F、CHCl3 、CHBrCl2 、CHBr2 Cl、或CHBr3 、或其二者以上的任何組合。
在另一實施例中,當蝕刻含金屬材料時,蝕刻氣體包含選自由F、Cl、及Br組成之群組的鹵元素。蝕刻氣體可更包含Si、Ge、N、S、C、或H、或C及H二者。舉例來說,蝕刻氣體可包含鹵化物、鹵矽烷、鹵鍺烷、或鹵代甲烷。額外地,舉例來說,蝕刻氣體可包含SF6 、NF3 、F2 、Cl2 、Br2 、HF、HCl、HBr、CClF3 、CBrF3 、CHClF2 、或C2 ClF5 、或其二者以上的任何組合。
在另一實施例中,當蝕刻一含金屬材料時,該蝕刻氣體包含二種不同的鹵素元素。第一鹵素元素可選自由Cl及Br組成的群組,且第二鹵素元素可包含F。蝕刻氣體可更包含C、或H、或C及H二者。舉例來說,蝕刻氣體可包含鹵代甲烷。此外,舉例來說,蝕刻氣體可包含CClF3 、CBrF3 、CHClF2 、或C2 ClF5 、或其二者以上的任何組合。
在又另一實施例中,當蝕刻硫族化物材料時,該蝕刻氣體包含鹵素元素。舉例來說,蝕刻氣體可包含鹵化物、鹵矽烷、鹵鍺烷、或鹵代甲烷。此外,舉例來說,蝕刻氣體可包含F2 、Cl2 、Br2 、HF、HCl、HBr、NF3 、SF6 、SiF4 、CH3 F、CH3 Cl、CH3 Br、CHF3 、CHClF2 、CHBrF2 、CH2 F2 、CH2 ClF、CH2 BrF、CHCl2 F、CHBr2 F、CHCl3 、CHBrCl2 、CHBr2 Cl、或CHBr3 、或其二者以上的任何組合。
該至少一蝕刻氣體可包含第一蝕刻氣體及第二蝕刻氣體。在一個實施例中,第一蝕刻氣體含Cl或Br,且第二蝕刻氣體含F。舉例來說,第一蝕刻氣體可含有Cl2 ,且第二蝕刻氣體可含有NF3 。在另一實施例中,第一蝕刻氣體含有鹵代甲烷或鹵化物,且第二蝕刻氣體含有F、Cl、或Br。在另一實施例中,第一蝕刻氣體含有C、H、及鹵素元素,且第二蝕刻氣體含有F、Cl、或Br。舉例來說,第一蝕刻氣體可含有CHF3 、CHCl3 、或CHBr3 ,且第二蝕刻氣體可含有SiF4 、SF6 、NF3 或Cl2 。第一蝕刻氣體及第二蝕刻氣體可持續導入至GCIB。或者是,第一蝕刻氣體及第二蝕刻氣體可交替且循序地導入GCIB。
加壓氣體混合物可更包含:含有鹵素元素的化合物;含有F及C的化合物;含有H及C的化合物;含有C、H、及F的化合物;含有Si及F的化合物;含有Ge及F的化合物;或其二者以上任何組合。此外,加壓氣體混合物可更包含含氯化合物、含氟化合物、或含溴化合物。此外,加壓氣體混合物可更包含一化合物,其含有選自由S、N、Si、Ge、C、F、H、Cl、及Br所組成群組的一個以上元素。另外,加壓氣體混合物可更包含含矽化合物、含鍺化合物、含氮化合物、含氧化合物、或含碳化合物、或其二者以上任何組合。又,加壓氣體混合物可更包含選自由B、C、H、Si、Ge、N、P、As、O、S、F、Cl、及Br所組成群組的一個以上元素。更進一步地,加壓氣體混合物可更包含He、Ne、Ar、Kr、Xe、O2 、CO、CO2 、N2 、NO、NO2 、N2 O、NH3 、F2 、HF、SF6 、或NF3 、或其二者以上的任何組合。
又更進一步地,GCIB可產生自一加壓氣體混合物,其包含至少一摻雜劑、或用於沉積或生長薄膜的膜形成成分、或其二者以上的任何組合。
在另一實施例中,可藉由交替且循序地使用含蝕刻氣體的第一加壓氣體混合物及含膜形成氣體的第二加壓氣體混合物,將GCIB加以產生。在又另外的實施例中,GCIB的成分及/或停滯壓力可在蝕刻期間加以調整。
在其他實施例中,對於GCIB的GCIB處理條件的一個以上GCIB特性可加以設定以達成一個以上目標處理度量指標,例如目標蝕刻處理度量指標。為達成上述目標蝕刻處理度量指標,例如蝕刻速率、蝕刻選擇性、表面粗糙度控制、輪廓控制等等,GCIB可藉由執行以下操作而加以產生:選擇一射束加速電位、一個以上射束聚焦電位、及一射束劑量;按照該射束加速電位加速GCIB;按照該一個以上射束聚焦電位聚焦GCIB;及按照該射束劑量將加速的GCIB照射至基板的至少一部分上。
此外,除了這些GCIB特性之外,可選擇射束能量、射束能量分布、射束角度分布、射束發散角度、停滯壓力、停滯溫度、質量流率、團簇大小、團簇大小分布、射束尺寸、射束成分、射束電極電位、或氣體噴嘴設計(例如噴嘴喉部直徑、噴嘴長度、及/或噴嘴發散部半角)。前述GCIB特性其中任何一者以上可加以選擇,以達成控制目標蝕刻處理度量指標,例如以上所述者。此外,前述GCIB特性其中任何一者以上可加以修改,以達成控制目標蝕刻處理度量指標,例如以上所述者。
如以上參照圖3所述,藉由將各別的GCIB沿著一GCIB路徑引導通過一增壓區域,使得GCIB至少一部份穿過該增壓區域,可修改GCIB的射束能量分布函數。對射束能量分布的修改程度的特徵可在於沿著該至少一部份GCIB路徑的壓力-距離(d)積分。當該壓力-距離積分數值增加時(藉由增加壓力及/或路徑長度(d)),射束能量分布變寬且峰值能量減少。當該壓力-距離積分數值減少時(藉由減少壓力及/或路徑長度(d)),射束能量分布變窄且峰值能量增加。舉例來說,吾人可擴大射束能量分布以增加射束發散度,或者吾人可窄化射束能量分布以減少射束發散度。
沿著GCIB路徑至少一部份的壓力-距離積分可等於或大於約0.0001 torr-cm。或者是,沿著GCIB路徑至少一部份的壓力-距離積分可等於或大於約0.001 torr-cm。又或者是,沿著GCIB路徑至少一部份的壓力-距離積分可等於或大於約0.01 torr-cm。舉例來說,沿著GCIB路徑至少一部份的壓力-距離積分可在0.0001 torr-cm至0.01 torr-cm的範圍。又舉例來說,沿著GCIB路徑至少一部份的壓力-距離積分可在0.001 torr-cm至0.01 torr-cm的範圍。
或者是,GCIB的射束能量分布函數可藉由修改或改變各別GCIB的電荷狀態而加以修改。舉例來說,電荷狀態可藉由對於在氣體團簇的電子碰撞誘發離子化中所使用的電子調整電子通量、電子能量、或電子能量分布,而加以修改。
在另一實施例中,相對於對基板垂直入射之GCIB的方向性,可藉由改變射束角度分布函數而加以調整。射束角度分布函數或射束發散角度可使用上述就修改射束能量分布函數所述之技術加以修改。
在一個實施例中,GCIB處理條件的一個以上GCIB特性可包含GCIB成分、射束劑量、射束加速電位、射束聚焦電位、射束能量、射束能量分布、射束角度分布、射束發散角度、該GCIB成分的流率、停滯壓力、停滯溫度、該GCIB通過的增壓區域的背景氣體壓力、或該GCIB通過的增壓區域的背景氣體流率(例如P單元數值,如以下更詳細探討的)。
在另一實施例中,用以達成一個以上目標蝕刻處理度量指標之一個以上GCIB特性的設定,可包含設定GCIB成分、射束加速電位、GCIB成分的流率、及GCIB通過的增壓區域的背景氣體流率,用以達成對於基板上所存在二種以上材料的二個以上目標蝕刻速率、第一材料與第二材料之間的目標蝕刻選擇性、及第一材料和/或第二材料的目標表面粗糙度。
在612中,在至少一噴嘴的出口下游的一位置,將第二氣體供應至GCIB處理系統。第二氣體供應的位置可為圖4或5所述配置任一者、或其組合。第二氣體可加以選擇,以增強GCIB處理製程,包含但不僅限於:改變處理速率(例如蝕刻速率、沉積速率、生長速率、改質速率等等);改變處理選擇性(例如蝕刻選擇性等等);改變基板表面狀態或特性(例如表面粗糙度、霧度、表面官能性等等);改變GCIB處理系統之內或基板上的汙染程度(例如微粒汙染(腔室微粒、基板表面微粒、基板內嵌微粒)、處理殘留物、沉積物、或副產物等等)等等。
供應第二氣體可執行於GCIB形成之前、期間、或之後。舉例來說,供應第二氣體至包含處理腔室的GCIB處理系統,可在形成GCIB之前、期間及之後週期性進行或連續進行。
第二氣體可包含選自由He、Ne、Ar、Kr、Xe、B、C、H、Si、Ge、N、P、As、O、S、F、Cl、及Br所組成群組的至少一種原子物種。舉例來說,第二氣體可包括含氫氣體或蒸氣、或含鹵素氣體或蒸氣、或其組合。
根據一個例子,第二氣體包括含氫氣體或蒸氣,其中含氫氣體或蒸氣係選自由原子氫(H)、亞穩態的氫(H* )、離子氫(H+ )、雙原子氫(H2 )、含H自由基、H2 O、NH3 、烴、鹵化物、鹵代甲烷、或鹵矽烷、或其二種以上任何組合所組成的群組。上述列出形式的含氫氣體或蒸氣,可在注入處理腔室之前或之後產生。舉例來說,自由基產生器或電漿產生器可用以產生含氫氣體或蒸氣。
第二氣體可更包括含鹵素氣體或蒸氣。舉例來說,含鹵素氣體或蒸氣可包含原子鹵素(例如F)、亞穩態的鹵素(例如F* )、離子鹵素(例如F- )、雙原子鹵素(例如F2 )、含鹵素自由基、鹵化物、鹵代甲烷、或鹵矽烷、或其二種以上任一組合。此外,舉例來說,含鹵素氣體或蒸氣可包含F2 、HF、CHF3 、CF4 、NF3 、或SiF4
根據另一例子,當GCIB係用以執行蝕刻處理並蝕刻基板上暴露表面至少一部分的蝕刻GCIB之時,主要氣體包含蝕刻化合物,且第二氣體包含含鹵素氣體或蒸氣、或含氫氣體或蒸氣、或其組合。第二氣體可加以選擇,以與蝕刻處理的副產物反應,或增強蝕刻處理。其中,第二氣體可鄰近於基板而導入處理腔室。此外,第二氣體或蒸氣可用以在蝕刻處理之前、期間或之後增加蝕刻速率,從基板表面或腔室元件表面清潔或移除蝕刻副產物或其他殘留物,減少GCIB處理系統的處理腔室或其他腔室之內或基板表面上的微粒形成。
在613中,獨立於上述供應操作,GCIB係照射至基板的暴露表面上,以在第二氣體存在的情況下處理基板的暴露表面。以GCIB照射基板的操作,可發生於第二氣體供應期間、第二氣體供應之前、或第二氣體供應之後,或其二者以上的任意組合。
在照射期間,GCIB係依據射束加速電位加速通過降壓環境而朝向基板。對於GCIB,射束加速電位可上達100 kV,射束能量可上達100 keV,團簇大小可上達數萬個原子,且射束劑量可上達每平方公分約1×1017 團簇。舉例來說,GCIB的射束加速電位可在約1 kV至約70 kV的範圍(即射束能量可在約1 keV至約70 keV的範圍,假設單位平均團簇電荷狀態)。此外,舉例來說,GCIB的射束劑量可在每平方公分約1×1012 團簇至每平方公分約1×1014 團簇的範圍。
GCIB可建立成具有每原子約0.25 eV至每原子約100 eV範圍的每原子能量比例。或者是,GCIB可建立成具有每原子約0.25 eV至每原子約10 eV範圍的每原子能量比例。或者是,GCIB可建立成具有每原子約1 eV至每原子約10 eV範圍的每原子能量比例。
建立具有所欲每原子能量比例的GCIB可包含選擇射束加速電位、用於形成GCIB的停滯壓力、或氣體流率、或其任何組合。射束加速電位可用以增加或減少射束能量或每離子團簇的能量。舉例來說,射束加速電位的增加造成最大射束能量的增加,且從而造成對於特定團簇大小每原子能量比例的增加。此外,停滯壓力可用以增加或降低特定團簇的團簇大小。舉例來說,在形成GCIB期間停滯壓力的增加,造成團簇大小(即每團簇原子數目)增加,且從而造成對於特定射束加速電位每原子能量比例的降低。
此處,射束劑量係以每單位面積團簇數量為單位加以提供。然而,射束劑量亦可包含射束電流及/或時間(例如GCIB照射目標時間)。舉例來說,射束電流可加以量測且維持固定,且同時將時間變化以改變射束劑量。或者是,舉例來說,團簇撞擊每單位面積基板表面的速率(即每單位時間每單位面積團簇數量)可保持固定,同時將時間變化以改變射束劑量。
在其他實施例中,圖6所述方法可更包含改變一個以上目標處理度量指標以產生一個以上新的目標處理度量指標,且設定對於GCIB的一額外GCIB處理條件的一個以上額外GCIB特性以達成該一個以上新的目標處理度量指標。
根據一個實施例,除了以該GCIB照射基板之外,另一GCIB可用於額外的控制及/或功能。以另一GCIB(例如第二GCIB)照射基板可進行於該GCIB使用之前、期間、或之後。舉例來說,另一GCIB可用以將基板的一部分摻雜以雜質。此外,舉例來說,另一GCIB可用以將基板的一部分改質以改變基板的特性。此外,舉例來說,另一GCIB可用以蝕刻基板的一部分以從基板移除額外的材料。此外,舉例來說,另一GCIB可用以清潔基板的一部分,以從基板移除額外的材料或殘留物,例如含鹵素殘留物。又另外地,舉例來說,另一GCIB可用以在基板的一部分上生長或沉積材料。摻雜、改質、蝕刻、清潔、生長、或沉積可包含導入一個以上元素,其選自He、Ne、Ar、Xe、Kr、B、C、Se、Te、Si、Ge、N、P、As、O、S、F、Cl、及Br所組成的群組。
根據另一實施例,受GCIB照射之基板的至少一部分可在以GCIB照射之前或之後加以清潔。舉例來說,清潔處理可包含乾式清潔處理和/或濕式清潔處理。此外,受GCIB照射之基板的至少一部分可在以GCIB照射之後加以退火。
根據又另一實施例,當藉由GCIB處理製備和/或處理基板時,基板的任何部分可受到校正處理。在校正處理期間,量測資料可使用與GCIB處理系統連接之量測系統,原位或異地加以取得。量測系統可包含各種基板診斷系統,包含但不僅限於,光學診斷系統、X射線螢光光譜系統、四點探測系統、穿透式電子顯微鏡(TEM)、原子力顯微鏡(AFM)、掃描式電子顯微鏡(SEM)等等。此外,量測系統可包含光學數位輪廓儀(ODP)、散射儀、橢圓偏光儀、反射儀、干涉儀、或其二者以上任意組合。
舉例來說,量測系統可構成一光學散射量測系統。該散射量測系統可包含一散射儀,其包含射束輪廓橢偏量測法(橢圓偏光儀)及射束輪廓反射量測法(反射儀),由Therma-Wave, Inc. (1250 Reliance Way, Fremont,CA 94539)或Nanometrics, Inc. (1550 Buckeye Drive, Milpitas,CA 95035)所市售。此外,舉例來說,原位量測系統可包括整合式光學數位輪廓量測(iODP)散射量測模組,用以量測基板上的量測資料。
量測資料可包含參數資料,例如與基板、基板上所形成任一層或子層、及/或基板上元件的任一部份相關聯的幾何、機械、電性和/或光學參數。舉例來說,量測資料可包含藉由上述量測系統可測得的任何參數。此外,舉例來說,量測資料可包含膜厚度、表面和/或介面粗糙度、表面汙染、特徵部深度、溝槽深度、通孔深度、特徵部寬度、溝槽寬度、通孔寬度、關鍵尺寸(CD)、電阻率、或其二者以上任意組合。
量測資料可在基板上二個以上位置加以測得。此外,此資料可針對一個以上基板取得和收集。舉例來說,該一個以上基板可包含一卡匣之基板。量測資料係在該一個以上基板其中至少一者上的二個以上位置測得,且舉例來說,可在該一個以上基板每一者上的複數位置加以取得。之後,複數基板每一者的複數位置可使用資料配適演算法從測得的位點擴展至未量測的位點。舉例來說,資料配適演算法可包含內插法(線性或非線性)或外插法(線性或非線性)或其組合。
一旦量測資料係使用量測系統針對一個以上基板加以收集,量測資料係提供至一控制器以計算校正資料。量測資料可經由實體連接(例如電纜)、或無線連接、或其組合而在量測系統與控制器之間加以傳送。此外,量測資料可經由內部網路或網際網路連接而加以傳送。或者是,量測資料可經由一電腦可讀媒體而在量測系統與控制器之間傳送。
校正資料可針對基板的位置特定處理而加以計算。特定基板的校正資料包含一處理條件,用於調變GCIB劑量為基板上位置之函數,以達到對於特定基板關聯於輸入量測資料之參數資料與目標參數資料之間的變化。舉例來說,針對特定基板的校正資料可包含決定一處理條件,以供使用GCIB校正特定基板之參數資料的非均勻性。或者,舉例來說,特定基板的更正資料可包含決定一處理條件,用於使用GCIB以針對特定基板建立參數資料的特別預期非均勻性。
使用參數資料的所欲變化與GCIB劑量之間一建立的關係、以及GCIB劑量與具有一組GCIB處理參數的GCIB處理條件之間的一建立的關係,控制器決定針對各基板的校正資料。舉例來說,一數學演算法可加以使用,以取得與輸入量測資料相關聯的參數資料,計算輸入的參數資料與目標參數資料之間的差異,反轉GCIB處理圖案(即蝕刻圖案或沉積圖案)以配適此差異,以及使用參數資料變化與GCIB劑量之間的關係建立一射束劑量輪廓以達成GCIB處理圖案。之後,舉例來說,GCIB處理參數可加以決定,以使用射束劑量與GCIB處理條件之間的關係影響所計算的射束劑量輪廓。GCIB處理參數可包含射束劑量、射束面積、射束輪廓、射束強度、射束掃描速率、或曝光時間(或射束照射目標時間)、或其二者以上的任何組合。
對於數學演算法選擇的許多不同方式可在此實施例中成功地加以採用。在另一實施例中,射束劑量輪廓可選擇性沉積額外的材料以達到參數資料所欲的變化。
校正資料可使用GCIB應用於基板。在校正處理期間,GCIB可建構成執行平滑化、非晶化、改質、摻雜、蝕刻、生長、或沉積其中至少一者,或其二者以上任何組合。應用校正資料於基板可協助基板缺陷的校正、基板表面平坦度的校正、層厚度的校正、或層黏附性的改善。一旦相對於GCIB規格受到處理,基板的均勻性或基板之參數資料的分布可加以原位或異地檢驗,且處理可適當地加以完善或精製。
雖然以上僅僅詳細描述本發明的某些實施例,熟悉此技藝者應當很容易了解,在未實質偏離本發明的新穎教示及優點下,實施例可能有許多的變化。因此,所有此類的變化應當包含於本發明的範圍內。
100‧‧‧GCIB處理系統
102‧‧‧真空室
104‧‧‧來源腔室
106‧‧‧電離/加速腔室
108‧‧‧處理腔室
110‧‧‧噴嘴
111‧‧‧第一氣體來源
112‧‧‧第二氣體來源
113‧‧‧氣體計量閥
113A‧‧‧第一氣體控制閥
113B‧‧‧第二氣體控制閥
114‧‧‧氣體進給管
116‧‧‧停滯腔室
118‧‧‧氣體團簇束
120‧‧‧氣體分離器
122‧‧‧電離器
124‧‧‧燈絲
126‧‧‧高壓電極
128‧‧‧GCIB
128A‧‧‧處理GCIB
130‧‧‧射束電子設備
134‧‧‧陽極電源供應器
136‧‧‧燈絲電源供應器
138‧‧‧擷取電源供應器
140‧‧‧加速器電源供應器
142、144‧‧‧透鏡電源供應器
146‧‧‧射束過濾器
148‧‧‧射束閘
150‧‧‧基板固持器
152‧‧‧基板
160‧‧‧X掃描致動器
162‧‧‧Y掃描致動器
164‧‧‧Y掃描移動
166‧‧‧射束入射角
170A、170B、170C‧‧‧真空泵浦系統
180‧‧‧射束電流感測器
182‧‧‧電絕緣座
190‧‧‧控制系統
200‧‧‧GCIB處理系統
250‧‧‧基板固持器
252‧‧‧基板
253‧‧‧X-Y定位載台
254‧‧‧基板固持表面
255‧‧‧電絕緣層
260‧‧‧基底部分
262‧‧‧X-Y控制器
264‧‧‧方向
266‧‧‧射束入射角
280‧‧‧光發射器
282‧‧‧光接收器
284‧‧‧入射光訊號
286‧‧‧投射衝擊區域
288‧‧‧散射光訊號
350‧‧‧壓力單元腔室
352‧‧‧惰性氣體來源
354‧‧‧壓力感測器
400‧‧‧氣體團簇離子束(GCIB)處理系統
401‧‧‧GCIB來源
402‧‧‧真空室
404‧‧‧來源腔室
406‧‧‧電離/加速腔室
408‧‧‧處理腔室
410‧‧‧噴嘴組件
411‧‧‧出口
412‧‧‧分離器
420、430、440‧‧‧第二氣體控制器
422、432、442‧‧‧第二氣體供應系統
425、435、445‧‧‧第二氣體來源
450‧‧‧基板固持器
452‧‧‧基板
455‧‧‧壓力單元腔室
460‧‧‧孔徑
470‧‧‧分離距離
500‧‧‧氣體團簇離子束(GCIB)處理系統
542‧‧‧第二氣體供應系統
545‧‧‧第二氣體來源
550‧‧‧子腔室
552‧‧‧入口
554‧‧‧出口
圖1係GCIB處理系統的圖示。
圖2係GCIB處理系統的另一圖示。
圖3係GCIB處理系統的又另一圖示。
圖4係根據一實施例之GCIB處理系統一部分的另一圖示。
圖5係根據一實施例之GCIB處理系統一部分的又另一圖示。
圖6係流程圖,描述根據另一實施例處理基板的方法。
400‧‧‧氣體團簇離子束(GCIB)處理系統
401‧‧‧GCIB來源
402‧‧‧真空室
404‧‧‧來源腔室
406‧‧‧電離/加速腔室
408‧‧‧處理腔室
410‧‧‧噴嘴組件
411‧‧‧出口
412‧‧‧分離器
420、430、440‧‧‧第二氣體控制器
422、432、442‧‧‧第二氣體供應系統
425、435、445‧‧‧第二氣體來源
450‧‧‧基板固持器
452‧‧‧基板
455‧‧‧壓力單元腔室
460‧‧‧孔徑
470‧‧‧分離距離

Claims (20)

  1. 一種基板處理方法,包含:在一射束處理系統的一處理腔室之中提供一基板;藉由將一主要氣體經由至少一噴嘴膨脹進入該射束處理系統,形成一氣態射束;在該至少一噴嘴的一出口下游的一位置且獨立於該形成該氣態射束之步驟,供應一第二氣體至該射束處理系統;及獨立於該供應步驟,將該氣態射束照射至該基板的暴露表面,以在該第二氣體存在的情況下處理該基板的暴露表面;其中,該第二氣體包含一含氫氣體或蒸氣,該含氫氣體或蒸氣係選自由原子氫(H)、亞穩態的氫(H*)、離子氫(H+)、雙原子氫(H2)、含氫鹵代甲烷、或含氫鹵矽烷、或上述二者以上任一組合所組成的群組。
  2. 如申請專利範圍第1項的基板處理方法,其中該第二氣體更包含一含鹵素氣體或蒸氣。
  3. 如申請專利範圍第2項的基板處理方法,其中該含鹵素氣體或蒸氣包含原子鹵素、亞穩態的鹵素、離子鹵素、雙原子鹵素、含鹵素自由基、鹵化物、鹵代甲烷、或鹵矽烷、或其二者以上任一組合。
  4. 如申請專利範圍第2項的基板處理方法,其中該含鹵素氣體或蒸氣包含F2、HF、CHF3、CF4、NF3、或SiF4、或其二者以上任一組合。
  5. 如申請專利範圍第1項的基板處理方法,其中該氣態射束包含:粒子射束、帶電粒子射束、氣體團簇束(GCB)、氣體團簇離子束(GCIB)、或其組合、或其任何部分。
  6. 如申請專利範圍第5項的基板處理方法,其中該GCIB包含一蝕刻GCIB,用以執行一蝕刻處理並蝕刻該基板上暴露表面的至少一部分,且其中該第二氣體係加以選擇以與該蝕刻處理的副產物、沉積物、或殘留物反應。
  7. 如申請專利範圍第1項的基板處理方法,其中以該氣態射束照射該基板的步驟係進行在供應該第二氣體期間、或供應該第二氣體之後、或其組合。
  8. 如申請專利範圍第1項的基板處理方法,其中該氣態射束更包含一種以上貴重元素。
  9. 如申請專利範圍第1項的基板處理方法,其中該照射步驟包含:使用包含該主要氣體的一處理成分產生該氣態射束;離子化該氣態射束的至少一部分;選擇一射束能量、一射束能量分布、一射束焦點、及一射束劑量,以達成該基板上暴露表面的所欲處理;加速該離子化的氣態射束,以達成該射束能量;聚焦該離子化的氣態射束,以達成該射束焦點;及根據該射束劑量,將該加速的氣態射束的至少一部分照射至該基板的至少一部分上。
  10. 如申請專利範圍第9項的基板處理方法,其中該氣態射束包含從約每原子0.25eV至約每原子100eV之每原子能量比例。
  11. 一種基板蝕刻方法,包含:在一射束處理系統的一處理腔室之中提供一基板;藉由將一主要氣體經由至少一噴嘴膨脹進入該射束處理系統,形成一氣態射束; 在該至少一噴嘴的一出口下游的一位置且獨立於該形成該氣態射束之步驟,供應一第二氣體混合物至該射束處理系統;及獨立於該供應步驟,將該氣態射束至少一部份照射至該基板的暴露表面,以在該第二氣體混合物存在的情況下處理該基板的至少一部分,其中該第二氣體混合物包含一第一氣體及不同於該第一氣體的一第二氣體,其中該第一氣體為一含鹵素氣體或蒸氣,且該第二氣體為一含氫氣體或蒸氣。
  12. 如申請專利範圍第11項的基板蝕刻方法,其中該基板的該部分包括含Si材料、含Ge材料、或含金屬材料其中之一、或其二者以上的任一組合。
  13. 如申請專利範圍第12項的基板蝕刻方法,其中該含Si材料包含Si和選自由O、N、C、B、P及Ge所組成之群組的至少一元素。
  14. 如申請專利範圍第11項的基板蝕刻方法,其中該主要氣體包含一蝕刻化合物,該蝕刻化合物係鹵素、鹵化物、鹵代甲烷、鹵矽烷、或鹵鍺烷、或其二者以上的組合。
  15. 如申請專利範圍第14項的基板蝕刻方法,其中該蝕刻化合物包含SiF4、CF4、SF6、CHF3、或NF3、或其二者以上任一組合。
  16. 如申請專利範圍第11項的基板蝕刻方法,更包含:沿該氣態射束的路徑在該處理腔室之內設置一子腔室,該子腔室具有一入口和一出口,該氣態射束係通過該入口進入該子腔室,且該氣態射束係通過該出口離開該子腔室而之後隨即撞擊該基板;及使該第二氣體直接流入該子腔室,以與該氣態射束交互作用。
  17. 一種基板蝕刻方法,包含:在一射束處理系統的一處理腔室之中提供一基板;藉由將一主要氣體經由至少一噴嘴膨脹進入該射束處理系統,形成一氣態射束,該主要氣體包含用以蝕刻該基板的至少一部份的一含鹵素蝕刻氣體;在該至少一噴嘴的一出口下游的一位置且獨立於該形成該氣態射束之步驟,供應一第二氣體至該射束處理系統,該第二氣體包含一含鹵素氣體或蒸氣、及一含氫氣體或蒸氣;及獨立於該供應步驟,將該氣態射束至少一部份照射至該基板的暴露表面,以在該第二氣體存在的情況下蝕刻該基板的至少一部份。
  18. 如申請專利範圍第17項的基板蝕刻方法,其中該基板的該部分包括含Si材料、含Ge材料、或含金屬材料其中之一、或其二者以上的任一組合。
  19. 如申請專利範圍第18項的基板蝕刻方法,其中該含Si材料包含Si和選自由O、N、C、B、P及Ge所組成之群組的至少一元素。
  20. 如申請專利範圍第17項的基板蝕刻方法,其中該含鹵素蝕刻氣體包括具有二不同鹵素元素的一蝕刻化合物,或其中該含鹵素蝕刻氣體包括含有一第一鹵素的一第一蝕刻氣體、及含有不同於該第一鹵素的一第二鹵素的一第二蝕刻氣體。
TW104129088A 2014-09-05 2015-09-03 用於基板之射束處理的處理氣體增強 TWI615908B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462046878P 2014-09-05 2014-09-05
US62/046,878 2014-09-05

Publications (2)

Publication Number Publication Date
TW201618202A TW201618202A (zh) 2016-05-16
TWI615908B true TWI615908B (zh) 2018-02-21

Family

ID=55438167

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104129088A TWI615908B (zh) 2014-09-05 2015-09-03 用於基板之射束處理的處理氣體增強

Country Status (6)

Country Link
US (1) US9735019B2 (zh)
EP (1) EP3189540A4 (zh)
CN (1) CN107112186B (zh)
SG (1) SG11201702566RA (zh)
TW (1) TWI615908B (zh)
WO (1) WO2016036739A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10825685B2 (en) * 2010-08-23 2020-11-03 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US9875947B2 (en) 2015-04-30 2018-01-23 Tel Epion Inc. Method of surface profile correction using gas cluster ion beam
US11069511B2 (en) * 2018-06-22 2021-07-20 Varian Semiconductor Equipment Associates, Inc. System and methods using an inline surface engineering source
US11195703B2 (en) 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
TWI704093B (zh) * 2019-05-09 2020-09-11 辛耘企業股份有限公司 處理液容置裝置
FR3107280B1 (fr) * 2020-02-19 2023-01-13 Arkema France Composition comprenant un composé iodofluorocarbure
DE102020120940B4 (de) * 2020-08-07 2023-12-28 Carl Zeiss Smt Gmbh Bearbeitungsanordnung, Vorrichtung, Verfahren, Spülplatte und Verwendung
US11651928B2 (en) * 2021-06-30 2023-05-16 Fei Company Reentrant gas system for charged particle microscope

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11330033A (ja) * 1998-05-12 1999-11-30 Fraser Scient Inc エネルギーを有するクラスタ・ビームを使用して汚染表面を洗浄する方法および装置
TW201201240A (en) * 2010-05-05 2012-01-01 Tel Epion Inc Gas cluster ion beam system with rapid gas switching apparatus
TW201318056A (zh) * 2011-09-21 2013-05-01 Tokyo Electron Ltd 蝕刻方法、蝕刻裝置及記憶媒體
TW201327666A (zh) * 2011-09-01 2013-07-01 Tel Epion Inc 用以達成多種材料之目標蝕刻處理指標的氣體團簇離子束蝕刻方法
US20140123457A1 (en) * 2009-03-31 2014-05-08 Tel Epion Inc. Pre-aligned nozzle/skimmer

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04358058A (ja) * 1991-06-04 1992-12-11 Matsushita Electric Ind Co Ltd 薄膜形成方法及び薄膜形成装置
US6135128A (en) 1998-03-27 2000-10-24 Eaton Corporation Method for in-process cleaning of an ion source
JP4902088B2 (ja) * 2000-07-10 2012-03-21 ティーイーエル エピオン インク. ガスクラスターイオンビーム処理による薄膜を改良するためのシステムおよび方法
US7820981B2 (en) 2003-12-12 2010-10-26 Semequip, Inc. Method and apparatus for extending equipment uptime in ion implantation
US7060989B2 (en) * 2004-03-19 2006-06-13 Epion Corporation Method and apparatus for improved processing with a gas-cluster ion beam
US8835880B2 (en) * 2006-10-31 2014-09-16 Fei Company Charged particle-beam processing using a cluster source
JP5006134B2 (ja) * 2007-08-09 2012-08-22 東京エレクトロン株式会社 ドライクリーニング方法
JP2011501984A (ja) * 2007-10-01 2011-01-20 エクソジェネシス コーポレーション 医療デバイスの表面を治療剤でコーティングするための方法およびシステム、ならびにそれによって作製された薬剤溶離医療デバイス
US8173980B2 (en) * 2010-05-05 2012-05-08 Tel Epion Inc. Gas cluster ion beam system with cleaning apparatus
RU2579749C2 (ru) * 2010-08-23 2016-04-10 Эксодженезис Корпорейшн Способ и устройство обработки нейтральным пучком, основанные на технологии пучка газовых кластерных ионов
US10202684B2 (en) * 2010-08-23 2019-02-12 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US9799488B2 (en) * 2010-08-23 2017-10-24 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US10181402B2 (en) * 2010-08-23 2019-01-15 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
JP5442572B2 (ja) * 2010-09-28 2014-03-12 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置、薄膜作製方法、欠陥修正方法及びデバイス作製方法
US9984855B2 (en) 2010-11-17 2018-05-29 Axcelis Technologies, Inc. Implementation of co-gases for germanium and boron ion implants
JP5811540B2 (ja) 2011-01-25 2015-11-11 東京エレクトロン株式会社 金属膜の加工方法及び加工装置
JP5815967B2 (ja) 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
JP5776397B2 (ja) * 2011-07-19 2015-09-09 東京エレクトロン株式会社 洗浄方法、処理装置及び記憶媒体
JP5764445B2 (ja) 2011-09-21 2015-08-19 東京エレクトロン株式会社 半導体装置の製造方法
US20130082189A1 (en) * 2011-09-30 2013-04-04 Tel Epion Inc. Pre-aligned multi-beam nozzle/skimmer module
US8728947B2 (en) * 2012-06-08 2014-05-20 Tel Epion Inc. Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
WO2014010751A1 (en) 2012-07-11 2014-01-16 Tokyo Electron Limited Etching apparatus and etching method technical field
JP2014212253A (ja) 2013-04-19 2014-11-13 東京エレクトロン株式会社 基板洗浄方法及び基板洗浄装置
JP5493139B1 (ja) * 2013-05-29 2014-05-14 独立行政法人科学技術振興機構 ナノクラスター生成装置
US9209033B2 (en) * 2013-08-21 2015-12-08 Tel Epion Inc. GCIB etching method for adjusting fin height of finFET devices
WO2015077604A1 (en) * 2013-11-22 2015-05-28 Tel Epion Inc. Molecular beam enhanced gcib treatment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11330033A (ja) * 1998-05-12 1999-11-30 Fraser Scient Inc エネルギーを有するクラスタ・ビームを使用して汚染表面を洗浄する方法および装置
US20140123457A1 (en) * 2009-03-31 2014-05-08 Tel Epion Inc. Pre-aligned nozzle/skimmer
TW201201240A (en) * 2010-05-05 2012-01-01 Tel Epion Inc Gas cluster ion beam system with rapid gas switching apparatus
TW201327666A (zh) * 2011-09-01 2013-07-01 Tel Epion Inc 用以達成多種材料之目標蝕刻處理指標的氣體團簇離子束蝕刻方法
TW201318056A (zh) * 2011-09-21 2013-05-01 Tokyo Electron Ltd 蝕刻方法、蝕刻裝置及記憶媒體

Also Published As

Publication number Publication date
SG11201702566RA (en) 2017-04-27
CN107112186A (zh) 2017-08-29
TW201618202A (zh) 2016-05-16
US20160071734A1 (en) 2016-03-10
EP3189540A1 (en) 2017-07-12
EP3189540A4 (en) 2018-08-08
CN107112186B (zh) 2020-04-21
US9735019B2 (en) 2017-08-15
WO2016036739A1 (en) 2016-03-10

Similar Documents

Publication Publication Date Title
TWI615908B (zh) 用於基板之射束處理的處理氣體增強
TWI550724B (zh) 用以調整鰭式場效電晶體元件之鰭高的氣體團簇離子束蝕刻方法
US9324567B2 (en) Gas cluster ion beam etching process for etching Si-containing, Ge-containing, and metal-containing materials
US20150270135A1 (en) Gas cluster ion beam etching process
TWI584332B (zh) 分子束增強氣體團簇離子束處理
US8728947B2 (en) Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
US8513138B2 (en) Gas cluster ion beam etching process for Si-containing and Ge-containing materials
US8557710B2 (en) Gas cluster ion beam etching process for metal-containing materials
US8722542B2 (en) Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
JP7013539B2 (ja) ロケーションスペシフィック処理における予測システム誤差補正を実装する装置及び方法
US9875947B2 (en) Method of surface profile correction using gas cluster ion beam
CN112151371A (zh) 一种对含硅、含锗和含金属材料进行气簇离子束刻蚀方法
CN112151385A (zh) 一种用于调整finfet器件的鳍高的gcib刻蚀方法