TWI614582B - 圖型形成方法及聚矽氧烷組成物 - Google Patents

圖型形成方法及聚矽氧烷組成物 Download PDF

Info

Publication number
TWI614582B
TWI614582B TW101135541A TW101135541A TWI614582B TW I614582 B TWI614582 B TW I614582B TW 101135541 A TW101135541 A TW 101135541A TW 101135541 A TW101135541 A TW 101135541A TW I614582 B TWI614582 B TW I614582B
Authority
TW
Taiwan
Prior art keywords
group
film
photoresist
composition
ruthenium
Prior art date
Application number
TW101135541A
Other languages
English (en)
Other versions
TW201319754A (zh
Inventor
Satoshi Dei
Takashi Mori
Kazunori Takanashi
Original Assignee
Jsr Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jsr Corp filed Critical Jsr Corp
Publication of TW201319754A publication Critical patent/TW201319754A/zh
Application granted granted Critical
Publication of TWI614582B publication Critical patent/TWI614582B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00388Etch mask forming
    • B81C1/00396Mask characterised by its composition, e.g. multilayer masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00388Etch mask forming
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/24Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen halogen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/38Polysiloxanes modified by chemical after-treatment
    • C08G77/382Polysiloxanes modified by chemical after-treatment containing atoms other than carbon, hydrogen, oxygen or silicon
    • C08G77/388Polysiloxanes modified by chemical after-treatment containing atoms other than carbon, hydrogen, oxygen or silicon containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/265Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • H05K3/06Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process
    • H05K3/061Etching masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/05Patterning and lithography; Masks; Details of resist

Description

圖型形成方法及聚矽氧烷組成物
本發明係關於圖型形成方法及聚矽氧烷組成物。
隨著半導體裝置等之微細化而意圖使積體度提高,已發展藉由使用含矽膜之多層光阻製程而使加光尺寸微細化。該多層光阻製程中,首先,係於被加工基板上,使用聚矽氧烷組成物形成含矽膜後,於該含矽膜上使用光阻組成物形成光阻膜,接著,透過遮罩圖型進行曝光,以顯像液進行顯像藉此形成光阻圖型。接著,以該光阻圖型作為遮罩,使用氟系氣體等之蝕刻氣體,藉由上述含矽膜之乾蝕刻而形成含矽圖型,以該含矽圖型作為遮罩,使用氧系氣體等之蝕刻氣體藉由乾蝕刻,而獲得施有所需圖型之基板(參見特開2001-284209號公報、特開2010-85912號公報及特開2008-39811號公報)。
目前,即便於使用該種多層光阻製程之情況,亦要求圖型之更微細化,由此而薄膜化,此外亦要求生產性之提高。因此,對於所用之含矽膜要求在蝕刻時之蝕刻速度大且加工性高,另一方面,以含矽圖型作為遮罩進行被加工基板等之蝕刻時,亦要求蝕刻耐性高。
然而,依據以往技術,會有若提高含矽膜對於氟系氣體之加工性,則對於氧系氣體之耐性降低之傾向,難以使該兩者兼備。因此,於多層光阻製程中,要求有可同時提 高形成之含矽膜對於氟系氣體蝕刻之加工性以及對於氧系氣體蝕刻之耐性,且可形成微細圖型之圖型形成方法。
[先前技術文獻] [專利文獻]
[專利文獻1]特開2001-284209號公報
[專利文獻2]特開2010-85912號公報
[專利文獻3]特開2008-39811號公報
本發明係基於上述之情況而完成者,其目的係提供一種多層光阻製程中,同時提高含矽膜對氟系氣體蝕刻之加工性,與對氧系氣體蝕刻之耐性,且可形成微細圖型之圖型形成方法。
用以解決上述課題之本發明為一種圖型形成方法,其具有(1)使用聚矽氧烷組成物,於被加工基板之上面側形成含矽膜之步驟,(2)於上述含矽膜上形成光阻圖型之步驟,(3)以上述光阻圖型作為遮罩,乾蝕刻上述含矽膜,形成含矽圖型之步驟,以及 (4)以上述含矽圖型作為遮罩,乾蝕刻上述被加工基板,於被加工基板上形成圖型之步驟,且上述聚矽氧烷組成物含有[A]含氟原子之聚矽氧烷(以下亦稱為「[A]聚矽氧烷」),及[B]交聯促進劑。
本發明之光阻圖型形成方法藉由具有上述步驟,且於含矽膜之形成使用含有[A]聚矽氧烷及[B]交聯促進劑之組成物,而可同時提高含矽膜對於氟系氣體蝕刻之加工性,與對於氧系氣體蝕刻之耐性,結果可形成微細圖型。藉由該圖型形成方法而達到上述效果之理由尚未明確,但認為係例如[A]聚矽氧烷藉由含氟原子而提高對氟系氣體蝕刻之蝕刻速度,認為此蝕刻速度之提高可與藉由[B]交聯促進劑使[A]聚矽氧烷高分子量化導致對氧系氣體蝕刻之耐性之提高等並存。
上述(2)步驟較好包含(2-A1)使用光阻組成物,於上述含矽膜上形成光阻膜之步驟,(2-A2)藉由透過光罩而曝光之光的照射,使上述光阻膜曝光之步驟,及(2-A3)使上述經曝光之光阻膜顯像之步驟。
藉由使用光阻組成物曝光及顯像而形成光阻圖型,可形成更良好形狀之圖型。
較好進一步具有 (0)於被加工基板上形成有機膜的光阻下層膜之步驟,且於(1)步驟中,在上述光阻下層膜上形成含矽膜,於(4)步驟中,進一步乾蝕刻上述光阻下層膜。
多層光阻製程中,於被加工基板與含矽膜之間設置作為有機膜之光阻下層膜時,可進一步發揮本發明之效果。
本發明之聚矽氧烷組成物含有[A]含氟原子之聚矽氧烷,及[B]交聯促進劑。
該聚矽氧烷組成物藉由含有[A]聚矽氧烷及[B]交聯促進劑,可同時提高所形成之含矽膜對氟系氣體蝕刻之加工性與對氧系氣體蝕刻之耐性。
[A]聚矽氧烷較好具有可經取代之氟化烴基。具有上述構造之[A]聚矽氧烷可簡易地合成。
上述氟化烴基較好為氟化苯基。藉由使用上述特定基作為上述氟化烴基,可同時有效地提高含矽膜對氟系氣體蝕刻之加工性與對氧系氣體蝕刻之耐性。
[A]聚矽氧烷較好為含有以下述式(1)表示之矽烷化合物之化合物的水解縮合物,【化1】R1 aR2 bSiX4-a-b (1)(式(1)中,R1為具有氟原子之一價烴基,但,該烴基具有之氫原子之一部分或全部可經取代,R2為氫原子或一價烴基,但,該烴基可經氟原子及具有氟原子之基以外之 基取代,X為鹵素原子或-OR3,但,R3為一價有機基,a為1~3之整數,b為0~2之整數,但滿足a+b≦3,上述R1、R2及X分別為複數時,複數個R1、R2及X可分別相同亦可不同)。
[A]聚矽氧烷可簡易地合成為含有上述特定矽烷化合物之化合物之水解縮合物。
[B]交聯促進劑較好為由含氮化合物及鎓鹽化合物所組成群組選出之至少一種。[B]交聯促進劑為上述特定化合物時,藉由其適度之鹼性,可有效地進行因[A]聚矽氧烷之交聯所致之高分子量化。
上述含氮化合物較好為由胺化合物及藉由加熱生成胺之化合物所組成群組選出之至少一種。藉由使上述含氮化合物成為上述特定化合物,可使其鹼性更為適度,其結果,可更有效地進行因[A]聚矽氧烷之交聯所致之高分子量化。
此處,本說明書中,所謂「有機基」意指含至少一個碳原子之基。
如上述說明,依據本發明之圖型形成方法,可同時提高多層光阻圖型中形成之含矽膜對氟系氣體蝕刻之加工性,與對氧系氣體之耐性,可形成微細之圖型。
〈圖型形成方法〉
本發明之圖型形成方法具有(1)使用聚矽氧烷組成物,於被加工基板之上面側形成含矽膜之步驟,(2)於上述含矽膜上形成光阻圖型之步驟,(3)以上述光阻圖型作為遮罩,乾蝕刻上述含矽膜,形成含矽圖型之步驟,以及(4)以上述含矽圖型作為遮罩,乾蝕刻上述被加工基板,於被加工基板上形成圖型之步驟,且上述聚矽氧烷組成物含有[A]含氟原子之聚矽氧烷(以下亦稱為「[A]聚矽氧烷」),及[B]交聯促進劑。
且,該圖型形成方法中,較好進一步具有(0)於被加工基板上形成有機膜的光阻下層膜之步驟,且於(1)步驟中,在上述光阻下層膜上形成含矽膜,於(4)步驟中,進一步乾蝕刻上述光阻下層膜。
以下針對各步驟加以說明。
[(1)步驟]
(1)步驟係使用聚矽氧烷組成物,於被加工基板之上面側形成含矽膜。關於聚矽氧烷組成物將於下文敘述。至於被加工基板可使用例如矽晶圓、以鋁被覆之晶圓等之 過去習知之基板。且亦可於被加工基板上形成例如特公平6-12452號公報或特開昭59-93448號公報等所揭示之有機系之抗反射膜。
上述聚矽氧烷組成物之塗佈方法列舉為例如旋轉塗佈、澆鑄塗佈、輥塗佈等。又,所形成之含聚矽氧烷膜之膜厚通常為10nm~1,000nm,較好為10nm~500nm,更好為20nm~300nm。
塗佈上述聚矽氧烷組成物後,亦可視需要藉由預烘烤(PB)使塗膜中之溶劑揮發。PB溫度係依據上述聚矽氧烷組成物之調配組成適當選擇,但通常為50℃~450℃左右。又,PB時間通常為5秒~600秒左右。
[(2)步驟]
(2)步驟係於(1)步驟中形成之含矽膜上形成光阻圖型。(2)步驟中形成光阻圖型之方法列舉為例如(2A)使用光阻組成物之方法,(2B)使用奈米刻印微影法之方法,(2C)使用自我組織化(Directed Self-Assembly)組成物之方法等。
[(2A)使用光阻組成物之方法]
(2)步驟於使用(2A)光阻組成物進行時,包含例如,(2-A1)使用光阻組成物,於上述含矽膜上形成光阻膜之步驟(以下亦稱為「(2-A1)步驟」), (2-A2)藉由透過光罩而曝光之光的照射,使上述光阻膜曝光之步驟(以下亦稱為「(2-A2)步驟」),及(2-A3)使上述經曝光之光阻膜顯像之步驟(以下亦稱為「(2-A3)步驟」)。
((2-A1)步驟)
(2-A1)步驟係使用光阻組成物,於(1)步驟形成之含矽膜上形成光阻膜。
上述光阻組成物列舉為例如含有光酸產生劑之正型或負型之化學增幅型光阻組成物,由鹼可溶性樹脂與醌疊氮系感光劑所成之正型光阻組成物,由鹼可溶性樹脂與交聯劑所成之負型光阻組成物等。
上述光阻組成物之固體成份濃度通常為5~50質量%左右,一般係以例如孔徑2μm左右之過濾器過濾,供給於光阻膜之形成。又,該步驟亦可直接使用市售之光阻組成物。
上述光阻組成物之塗佈方法及塗佈膜厚係與(1)步驟之聚矽氧烷組成物中者相同。塗佈後視需要藉由進行PB使塗膜中之溶劑揮發,形成光阻膜。PB溫度係依據上述光阻組成物之調配組成適當選擇,但通常為30℃~200℃左右,較好為50℃~150℃。又,PB時間通常為5秒~600秒左右。
另外,為防止環境中所含鹼性雜質等之影響,亦可在上述形成之光阻膜上設置例如特開平5-188598號公報中 揭示之保護膜。再者,為防止酸產生體等自光阻膜之流出,亦可於光阻膜上設置例如特開2005-352384號公報等中揭示之液浸用保護膜。又,亦可併用該等技術。
((2-A2)步驟)
(2-A2)步驟係藉由透過光罩而曝光之光的照射,使(2-A1)步驟中形成之光阻膜曝光。該曝光亦可視需要透過液浸液進行之液浸曝光。且,該曝光可連續亦可進行複數次。又,曝光時視需要使用之液浸液列舉為水或氟系惰性液體等。液浸液對曝光波長為透明,且較好為可使投影於光阻膜上之光學影像變形保留在最小限度之折射率之溫度係數儘可能小之液體,尤其是曝光光源為ArF準分子雷射光(波長193nm)時,除上述觀點以外,就取得容易、操作容易方面而言較好使用水。使用之水較好為蒸餾水、超純水,使用水時,亦可添加少量之界面活性劑。
曝光所使用之曝光光列舉為例如紫外線、遠紫外線、X射線、γ射線等電磁波;電子束、α射線等帶電粒子束等。該等中,以遠紫外線較佳,更好為ArF準分子雷射光、KrF準分子雷射光(波長248nm),又更好為ArF準分子雷射光。曝光量等曝光條件係依據上述敏輻射線性樹脂組成物之調配組成等適當選擇。
又,曝光後較好進行曝光後烘烤(PEB)。藉由進行PEB,可使上述光阻組成物中之聚合物之酸解離性基之解離反應等順利進行。PEB溫度通常為30℃~200℃,較好為 50℃~170℃。又,PEB時間通常為5秒~600秒,較好為10秒~300秒。
((2-A3)步驟)
於(2-A3)步驟,使(2-A2)步驟中曝光之光阻膜顯像。藉此,形成光阻圖型。使光阻膜顯像之顯像液列舉為例如鹼顯像液、以有機溶劑為主成份之顯像液等。
上述鹼顯像液列舉為例如氫氧化鈉、氫氧化鉀、碳酸鈉、矽酸鈉、偏矽酸鈉、氨水、乙胺、正丙胺、二乙胺、二正丙胺、三乙胺、甲基二乙胺、乙基二甲胺、三乙醇胺、氫氧化四甲基銨(TMAH)、吡咯、哌啶、膽鹼、1,8-二氮雜雙環-[5.4.0]-7-十一碳烯、1,5-二氮雜雙環-[4.3.0]-5-壬烯等鹼性化合物之至少一種溶解而成之鹼性水溶液。該等中,較好為TMAH水溶液。該鹼性水溶液之濃度較好為10質量%以下,更好為5質量%以下。該等鹼性化合物可單獨使用一種或使用兩種以上。
以上述有機溶劑為主成份之顯像液,就獲得良好光阻圖型之觀點而言,顯像液中之有機溶劑之含有率較好為80質量%以上,更好為90質量%以上。顯像液中含有之有機溶劑列舉為例如醇系溶劑、醚系溶劑、酮系溶劑、醯胺系溶劑、酯系有機溶劑、烴系溶劑等。該等溶劑列舉為例如以後述之該聚矽氧烷組成物中之[C]溶劑所例示之溶劑等。該等中,顯像液中使用之有機溶劑較好為醇系溶劑、醚系溶劑、酮系溶劑、酯系溶劑,更好為酯系溶劑,又更 好為乙酸丁酯、乙酸異丙酯、乙酸正丁酯、乙酸戊酯,最好為乙酸正丁酯。該等有機溶劑可單獨使用一種亦可併用兩種以上。
顯像液中可視需要添加適量之界面活性劑。至於界面活性劑可使用例如離子性或非離子性之氟系界面活性劑及/或矽系界面活性劑等。
顯像方法列舉為例如將基板浸漬於注滿顯像液之槽中一定時間之方法(浸漬法)、利用表面張力使顯像液溢滿基板表面且靜置一定時間顯像之方法(溢液法)、將顯像液噴霧於基板表面之方法(噴霧法)、邊以一定速度使顯像液塗出噴嘴掃描於以一定速度旋轉之基板上邊使顯像液塗出之方法(動態分布法)等。
上述顯像後之光阻膜可藉洗滌液洗淨。洗滌液在鹼顯像之情況下通常使用水,較好使用超純水,於有機溶劑顯像之情況下係使用例如烷系溶劑、酮系溶劑、酯系溶劑、醇系溶劑、醯胺系溶劑等有機溶劑。該等中,以醇系溶劑、酯系溶劑較佳,更好為碳數6~8之一元醇系溶劑,更好為甲基異丁基卡必醇。上述洗滌液之各成份可單獨使用亦可併用兩種以上。
以洗滌液洗淨處理之方法列舉為例如使洗滌液塗出於以一定速度旋轉之基板上之方法(旋轉塗佈法)、將基板浸漬於注滿洗滌液之槽中一定時間之方法(浸漬法)、將洗滌液噴霧於基板表面之方法(噴霧法)等。
上述顯像後或以洗滌液洗淨後通常可藉由進行乾燥處 理等,獲得期望之光阻圖型。
[(2B)使用奈米刻印微影法之方法]
(2)步驟於使用(2B)奈米刻印微影法進行時,包含例如,(2-B1)使用硬化性組成物於上述含系膜上形成圖型形成層之步驟(以下亦稱為「(2-B1)步驟」),(2-B2)將具有反轉圖型之模具表面壓接於上述圖型形成層上之步驟(以下亦稱為「(2-B2)步驟」),(2-B3)以上述模具壓接之狀態,使圖型形成層曝光或加熱之步驟(以下亦稱為「(2-B3)步驟」),及(2-B4)自上述經曝光或加熱之圖型形成層剝離上述模具之步驟(以下亦稱為「(2-B4)步驟」)。
((2-B1)步驟)
於(2-B1)步驟,使用硬化性組成物,於上述含矽膜上形成圖型形成層。至於硬化性組成物列舉為例如含有聚合性單體或聚合性寡聚物之組成物,例舉為例如敏輻射線性之硬化性組成物、熱硬化性之硬化性組成物等。上述硬化性組成物亦可含有硬化促進劑等。至於硬化促進劑列舉為例如光酸產生劑、光鹼產生劑、光增感劑等敏輻射線性硬化促進劑;熱酸產生劑、熱鹼產生劑等熱硬化促進劑等。上述硬化促進劑亦可併用兩種以上。
硬化性組成物之塗佈方法並無特別限制,列舉為例如 噴墨法、浸漬塗佈法、氣刀塗佈法、簾流塗佈法、線棒塗佈法、凹版塗佈法、擠出塗佈法、旋轉塗佈法、狹縫塗佈法等。
((2-B2)步驟)
於(2-B2)步驟,將具有反轉圖型之模具表面壓接於上述圖型形成層上。模具之表面具有形成之光阻圖型形狀之反轉圖型。模具之材料列舉為例如玻璃、石英、PMMA、聚碳酸酯等光透明性樹脂;透明金屬蒸鍍膜;聚二甲基矽氧烷等柔軟膜;光硬化膜;金屬膜等。使用敏輻射線性之硬化性組成物作為上述硬化性組成物時,模具係使用輻射線可透過之材料。
壓接模具時之壓力通常為0.1MPa~100MPa,較好為0.1MPa~50MPa,更好為0.1MPa~30MPa。壓接時間通常為1秒~600秒,較好為1秒~300秒,更好為1秒~180秒。
又,上述模具在壓接前較好以脫模劑等使其表面經疏水化處理。上述脫模劑列舉為例如矽系脫模劑、氟系脫模劑、聚乙烯系脫模劑、聚丙烯系脫模劑、鏈烷系脫模劑、褐媒系脫模劑、巴西棕櫚系脫模劑等。又,脫模劑可單獨使用,亦可併用兩種以上。該等中,以矽系脫模劑較佳。該矽系脫模劑列舉為例如聚二甲基矽氧烷、丙烯酸聚矽氧接枝聚合物、丙烯酸矽氧烷、烯丙基矽氧烷等。
((2-B3)步驟)
於(2-B3)步驟,以壓接上述模具之狀態使圖型形成層曝光或加熱。使用敏輻射線性之硬化性組成物作為上述硬化性組成物時係進行曝光,使用熱硬化性組成物時係進行加熱。曝光及加熱條件係依據所用硬化性組成物之組成物適當選擇。
((2-B4)之步驟)
於(2-B4)步驟,自經上述曝光或加熱之圖型形成層剝離上述模具。據此,形成光阻圖型。剝離方法並無特別限制,例如可固定圖型形成層移動模具進行剝離,亦可固定模具移動圖型形成層而進行剝離,亦可使該等二者以相反方向移動進行剝離。
[(2C)使用自我組織化組成物之方法]
於(2)步驟使用(2C)自我組織化組成物進行時,包含例如(2-C1)使用圖型形成用自我組織化組成物,於上述含矽膜上形成具有相分離構造之自我組織化膜之步驟(以下亦稱為「(2-C1)步驟」),及(2-C2)去除上述自我組織化膜之一部分之相之步驟(以下亦稱為「(2-C2)步驟」)。
((2-C1)步驟)
於(2-C1)步驟,使用圖型形成用自我組織化組成 物,於上述含矽膜上形成具有相分離構造之自我組織化膜。進行本步驟之方法列舉為例如塗佈圖型形成用自我組織化組成物後,進行退火等之方法等。
圖型形成用自我組織化組成物為利用自我組織化形成相分離構造之組成物。圖型形成用自我組織化組成物列舉為例如含有嵌段共聚物之組成物,含有兩種以上之聚合物之組成物等,具體而言列舉為例如含有由聚苯乙烯嵌段-聚甲基丙烯酸甲酯嵌段所組成物之嵌段共聚物之組成物、含有聚苯乙烯與聚甲基丙烯酸甲酯之組成物等。
將上述圖型形成用自我組織化組成物塗佈於上述含矽膜上之方法列舉為例如旋轉塗佈法。
上述退火溫度通常為80℃~400℃。退火時間通常為30秒~120分鐘。
又,為使所得相分離構造成為更期望者,在將圖型形成用自我組織化組成物塗佈於上述含矽膜上之前,較好形成略垂直於上述含矽膜之圖型,及/或形成層合於上述含矽膜上之其他下層膜。
((2-C2)步驟)
於(2-C2)步驟,去除上述自我組織化膜之一部分之相。藉此形成光阻圖型。
去除上述自我組織化膜之一部分之相之方法列舉為例如化學乾蝕刻、濺鍍蝕刻、離子束蝕刻等乾蝕刻;使用有機溶劑、氫氟酸等蝕刻液之濕式蝕刻等。
進行(2)步驟之方法,在該等中以(2A)使用光阻組成物之方法。光阻圖型可藉由使用光阻組成物,經曝光及顯像而形成,因而可形成更良好形狀之圖型。
[(3)步驟]
於(3)步驟,以(2)步驟中獲得之光阻圖型作為遮罩,乾蝕刻上述含矽膜而形成含矽圖型。該乾蝕刻可使用公知之乾蝕刻裝置。乾蝕刻所使用之蝕刻氣體可依據待蝕刻之含矽膜之元素組成而適當選擇,例如使用CHF3、CF4、C2F6、C3F8、SF6等氟系氣體,Cl2、BCl4等氯系氣體、O2、O3、H2O等氧系氣體、H2、NH3、CO、CO2等氣體、He、N2、Ar等惰性氣體等,亦可混合使用該等氣體。含矽膜之乾蝕刻通常使用氟系氣體,較好使用於其中混合氧系氣體與惰性氣體者。使用該氟系氣體蝕刻時,使用由後述之該聚矽氧烷組成物形成之含矽膜時,可使蝕刻速度變高而提高加工性,其結果,可提高蝕刻步驟之生產性。
[(4)步驟]
於(4)步驟,使用(3)步驟中獲得之含矽圖型作為遮罩,乾蝕刻上述被加工基板,而於被加工基板上形成圖型。本步驟之乾蝕刻亦可與上述(3)步驟同樣進行。以含矽圖型作為遮罩之乾蝕刻通常使用氧系氣體,且較好使用於其中混合惰性氣體者。使用該氧系氣體蝕刻時,使用 由後述之該聚矽氧烷組成物形成之含矽圖型時,蝕刻耐性高,可使含矽膜薄膜化,結果,亦可因應圖型更微細化之要求。
[(0)步驟]
又,該圖型形成方法亦可在上述(1)步驟之前,於被加工基板上形成作為有機膜之光阻下層膜作為(0)步驟。多層光阻製程中,被加工基板與含矽膜之間設置有機膜的光阻下層膜時,可進一步發揮本發明之效果。該情況下,上述(1)步驟中,含矽膜係形成於上述光阻下層膜上,上述(4)步驟中,依序乾蝕刻上述光阻下層膜及被加工基板。有機膜的光阻下層膜通常可塗佈有機下層膜形成用組成物、並經乾燥而形成。
依據該圖型形成方法,藉由進行上述(1)~(4)步驟,可獲得形成有特定圖型之基板。
〈聚矽氧烷組成物〉
本發明之聚矽氧烷組成物較好使用作為本發明之圖型形成方法中之含矽膜等之光阻下層膜形成用組成物,且含有[A]聚矽氧烷及[B]交聯促進劑。且,該聚矽氧烷組成物亦可含有[C]溶劑作為適當成份,而且,在不損及本發明效果之範圍內,亦可含有其他任意成份。以下針對各成份加以說明。
〈[A]聚矽氧烷〉
[A]聚矽氧烷為含有氟原子之聚矽氧烷。聚矽氧烷為含有矽氧烷鍵之聚合物或寡聚物。[A]聚矽氧烷只要含有氟原子即無特別限制,氟原子可鍵結於[A]聚矽氧烷之矽原子上,亦可作為構成鍵結於矽原子上之有機基之原子而含有。該有機基列舉為例如可經取代之氟化烴等。
上述氟化烴基列舉為例如氟甲基、三氟甲基、氟乙基、二氟乙基、三氟乙基、五氟乙基等氟化烷基;氟環戊基、二氟環戊基、四氟環戊基、九氟環戊基、四氟環己基、十一氟環己基、氟降冰片基、二氟降冰片基、氟金剛烷基、二氟金剛烷基等氟化脂環式烴基;氟苯基、二氟苯基、三氟苯基、四氟苯基、五氟苯基等氟化苯基;氟甲苯基、五氟甲苯基、三氟甲基苯基、三氟甲基氟苯基等氟化芳基;氟苄基、二氟苄基、三氟苄基、四氟苄基、五氟苄基、氟苯乙基、五氟苯乙基等氟化芳烷基等。
該等中以氟化芳基較佳,更好為氟化苯基,又更好為氟苯基、二氟苯基、三氟苯基、四氟苯基、五氟苯基,最好為氟苯基、五氟苯基,又最好為五氟苯基。
上述氟化烴基可具有之取代基列舉為例如氯原子、溴原子、碘原子等鹵原子,羥基、烷氧基、烷氧基羰基、烷氧基羰基氧基、醯基、醯氧基、氰基等。
[A]聚矽氧烷較好為含有以上述式(1)表示之矽烷化 合物(以下亦稱為「矽烷化合物(1)」)之化合物之水解縮合物。藉由使[A]聚矽氧烷為含有矽烷化合物(1)之化合物之水解縮合物,而可簡易合成。
上述式(1)中,R1為具有氟原子之一價烴基。但,該烴基所具有之氫原子之一部分或全部亦可經取代。R2為氫原子或一價烴基。但,該烴基亦可經氟原子以外者取代。X為鹵原子或-OR3。但,R3為一價有機基。a為1~3之整數。b為0~2之整數。但滿足a+b≦3。上述R1、R2及X分別為複數個時,複數個R1、R2及X可分別相同亦可不同。
上述以R1表示之具有氟原子之一價烴基列舉為例如與鍵結於上述矽原子之有機基中例示作為氟化烴基者相同之基等。
上述具有氟原子之一價烴基可具有之取代基列舉為例如與鍵結於上述矽原子之有機基中之例示作為上述氟化烴基可具有之取代基者相同之基等。
至於R1,該等中較好為氟化芳基,更好為氟化苯基,又更好為氟苯基、二氟苯基、三氟苯基、四氟苯基、五氟苯基,最好為氟苯基、五氟苯基,又最好為五氟苯基。
上述以R2表示之一價烴基列舉為例如烷基、烯基、芳基等。
上述烷基列舉為例如甲基、乙基、正丙基、正丁基、正戊基等直鏈狀烷基;異丙基、異丁基、第二丁基、第三丁基、異戊基等分 支狀烷基等。
上述烯基列舉為例如由烯化合物去除一個氫原子而成之基等,列舉為乙烯基、1-丙烯-1-基、1-丙烯-2-基、1-丙烯-3-基、1-丁烯-1-基、1-丁烯-2-基、1-丁烯-3-基、1-丁烯-4-基、2-丁烯-1-基、2-丁烯-2-基、1-戊烯-5-基、2-戊烯-1-基、2-戊烯-2-基、1-己烯-6-基、2-己烯-1-基、2-己烯-2-基等。
上述芳基列舉為例如苯基、萘基、甲苯基、二甲苯基、均三甲苯基等。
上述烴基可具有之氟原子以外之取代基列舉為例如與上述鍵結於矽原子之有機基中例示作為上述氟化烴基可具有之取代基者相同之基等。
上述以X表示之鹵原子列舉為例如氟原子、氯原子、溴原子、碘原子等。
上述以X表示之-OR3中之以R3表示之一價有機基列舉為例如烷基、烷基羰基等。上述烷基較好為甲基、乙基、正丙基、異丙基、正丁基、異丁基、第二丁基、第三丁基,更好為甲基、乙基,又更好為甲基。又,上述烷基羰基較好為甲基羰基、乙基羰基,更好為甲基羰基。
至於X以-OR3較佳,更好為烷氧基,又更好為甲氧基、乙氧基,最好為甲氧基。
至於a較好為1或2,更好為1。至於b較好為0~2之整數,更好為0或1,又更好為0。至於a+b較好為1~3之整數,更好為1或2,又更好為1。
矽烷化合物(1)列舉為例如以下述式表示之化合物等。
Figure TWI614582BD00001
上述式中,X係與上述式(1)同義。
該等中,以含有氟化芳基之矽烷化合物較佳,更好為含有氟化苯基之矽烷化合物,又更好為氟苯基三烷氧基矽烷、五氟苯基三烷氧基矽烷,最好為五氟苯基三烷氧基矽烷。
〈[A]聚矽氧烷之合成方法〉
[A]聚矽氧烷可藉由例如使矽烷化合物(1)及視需要使用之其他矽烷化合物經水解縮合而合成。
至於可與上述矽烷化合物(1)一起水解縮合之其他矽烷化合物為含有1個矽原子之矽烷化合物,列舉為例如苯基三甲氧基矽烷、苄基三甲氧基矽烷、苯乙基三甲氧基矽烷、4-甲基苯基三甲氧基矽烷、4-乙基苯基三甲氧基矽烷、4-甲氧基苯基三甲氧基矽烷、4-苯氧基苯基三甲氧基矽烷、4-羥基苯基三甲氧基矽烷、4-胺基苯基三甲氧基矽烷、4-二甲胺基苯基三甲氧基矽烷、4-乙醯基胺基苯基三甲氧基矽烷、3-甲基苯基三甲氧基矽烷、3-乙基苯基三甲氧基矽烷、3-甲氧基苯基三甲氧基矽烷、3-苯氧基苯基三甲氧基矽烷、3-羥基苯基三甲氧基矽烷、3-胺基苯基三甲氧基矽烷、3-二甲胺基苯基三甲氧基矽烷、3-乙醯基胺基苯基三甲氧基矽烷、2-甲基苯基三甲氧基矽烷、2-乙基苯基三甲氧基矽烷、2-甲氧基苯基三甲氧基矽烷、2-苯氧基苯基三甲氧基矽烷、2-羥基苯基三甲氧基矽烷、2-胺基苯基三甲氧基矽烷、2-二甲胺基苯基三甲氧基矽烷、2-乙醯基胺基苯基三甲氧基矽烷、2,4,6-三甲基苯基三甲氧基矽烷、4-甲基苄基三甲氧基矽烷、4-乙基苄基三甲氧基矽烷、4-甲氧基苄基三甲氧基矽烷、4-苯氧基苄基三甲氧基矽烷、4-羥基苄基三甲氧基矽烷、4-胺基苄基三甲氧基矽烷、4-二甲胺基苄基三甲氧基矽烷、4-乙醯基胺基苄基三甲氧基矽烷等含有芳香環之三烷氧基矽烷; 甲基三甲氧基矽烷、甲基三乙氧基矽烷、甲基三正丙氧基矽烷、甲基三異丙氧基矽烷、甲基三正丁氧基矽烷、甲基三第二丁氧基矽烷、甲基三第三丁氧基矽烷、甲基三苯氧基矽烷、甲基三乙醯氧基矽烷、甲基三氯矽烷、甲基三異丙烯氧基矽烷、甲基參(二甲基矽氧基)矽烷、甲基參(甲氧基乙氧基)矽烷、甲基參(甲基乙基酮肟)矽烷、甲基參(三甲基矽氧基)矽烷、甲基矽烷、乙基三甲氧基矽烷、乙基三乙氧基矽烷、乙基三正丙氧基矽烷、乙基三異丙氧基矽烷、乙基三正丁氧基矽烷、乙基三第二丁氧基矽烷、乙基三第三丁氧基矽烷、乙基三苯氧基矽烷、乙基雙參(三甲基矽氧基)矽烷、乙基二氯矽烷、乙基三乙醯氧基矽烷、乙基三氯矽烷、正丙基三甲氧基矽烷、正丙氧基三乙氧基矽烷、正丙基三正丙氧基矽烷、正丙基三異丙氧基矽烷、正丙基三正丁氧基矽烷、正丙基三第二丁氧基矽烷、正丙基三第三丁氧基矽烷、正丙基三苯氧基矽烷、正丙基三乙醯氧基矽烷、正丙基三氯矽烷、異丙基三甲氧基矽烷、異丙基三乙氧基矽烷、異丙基三正丙氧基矽烷、異丙基三異丙氧基矽烷、異丙基三正丁氧基矽烷、異丙基三第二丁氧基矽烷、異丙基三第三丁氧基矽烷、異丙基三苯氧基矽烷、正丁基三甲氧基矽烷、正丁基三乙氧基矽烷、正丁基三正丙氧基矽烷、正丁基三異丙氧基矽烷、正丁基三正丁氧基矽烷、正丁基三第二丁氧基矽烷、正丁基三第三丁氧基矽烷、正丁基三苯氧基矽烷、正丁基三氯矽烷、2-甲基丙基三甲氧基矽烷、2-甲基丙基三乙氧基矽 烷、2-甲基丙基三正丙氧基矽烷、2-甲基丙基三異丙氧基矽烷、2-甲基丙基三正丁氧基矽烷、2-甲基丙基三第二丁氧基矽烷、2-甲基丙基三第三丁氧基矽烷、2-甲基丙基三苯氧基矽烷、1-甲基丙基三甲氧基矽烷、1-甲基丙基三乙氧基矽烷、1-甲基丙基三正丙氧基矽烷、1-甲基丙基三異丙氧基矽烷、1-甲基丙基正丁氧基矽烷、1-甲基丙基三第二丁氧基矽烷、1-甲基丙基三第三丁氧基矽烷、1-甲基丙基三苯氧基矽烷、第三丁基三甲氧基矽烷、第三丁基三乙氧基矽烷、第三丁基三正丙氧基矽烷、第三丁基三異丙氧基矽烷、第三丁基三正丁氧基矽烷、第三丁基三第二丁氧基矽烷、第三丁基三第三丁氧基矽烷、第三丁基三苯氧基矽烷、第三丁基三氯矽烷、第三丁基二氯矽烷等烷基三烷氧基矽烷類;乙烯基三甲氧基矽烷、乙烯基三乙氧基矽烷、乙烯基三正丙氧基矽烷、乙烯基三異丙氧基矽烷、乙烯基三正丁氧基矽烷、乙烯基三第二丁氧基矽烷、乙烯基三第三丁氧基矽烷、乙烯基三苯氧基矽烷、烯丙基三甲氧基矽烷、烯丙基三乙氧基矽烷、烯丙基三正丙氧基矽烷、烯丙基三異丙氧基矽烷、烯丙基三正丁氧基矽烷、烯丙基三第二丁氧基矽烷、烯丙基三第三丁氧基矽烷、烯丙基三苯氧基矽烷等烯基三烷氧基矽烷類等;四甲氧基矽烷、四乙氧基矽烷、四正丙氧基矽烷、四異丙氧基矽烷、四正丁氧基矽烷、四第二丁氧基矽烷、四第三丁氧基矽烷等四烷氧基矽烷類; 四苯氧基矽烷等四芳基矽烷類;氧雜環丁基三甲氧基矽烷、環氧乙烷基三甲氧基矽烷、環氧乙烷基甲基三甲氧基矽烷、3-縮水甘油氧基丙基三甲氧基矽烷等含有環氧基之矽烷類;四氯矽烷等四鹵矽烷類等。
且,含有兩個以上矽原子之其他矽烷化合物列舉為例如六甲氧基二矽烷、六乙氧基二矽烷、六苯氧基二矽烷、1,1,1,2,2-五甲氧基-2-甲基二矽烷、1,1,1,2,2-五乙氧基-2-甲基二矽烷、1,1,1,2,2-五苯氧基-2-甲基二矽烷、1,1,1,2,2-五甲氧基-2-乙基二矽烷、1,1,1,2,2-五乙氧基-2-乙基二矽烷、1,1,1,2,2-五苯氧基-2-乙基二矽烷、1,1,1,2,2-五甲氧基-2-苯基二矽烷、1,1,1,2,2-五乙氧基-2-苯基二矽烷、1,1,1,2,2-五苯氧基-2-苯基二矽烷、1,1,2,2-四甲氧基-1,2-二甲基二矽烷、1,1,2,2-四乙氧基-1,2-二甲基二矽烷、1,1,2,2-四苯氧基-1,2-二甲基二矽烷、1,1,2,2-四甲氧基-1,2-二乙基二矽烷、1,1,2,2-四乙氧基-1,2-二乙基二矽烷、1,1,2,2-四苯氧基-1,2-二乙基二矽烷、1,1,2,2-四甲氧基-1,2-二苯基二矽烷、1,1,2,2-四乙氧基-1,2-二苯基二矽烷、1,1,2,2-四苯氧基-1,2-二苯基二矽烷、1,1,2-三甲氧基-1,2,2-三甲基二矽烷、1,1,2-三乙氧基-1,2,2-三甲基二矽烷、1,1,2-三苯氧基-1,2,2-三甲基二矽烷、1,1,2-三甲氧基-1,2,2-三乙基二矽烷、1,1,2-三乙氧基-1,2,2-三乙基二矽烷、1,1,2-三苯氧基-1,2,2-三乙基二 矽烷、1,1,2-三甲氧基-1,2,2-三苯基二矽烷、1,1,2-三乙氧基-1,2,2-三苯基二矽烷、1,1,2-三苯氧基-1,2,2-三苯基二矽烷、1,2-二甲氧基-1,1,2,2-四甲基二矽烷、1,2-二乙氧基-1,1,2,2-四甲基二矽烷、1,2-二苯氧基-1,1,2,2-四甲基二矽烷、1,2-二甲氧基-1,1,2,2-四乙基二矽烷、1,2-二乙氧基-1,1,2,2-四乙基二矽烷、1,2-二苯氧基-1,1,2,2-四乙基二矽烷、1,2-二甲氧基-1,1,2,2-四苯基二矽烷、1,2-二乙氧基-1,1,2,2-四苯基二矽烷、1,2-二苯氧基-1,1,2,2-四苯基二矽烷;雙(三甲氧基矽烷基)甲烷、雙(三乙氧基矽烷基)甲烷、雙(三正丙氧基矽烷基)甲烷、雙(三異丙氧基矽烷基)甲烷、雙(三正丁氧基矽烷基)甲烷、雙(三第二丁氧基矽烷基)甲烷、雙(三第三丁氧基矽烷基)甲烷、1,2-雙(三甲氧基矽烷基)乙烷、1,2-雙(三乙氧基矽烷基)乙烷、1,2-雙(三正丙氧基矽烷基)乙烷、1,2-雙(三異丙氧基矽烷基)乙烷、1,2-雙(三正丁氧基矽烷基)乙烷、1,2-雙(三第二丁氧基矽烷基)乙烷、1,2-雙(三第三丁氧基矽烷基)乙烷、1-(二甲氧基甲基矽烷基)-1-(三甲氧基矽烷基)甲烷、1-(二乙氧基甲基矽烷基)-1-(三乙氧基矽烷基)甲烷、1-(二正丙氧基甲基矽烷基)-1-(三正丙氧基矽烷基)甲烷、1-(二異丙氧基甲基矽烷基)-1-(三異丙氧基矽烷基)甲烷、1-(二正丁氧基甲基矽烷基)-1-(三正丁氧基矽烷基)甲烷、1-(二第二丁氧基甲基矽烷基)-1-(三第二丁氧基矽烷基)甲烷、 1-(二第三丁氧基甲基矽烷基)-1-(三第三丁氧基矽烷基)甲烷、1-(二甲氧基甲基矽烷基)-2-(三甲氧基矽烷基)乙烷、1-(二乙氧基甲基矽烷基)-2-(三乙氧基矽烷基)乙烷、1-(二正丙氧基甲基矽烷基)-2-(三正丙氧基矽烷基)乙烷、1-(二異丙氧基甲基矽烷基)-2-(三異丙氧基矽烷基)乙烷、1-(二正丁氧基甲基矽烷基)-2-(三正丁氧基矽烷基)乙烷、1-(二第二丁氧基甲基矽烷基)-2-(三第二丁氧基矽烷基)乙烷、1-(二第三丁氧基甲基矽烷基)-2-(三第三丁氧基矽烷基)乙烷、雙(二甲氧基甲基矽烷基)甲烷、雙(二乙氧基甲基矽烷基)甲烷、雙(二正丙氧基甲基矽烷基)甲烷、雙(二異丙氧基甲基矽烷基)甲烷、雙(二正丁氧基甲基矽烷基)甲烷、雙(二第二丁氧基甲基矽烷基)甲烷、雙(二第三丁氧基甲基矽烷基)甲烷、1,2-雙(二甲氧基甲基矽烷基)乙烷、1,2-雙(二乙氧基甲基矽烷基)乙烷、1,2-雙(二正丙氧基甲基矽烷基)乙烷、1,2-雙(二異丙氧基甲基矽烷基)乙烷、1,2-雙(二正丁氧基甲基矽烷基)乙烷、1,2-雙(二第二丁氧基甲基矽烷基)乙烷、1,2-雙(二第三丁氧基甲基矽烷基)乙烷、雙(二甲基甲氧基矽烷基)甲烷、雙(二甲基乙氧基矽烷基)甲烷、雙(二甲基正丙氧基矽烷基)甲烷、雙(二甲基異丙氧基矽烷基)甲烷、雙(二甲基正丁氧基矽烷基)甲烷、雙(二甲基第二丁氧基矽烷基)甲烷、雙(二甲基第三丁氧基矽烷基)甲烷、1,2-雙(二甲基甲氧基矽烷基)乙烷、1,2- 雙(二甲基乙氧基矽烷基)乙烷、1,2-雙(二甲基正丙氧基矽烷基)乙烷、1,2-雙(二甲基異丙氧基矽烷基)乙烷、1,2-雙(二甲基正丁氧基矽烷基)乙烷、1,2-雙(二甲基第二丁氧基矽烷基)乙烷、1,2-雙(二甲基第三丁氧基矽烷基)乙烷、1-(二甲氧基甲基矽烷基)-1-(三甲基矽烷基)甲烷、1-(二乙氧基甲基矽烷基)-1-(三甲基矽烷基)甲烷、1-(二正丙氧基甲基矽烷基)-1-(三甲基矽烷基)甲烷、1-(二異丙氧基甲基矽烷基)-1-(三甲基矽烷基)甲烷、1-(二正丁氧基甲基矽烷基)-1-(三甲基矽烷基)甲烷、1-(二第二丁氧基甲基矽烷基)-1-(三甲基矽烷基)甲烷、1-(二第三丁氧基甲基矽烷基)-1-(三甲氧基矽烷基)甲烷、1-(二甲氧基甲基矽烷基)-2-(三甲基矽烷基)乙烷、1-(二乙氧基甲基矽烷基)-2-(三甲基矽烷基)乙烷、1-(二正丙氧基甲基矽烷基)-2-(三甲基矽烷基)乙烷、1-(二異丙氧基甲基矽烷基)-2-(三甲基矽烷基)乙烷、1-(二正丁氧基甲基矽烷基)-2-(三甲基矽烷基)乙烷、1-(二第二丁氧基甲基矽烷基)-2-(三甲基矽烷基)乙烷、1-(二第三丁氧基甲基矽烷基)-2-(三甲基矽烷基)乙烷、1,2-雙(三甲氧基矽烷基)苯、1,2-雙(三乙氧基矽烷基)苯、1,2-雙(三正丙氧基矽烷基)苯、1,2-雙(三異丙氧基矽烷基)苯、1,2-雙(三正丁氧基矽烷基)苯、1,2-雙(三第二丁氧基矽烷基)苯、1,2-雙(三第三丁氧 基矽烷基)苯、1,3-雙(三甲氧基矽烷基)苯、1,3-雙(三乙氧基矽烷基)苯、1,3-雙(三正丙氧基矽烷基)苯、1,3-雙(三異丙氧基矽烷基)苯、1,3-雙(三正丁氧基矽烷基)苯、1,3-雙(三第二丁氧基矽烷基)苯、1,3-雙(三第三丁氧基矽烷基)苯、1,4-雙(三甲氧基矽烷基)苯、1,4-雙(三乙氧基矽烷基)苯、1,4-雙(三正丙氧基矽烷基)苯、1,4-雙(三異丙氧基矽烷基)苯、1,4-雙(三正丁氧基矽烷基)苯、1,4-雙(三第二丁氧基矽烷基)苯、1,4-雙(三第三丁氧基矽烷基)苯等。
再者,亦可使用聚二甲氧基甲基碳矽烷、聚二乙氧基甲基碳矽烷等之聚碳矽烷等。
使矽烷化合物(1)及其他矽烷化合物水解縮合之條件並無特別限制,但一般可如下實施。
上述水解縮合所使用之水較好使用以逆滲透膜處理、離子交換處理、蒸餾等方法純化之水。藉由使用該純化水可抑制副反應,且提高水解反應性。水之使用量相對於矽烷化合物之水解性基(上述式(1)中以X表示之基)之合計量1莫耳,較好為0.1~3莫耳,更好為0.3~2莫耳,又更好為0.5~1.5莫耳之量。藉由使用該量之水,可使水解縮合之反應速度最適化。
上述水解縮合可使用之反應溶劑並無特別限制,但通常可使用與後述之該聚矽氧烷組成物之調製所使用之溶劑相同者。該反應溶劑列舉為例如乙二醇單烷基醚乙酸酯、二乙二醇二烷基醚、丙二醇單烷基醚、丙二醇單烷基醚乙 酸酯、丙酸酯類等。該等反應溶劑中以二乙二醇二甲基醚、二乙二醇乙基甲基醚、丙二醇單甲基醚、丙二醇單乙基醚、丙二醇單甲基醚乙酸酯或3-甲氧基丙酸甲酯較佳。
上述水解縮合反應較好在酸觸媒(例如,鹽酸、硫酸、硝酸、甲酸、草酸、乙酸、三氟乙酸、三氟甲烷磺酸、磷酸、酸性離子交換樹脂、各種路易斯酸)、鹼觸媒(例如,氨、一級胺類、二級胺類、三級胺類、吡啶等含氮化合物;鹼性離子交換樹脂;氫氧化鈉等氫氧化物;碳酸鉀等碳酸鹽;乙酸鈉等羧酸鹽;各種路易斯鹼)、烷氧化物(例如,烷氧化鋯、烷氧化鈦、烷氧化鋁)等觸媒存在下進行。觸媒之使用量,就促進水解縮合反應之觀點而言,相對於矽烷化合物1莫耳較好為0.2莫耳以下,更好為0.00001~0.1莫耳。
上述水解縮合中之反應溫度及反應時間經適當設定。反應溫度較好為40~200℃,更好為50~150℃。反應時間較好為30分鐘~24小時,更好為1~12小時。藉由在該反應溫度及反應時間,可最有效率地進行水解縮合反應。該水解縮合中,可將矽烷化合物、水及觸媒一起添加於反應系統內,以一階段進行反應,或分數次將矽烷化合物、水及觸媒添加於反應系統內,以多階段進行水解縮合反應。又,水解縮合反應之後,可藉由蒸發自反應系統去除水及生成之醇。
[A]聚矽氧烷之形成所使用之矽烷化合物(1)之使用比例,相對於水解縮合所用之全部矽烷化合物之矽原子 數,較好為1莫耳%~50莫耳%,更好為1莫耳%~20莫耳%,又更好為5莫耳%~15莫耳%。矽烷化合物(1)之使用比例未達上述下限時,會有形成之光阻圖型之崩塌耐性降低之情況。矽烷化合物(1)之使用比例超過上述上限時,會有形成之光阻圖型形狀之良好性降低之情況。
[A]聚矽氧烷之分子量以凝膠滲透層析(GPC)測得之聚乙烯換算之重量平均分子量(Mw)通常為1,000~20,000,較好為1,000~15,000,更好為1,200~10,000,又更好為1,500~7,000。
又,本說明書中之Mw為使用GPC管柱(G2000HXL兩根、G3000HXL一根、G4000HXL一根,TOSOH製造),且以流量1.0mL/分鐘,溶出溶劑四氫呋喃、管柱溫度40℃之分析條件,以單分散聚苯乙烯作為標準之凝膠滲透層析(GPC)測定之值。
[A]聚矽氧烷之含量,在該聚矽氧烷組成物之總固體成份中,較好為70質量%以上,更好為80質量%以上。[A]聚矽氧烷之含量未達70質量%時,會有所得含矽膜之蝕刻耐性降低之情況。
〈[B]交聯促進劑〉
[B]交聯促進劑為在由該聚矽氧烷組成物形成含矽膜時等,可促進[A]聚矽氧烷之分子鏈間或分子鏈內之交聯反應之化合物。[B]交聯促進劑只要具有上述性質即無特別限制,列舉為例如酸、鹼、金屬錯合物、金屬鹽化合 物、鎓鹽化合物等。[B]交聯促進劑可單獨使用一種,亦可混合兩種以上使用。
上述酸列舉為例如鹽酸等氫鹵酸、硝酸、硫酸、亞硫酸、硫化氫、過氯酸、過氧化氫、碳酸、甲酸、乙酸等羧酸;苯磺酸等磺酸;磷酸、雜聚酸、無機固體酸等。
上述鹼列舉為例如含氮化合物;氫氧化鹼、碳酸鹼等鹼金屬化合物等。
上述金屬錯合物列舉為例如週期表第2、4、5及13族之金屬元素,與由β-二酮、酮酯等作為配位子構成之螯合錯合物等。
上述金屬鹽化合物列舉為例如以下述式(a)表示之鹼金屬鹽化合物等。
【化00】M + a H + b X n- c (a)
上述式(a)中,M+為鹼金屬離子。Xn-為由氫氧化物離子及碳數1~30之一價或二價以上之有機酸離子所組成群組選出之至少一種。a為1以上之整數,b為0或1以上之整數。n為氫氧化物離子或有機酸離子之價數。a+b係與c個氫氧化物離子及有機酸離子之價數之合計相同。
以上述式(a)表示之鹼金屬鹽化合物列舉為例如鋰、鈉、鉀、銣、銫等之氫氧化物鹽、甲酸鹽、乙酸鹽、丙酸鹽、癸酸鹽、硬脂酸鹽、苯甲酸鹽、苯二甲酸鹽等之一價鹽;上述金屬鹽等之草酸鹽、丙二酸鹽、琥珀酸鹽、戊二酸鹽、己二酸鹽、馬來酸鹽、檸檬酸鹽、碳酸鹽等一 價或二價之鹽等。
[B]交聯促進劑,就考慮使聚矽氧烷更有效地高分子量化之觀點而言,該等中以含氮化合物、鎓鹽化合物較佳。
上述含氮化合物列舉為例如胺化合物、含醯胺基之化合物、脲化合物、含氮雜環化合物等。
上述胺化合物列舉為例如單(環)烷基胺類;二(環)烷基胺類;三(環)烷基胺類;經取代之烷基苯胺或其衍生物;乙二胺、N,N,N’,N’-四甲基乙二胺、四亞甲基二胺、六亞甲基二胺、4,4’-二胺基二苯基甲烷、4,4’-二胺基二苯基醚、4,4’-二胺基二苯甲酮、4,4’-二胺基二苯基胺、2,2-雙(4-胺基苯基)丙烷、2-(3-胺基苯基)-2-(4-胺基苯基)丙烷、2-(4-胺基苯基)-2-(3-羥基苯基)丙烷、2-(4-胺基苯基)-2-(4-羥基苯基)丙烷、1,4-雙(1-(4-胺基苯基)-1-甲基乙基)苯、1,3-雙(1-(4-胺基苯基)-1-甲基乙基)苯、雙(2-二甲胺基乙基)醚、雙(2-二乙胺基乙基)醚、1-(2-羥基乙基)-2-咪唑啶酮、2-羥基喹喔啉(quinoxalinone)、N,N,N’,N’-肆(2-羥基丙基)乙二胺、N,N,N’,N”,N”-五甲基二伸乙基三胺等。
上述含醯胺基之化合物列舉為例如含有N-第三丁氧基羰基之胺基化合物、含有N-第三戊氧基羰基之胺基化合物、甲醯胺、N-甲基甲醯胺、N,N-二甲基甲醯胺、乙醯胺、N-甲基乙醯胺、N,N-二甲基乙醯胺、丙醯胺、苯甲醯 胺、吡咯烷酮、N-甲基吡咯烷酮、N-乙醯基-1-金剛烷基胺、異氰尿酸參(2-羥基乙基)酯等。該等中,以含有N-第三丁氧基羰基之胺基化合物、含有N-第三戊氧基羰基之胺基化合物較佳,較好為N-第三丁氧基羰基-4-羥基哌啶、N-第三戊氧基羰基-4-羥基哌啶、N-第三丁氧基羰基吡咯啶、N-第三丁氧基羰基-2-羥基甲基吡咯啶、N-第三丁氧基羰基-2-苯基苯并咪唑。
上述脲化合物列舉為例如尿素、甲基脲、1,1-二甲基脲、1,3-二甲基脲、1,1,3,3-四甲基脲、1,3-二苯基脲、三正丁基脲等。
上述含氮雜環化合物列舉為例如咪唑類;吡啶類;哌嗪類;吡嗪、吡唑、嗒嗪、喹喔啉、嘌呤、吡咯啶、哌啶、哌啶乙醇、3-哌啶-1,2-丙二醇、嗎啉、4-甲基媽啉、1-(4-嗎啉基)乙醇、4-乙醯基嗎啉、3-(N-嗎啉基)-1,2-丙二醇、1,4-二甲基哌嗪、1,4-二氮雜雙環[2.2.2]辛烷等。
含氮化合物在該等之中,就可發揮適度鹼性之觀點而言,較好為胺化合物或藉由加熱生成胺化合物之化合物。
上述胺化合物,或藉由加熱生成胺化合物之化合物列舉為下列所示之(B1)具有由羥基及羧基所選出之至少一種極性基及酯基之含氮化合物(以下亦稱為「(B1)化合物」)、(B2)具有由羥基、羧基及醚基所選出之至少一種基之含氮化合物(以下亦稱為「(B2)化合物」)、及(B3)具有酯基之含氮化合物(以下亦稱為「(B3)化合 物」)等。(B1)化合物及(B2)化合物所具有之酯基藉由鍵結於鹼性胺基之氮原子上,藉加熱而解離,生成具有鹼性胺基之化合物。
上述(B1)化合物列舉為例如以下述式(B-1-1)~(B-1-5)表示之化合物等。
Figure TWI614582BD00002
上述(B2)化合物列舉為例如以下述式(B-2-1)~(B-2-4)表示之化合物等。又,上述(B3)化合物列舉為以下述式(B-3-1)~(B-3-4)表示之化合物等。
Figure TWI614582BD00003
上述式(B-2-2)及(B-2-3)中,R為碳數1~10之烷基或碳數3~10之環烷基。
含氮化合物,在該等中,以(B1)化合物、(B2)化合物較佳,更好為以上述式(B-1-1)表示之化合物、以上述式(B-1-2)、上述式(B-1-5)表示之化合物、以上述式(B-2-1)表示之化合物。該等藉由具有極性基,可抑制含矽膜形成烘烤時化合物之昇華,且由於可殘留於含矽膜中,故可充分發揮上述效果。
上述鎓鹽化合物列舉為例如以下述式(b)表示之化 合物等。
【化00】Q + d H + e Y m- f (b)
上述式(b)中,Q+為一價鎓陽離子。Ym-為由氫氧化物離子、碳數1~30之一價或二價以上之有機酸離子、及一價或二價以上之非親核性陰離子所組成群組選出之至少一種。d為1以上之整數。e為0或1以上之整數。m為氫氧化物離子、有機酸離子或非親核性陰離子之價數。d+e係與f個氫氧化物離子、有機酸離子及非親核性陰離子之價數之合計相同。
上述以Q+表示之一價鎓陽離子列舉為例如以下述式(b1)表示之鋶陽離子、以下述式(b2)表示之錪陽離子、以下述式(b3)表示之銨陽離子等。
Figure TWI614582BD00004
上述式(b1)中,R1a、R1b及R1c各獨立為碳數1~12之烷基、烯基、氧代烷基或氧代烯基、碳數3~12之環烷基、環烯基、氧代環烷基或氧代環烯基、碳數6~20之芳基、或碳數7~20之芳烷基或芳基氧代烷基。該等基所具有之氫原子之一部分或全部可經取代。又,R1a、R1b及R1c中之任兩個以上可相互鍵結,與該等所鍵結之硫原子一起形成環構造。
Figure TWI614582BD00005
上述式(b2)中,R2a及R2b各獨立為碳數1~12之烷基、烯基、氧代烷基或氧代烯基、碳數3~12之環烷基、環烯基、氧代環烷基或氧代環烯基、碳數6~20之芳基、或碳數7~20之芳烷基或芳基氧代烷基。該等基所具有之氫原子之一部分或全部可經取代。又,R2a及R2b可相互鍵結,與該等所鍵結之碘原子一起形成環構造。
Figure TWI614582BD00006
上述式(b3)中,R3a、R3b、R3c及R3d各獨立為氫原子、碳數1~12之烷基、烯基、氧代烷基或氧代烯基、碳數3~12之環烷基、環烯基、氧代環烷基或氧代環烯基、碳數6~20之芳基、或碳數7~20之芳烷基或芳基氧代烷基。該等基所具有之氫原子之一部分或全部可經取代。又,R3a、R3b、R3c及R3d中之任兩個以上可相互鍵結,與該等所鍵結之氮原子一起形成環構造。
上述烷基列舉為例如甲基、乙基、正丙基、異丙基、正丁基、異丁基、第二丁基、第三丁基等。
上述烯基列舉為例如乙烯基、丙烯基等。
上述氧代烷基列舉為例如2-氧代丙基、2-氧代丁基等。
上述氧代烯基列舉為例如4-氧代-2-戊烯基、4-氧代- 2-己烯基等。
上述環烷基列舉為例如環戊基、環己基、環己基甲基、降冰片基、金剛烷基等。
上述環烯基列舉為例如環戊烯基、環己烯基、環己烯基甲基、降冰片烯基等。
上述氧代環烷基列舉為例如2-氧代環戊基、2-氧代環己基等。
上述氧代環烯基列舉為例如2-氧代-4-環戊烯基、2-氧代-5-環己烯基等。
上述芳基列舉為例如苯基、甲苯基、二甲苯基、均三甲苯基、萘基、甲基萘基、蒽基等。
上述芳烷基列舉為例如苄基、萘甲基等。
上述芳基氧代烷基列舉為例如2-苯基-2-氧代乙基、2-萘基-2-氧代乙基等。
可取代上述基之氫原子之取代基列舉為例如氟原子、氯原子、溴原子、碘原子等鹵原子、烷氧基、醯基、醯氧基、烷氧基羰基、烷氧基羰基甲基、氰基、硝基等。
一價鎓陽離子較好為鋶陽離子、銨陽離子,更好為三芳基鋶陽離子、四烷基銨陽離子,又更好為三苯基鋶陽離子、四甲基銨陽離子。
上述以Ym-表示之有機酸離子列舉為例如甲酸離子、乙酸離子、丙酸離子、癸酸離子、硬脂酸離子、苯甲酸離子、苯二甲酸離子等一價離子;草酸離子、丙二酸離子、琥珀酸離子、戊二酸離子、己二酸離子、馬來酸離子、檸 檬酸離子、碳酸離子等一價或二價離子等。
該等中以一價之離子較佳,更好為乙酸離子、一價之馬來酸離子。
上述以Ym-表示之非親核性陰離子列舉為例如氟化物離子、氯化物離子、溴化物離子、碘化物離子、硝酸離子、鹽酸離子、過氯酸離子、溴酸離子、碘酸離子等一價之離子;硫酸離子、磷酸離子等二價以上之離子等。
該等中,以一價之離子較佳,較好為氯化物離子、硝酸離子。
上述鎓鹽化合物以鋶鹽化合物、銨鹽化合物較佳,更好為三芳基鋶鹽化合物、四烷基銨鹽化合物,又更好為三苯基鋶鹽化合物、四甲基銨鹽化合物,最好為乙酸三苯基鋶、氫氧化三苯基鋶、氯化三苯基鋶、馬來酸單(三苯基鋶)、硝酸三苯基鋶、乙酸四甲基銨。
[B]交聯促進劑可單獨使用一種,亦可組合兩種以上使用。該聚矽氧烷組成物中之[B]交聯促進劑之含量,就藉由[A]聚矽氧烷之交聯進行更適度高分子量化之觀點而言,相對於[A]聚矽氧烷100質量份,通常為20質量份以下,較好為0.01質量份~100質量份,更好為0.05質量份~6質量份,又更好為0.1質量份~3質量份,最好為0.2質量份~1.5質量份。
〈[C]溶劑〉
該聚矽氧烷組成物通常含有[C]溶劑。[C]溶劑只要是 可使[A]聚矽氧烷及[B]交聯促進劑及視需要添加之任意成份溶解或分散,即可無特別限制的使用。[C]溶劑列舉為例如醇系溶劑、醚系溶劑、酮系溶劑、醯胺系溶劑、酯系有機溶劑、烴系溶劑等之有機溶劑等。
醇系溶劑列舉為例如:甲醇、乙醇、正丙醇、異丙醇、正丁醇、異丁醇、第二丁醇、第三丁醇、正戊醇、異戊醇、2-甲基丁醇、第二戊醇、第三戊醇、3-甲氧基丁醇、正己醇、2-甲基戊醇、第二己醇、2-乙基丁醇、第二庚醇、3-庚醇、正辛醇、2-乙基己醇、第二辛醇、正壬醇、2,6-二甲基-4-庚醇、正癸醇、第二-十一烷醇、三甲基壬醇、第二-十四烷醇、第二-十七烷醇、糠醇、酚、環己醇、甲基環己醇、3,3,5-三甲基環己醇、苄基醇、二丙酮醇等之單醇系溶劑;乙二醇、1,2-丙二醇、1,3-丁二醇、2,4-戊二醇、2-甲基-2,4-戊二醇、2,5-己二醇、2,4-庚二醇、2-乙基-1,3-己二醇、二乙二醇、二丙二醇、三乙二醇、三丙二醇等多元醇系溶劑;乙二醇單甲基醚、乙二醇單乙基醚、乙二醇單丙基醚、乙二醇單丁基醚、乙二醇單己基醚、乙二醇單苯基醚、乙二醇單-2-乙基丁基醚、二乙二醇單甲基醚、二乙二醇單乙基醚、二乙二醇單丙基醚、二乙二醇單丁基醚、二乙二醇單己基醚、丙二醇單甲基醚、丙二醇單乙基醚、丙二醇單丙基醚、丙二醇單丁基醚、二丙二醇單甲基醚、二丙二醇單乙基醚、二丙二醇單丙基醚等多元醇部分醚系溶 劑等。
醚系溶劑列舉為例如二乙基醚、乙基丙基醚、二丙基醚、二丁基醚、二異丙基醚等之二脂肪族醚系溶劑;苯甲醚、苯基乙基醚、苯基丙基醚、甲苯基甲基醚、甲苯基乙基醚、二苯基醚、二甲苯基醚等含芳香族醚系溶劑;四氫呋喃、四氫吡喃、甲基四氫呋喃等環狀醚系溶劑等。
酮系溶劑列舉為例如丙酮、甲基乙基酮、甲基正丙基酮、甲基正丁基酮、二乙基酮、甲基異丁基酮、甲基正戊基酮、乙基正丁基酮、甲基正己基酮、二異丁基酮、三甲基壬酮等鏈狀酮系溶劑;環戊酮、環己酮、環庚酮、環辛酮、甲基環己酮等環狀酮系溶劑;2,4-戊二酮、乙醯基丙酮等二酮系溶劑;二丙酮醇等含羥基之酮系溶劑;苯乙酮、苯基乙基酮等芳香族酮系溶劑等。
醯胺系溶劑列舉為例如N,N’-二甲基咪唑啶酮、N-甲基甲醯胺、N,N-二甲基甲醯胺、N,N-二乙基甲醯胺、乙醯胺、N-甲基乙醯胺、N,N-二甲基乙醯胺、N-甲基丙醯胺、N-甲基吡咯烷酮等。
酯系溶劑列舉為例如 乙酸甲酯、乙酸乙酯、乙酸正丙酯、乙酸異丙酯、乙酸正丁酯、乙酸異丁酯、乙酸第二丁酯、乙酸正戊酯、乙酸第二戊酯、乙酸3-甲氧基丁酯、乙酸甲基戊酯、乙酸2-乙基丁酯、乙酸2-乙基己酯、乙酸苄酯、乙酸環己酯、乙酸甲基環己酯、乙酸正壬酯、二乙酸二醇酯、乙酸甲氧基三二醇酯、乙醯基乙酸甲酯、乙醯基乙酸乙酯、丙酸乙酯、丙酸正丁酯、丙酸異戊酯、草酸二乙酯、草酸二正丁酯、乳酸甲酯、乳酸乙酯、乳酸正丁酯、乳酸正戊酯、丙二酸二乙酯、苯二甲酸二甲酯、苯二甲酸二乙酯等羧酸酯系溶劑;乙二醇單甲基醚乙酸酯、乙二醇單乙基醚乙酸酯、二乙二醇單甲基醚乙酸酯、二乙二醇單乙基醚乙酸酯、二乙二醇單正丁基醚乙酸酯、丙二醇單甲基醚乙酸酯、丙二醇單乙基醚乙酸酯、丙二醇單丙基醚乙酸酯、丙二醇單丁基醚乙酸酯、二丙二醇單甲基醚乙酸酯、二丙二醇單乙基醚乙酸酯等多元醇單烷基醚乙酸酯系溶劑;碳酸二乙酯、碳酸伸丙酯等碳酸酯系溶劑;γ-丁內酯、γ-戊內酯等內酯系溶劑等。
烴系溶劑列舉為例如:正戊烷、異戊烷、正己烷、異己烷、正庚烷、異庚烷、2,2,4-三甲基戊烷、正辛烷、異辛烷、環己烷、甲基環己烷等脂肪族烴系溶劑;苯、甲苯、二甲苯、均三甲苯、乙基苯、三甲基苯、甲基乙基苯、正丙基苯、異丙基苯、二乙基苯、異丁基 苯、三乙基苯、二異丙基苯、正戊基萘等芳香族烴系溶劑;二氯甲烷、氯仿、氯氟碳、氯苯、二氯苯等含鹵系溶劑等。
[C]溶劑在該等中,以醇系溶劑、酯系溶劑較佳,更好為多元醇部分醚系溶劑、多元醇單烷基醚乙酸酯系溶劑,又更好為丙二醇單乙基醚、丙二醇單甲基醚乙酸酯。
[C]溶劑可單獨使用一種亦可併用兩種以上。
該聚矽氧烷組成物亦可含有水。含水時,由於[A]聚矽氧烷經水和,故提高該聚矽氧烷組成物之儲存安定性。且,含水時,可促進含矽膜成膜時之硬化,獲得緻密之膜。該聚矽氧烷組成物中之水含有率較好為0質量%~30質量%,更好為0.1質量%~20質量%,又更好為0.2質量%~15質量%。水之含量超過上述上限時,會有該聚矽氧烷組成物之儲存安定性降低,且塗佈膜之均勻性降低之情況。
〈其他任意成份〉
該聚矽氧烷組成物除上述成份以外,作為其他任意成份亦可進一步含有酸產生劑、鹼產生劑、界面活性劑、β-二酮、膠體狀二氧化系、膠體狀氧化鋁、有機聚合物等。
〈聚矽氧烷組成物之調製方法〉
該聚矽氧烷組成物係混合例如[A]聚矽氧烷、[B]交聯 促進劑、及視需要添加之其他任意成份,且溶解或分散於[C]溶劑中而得。該聚矽氧烷組成物之固體成份濃度較好為0.5質量%~20質量%,更好為1質量%~10質量%。
〈聚矽氧烷組成物之調製方法〉
該聚矽氧烷組成物係混合例如[A]聚矽氧烷、[B]交聯促進劑、及視需要之任意成份,且溶解或分散於[C]溶劑中而得。該聚矽氧烷組成物之固體成份濃度為0.5質量%~20質量%,較好為1質量%~15質量%。
[實施例]
以下基於實施例具體說明本發明,但本發明並不受該等實施例之限制。各物性質之測定方法如下。
[固體成份濃度]
在250℃燒成含聚矽氧烷之溶液0.5g 30分鐘後,測定殘留之質量,藉此求得含聚矽氧烷之溶液之固體成份濃度(質量%)。
[重量平均分子量(Mw)]
使用GPC管柱(G2000HXL兩根、G3000HXL一根、G4000HXL一根,TOSOH製造),以流量1.0mL/分鐘,溶出溶劑四氫呋喃、管柱溫度40℃之分析條件,以單分散聚苯乙烯作為標準由GPC測定。
〈[A]聚矽氧烷之合成〉
[A]聚矽氧烷之合成中使用之單體示於下。
以下列出各構造式。
化合物(M-1):四甲氧基矽烷
化合物(M-2):甲基三甲氧基矽烷
化合物(M-3):苯基三甲氧基矽烷
化合物(M-4):4-甲苯基三甲氧基矽烷
化合物(M-5):4-氟三甲氧基矽烷
化合物(M-6):五氟三甲氧基矽烷
Figure TWI614582BD00007
[合成例1](聚矽氧烷(A-1)之合成)
將草酸1.27g加熱溶解於水12.72g中,調製草酸水溶液。隨後,於裝入上述化合物(M-1)22.38g、化合物(M-2)3.76g、化合物(M-5)1.99g、及丙二醇單乙基醚58.04g之燒瓶上安裝冷卻管、加入上述調製之草酸水溶液之滴加漏斗。接著,以油浴加熱至60℃後,於10分鐘內 滴加上述草酸水溶液後,在60℃反應4小時。反應結束後,使含有反應溶液之燒瓶放置冷卻後設置於蒸發器上,去除因反應生成之甲醇,獲得含有聚矽氧烷(A-1)之溶液75.0g。含有該聚矽氧烷(A-1)之溶液之固體成份濃度為18.0質量%。又,該聚矽氧烷(A-1)之Mw為2,100。
[合成例2](聚矽氧烷(A-2)之合成)
將氫氧化四甲基銨(TMAH)2.92g加熱溶解於水8.75g中,調製TMAH水溶液。隨後於裝入有調製之TMAH水溶液14.59g、水4.53g及甲醇40g之燒瓶上安裝冷卻管、加入使上述化合物(M-1)10.66g、化合物(M-2)3.45g及化合物(M-5)1.08g溶解於甲醇50g中而成之單體溶液之滴加漏斗。接著,以油浴加熱至50℃後,於30分鐘內滴加上述單體溶液後,在50℃反應2小時。反應結束後,使含有反應溶液之燒瓶放置冷卻。隨後將上述反應溶液滴加於使馬來酸酐5.49g溶解於水20.17g及甲醇20.17g之混合液中調製而成之馬酸酸溶液45.83g中,且攪拌30分鐘。接著,添加4-甲基-2-戊烯酮50g後,使用蒸發器餾除水及甲醇,獲得產物之4-甲基-2-戊烯酮溶液。將所得產物溶液移到分液漏斗中,添加水80g進行第一次水洗後,添加水40g進行第二次水洗。將該經水洗之產物溶液自分液漏斗移到燒瓶中後,添加丙二醇單乙基醚50g後,使用蒸發器餾除4-甲基-2-戊烯酮,獲得含有聚矽氧烷(A-2)之溶液51g。含有該聚矽氧烷(A-2)之溶液 之固體成份濃度為18.0質量%。又,該聚矽氧烷(A-2)之Mw為4,000。
[合成例3~5](聚矽氧烷(A-3)以及(a-1)及(a-2)之合成)
除使用下表1所示種類之各單體以外,餘與合成例1同樣,合成份別含有聚矽氧烷(A-3)及(a-1)及(a-2)之溶液。
[合成例6](聚矽氧烷(a-3)之合成)
除使用下表1所示種類之各單體以外,餘與合成例2同樣,合成含聚矽氧烷(a-3)之溶液。
所得含各聚矽氧烷之溶液之固體成份濃度及各聚矽氧烷之Mw示於表1。
Figure TWI614582BD00008
〈聚矽氧烷組成物之調製〉
關於構成聚矽氧烷組成物之[A]聚矽氧烷以外之成份示於下。
[[B]交聯促進劑]
各化合物之構造式示於下。
B-1:N-第三戊氧基羰基-4-羥基哌啶
B-2:N-第三丁氧基羰基-4-羥基哌啶
B-3:N-第三丁氧基羰基-2-羧基吡咯啶
B-4:N-(2,3-二羥基丙基)哌啶
B-5:乙酸三苯基鋶
B-6:氫氧化三苯基鋶
B-7:乙酸四甲基銨
Figure TWI614582BD00009
[[C]有機溶劑]
C-1:丙二醇單甲基醚乙酸酯
C-2:丙二醇單乙基醚
C-3:丙二醇單丙基醚
[實施例1]
將作為[A]聚矽氧烷之(A-1)9.70質量份(含上述聚矽氧烷之溶液之質量)及作為[B]交聯促進劑之(B-1)0.05質量份溶解於作為[C]有機溶劑之(C-1)68.74質量份及(C-2)21.51質量份中後’使所得溶液以孔徑0.2μm之過濾器過濾,獲得聚矽氧烷組成物(P-1)。
[實施例2~9及比較例1~4]
除使用下述表2所示之種類及調配量之各成份以外,餘與實施例1同樣,獲得聚矽氧烷組成物(P-2)~(P-9)及(p-1)~(p-4)
Figure TWI614582BD00010
〈光阻組成物之調製〉 [基底聚合物之合成] [合成例7]
將以下式表示之化合物(Q-1)11.92g、化合物(Q-2)41.07g、化合物(Q-3)15.75g、化合物(Q-4)11.16g及化合物(Q-5)2010g及作為聚合起始劑之二甲基2,2-偶氮雙(2-異丁腈)3.88g溶解於2-丁酮200g中,調製溶液。於1,000mL之三頸燒瓶中注入2-丁酮100g,經氮氣吹拂30分鐘後,邊攪拌反應釜邊加熱至80℃。於其中以4小時內滴加上述調製之溶液,進而於滴加結束後2小時,於80℃熟成。聚合結束後,以水冷使聚合反應液冷卻 至30℃以下。該聚合反應液以蒸發器減壓濃縮至其質量成為200g為止。隨後,將聚合反應液倒入1,000g甲醇中,進行再沉澱操作。以抽氣過濾濾除所析出之漿料,以甲醇洗淨固體成份3次。所得粉體在60℃真空乾燥15小時,獲得白色粉體之聚合物(G)88.0g(收率88%)。所得聚合物(G)(基底聚合物)之Mw為9,300,Mw/Mn為1.60,經13C-NMR分析之結果,源自化合物(Q-1)、(Q-2)、(Q-3)、(Q-4)及(Q-5)之構造單位之各含有比例為16莫耳%、26莫耳%、19莫耳%、11莫耳%、28莫耳%。又13C-NMR分析係使用核磁共振裝置(JNM-ECP500,日本電子製造)。
Figure TWI614582BD00011
[含氟原子之聚合物之合成] [合成例8]
將以下式表示之化合物(Q-6)3.8g及化合物(Q-7)1.2g溶解於2-丁酮10g中,進而使2,2’-偶氮雙(2-異丁 腈)0.09g溶解而成之溶液倒入100mL之三頸燒瓶中。經氮氣吹拂30分鐘後,邊攪拌反應釜邊加熱至80℃,以加熱開始作為聚合起始時間,進行聚合反應6小時。聚合結束後,以水冷使聚合反應液冷卻至30℃以下,以蒸發器減壓濃縮至其質量成為12.5g為止。使該濃縮之聚合反應液緩慢投入冷卻至0℃之正己酮75g中,析出固體成份。過濾所得溶液,以正己酮洗淨固體成份,所得粉體在40℃真空乾燥15小時,獲得白色粉體之聚合物(F)3.75g(收率75%)。所得聚合物(F)(含氟原子之聚合物)之Mw為9,400,Mw/Mn為1.50。經13C-NMR分析之結果,源自化合物(Q-6)及(Q-7)之構造單位之各含有比例為68.5莫耳%及31.5莫耳%,氟原子含有率為21.4質量%。
Figure TWI614582BD00012
[光阻組成物之調製] [合成例9]
將作為基底聚合物之上述聚合物(G)100質量份、作為含氟原子之聚合物之上述聚合物(F)5質量份、作為酸產生劑之三苯基鏻三氟甲烷磺酸鹽8質量份及作為酸擴散控制劑之以上述式(B-1)表示之化合物0.6質量份 添加於作為溶劑之丙二醇單甲基醚乙酸酯1,881質量份、環己酮806質量份及γ-丁內酯200質量份中成為溶液。該溶液以孔徑0.1μm之薄膜過濾器過濾,調製光阻組成物(H)。
〈含矽膜之形成〉
以塗佈/顯像裝置(CLEAN TRACK ACT12,東京電子製造,以下之塗佈操作未特別記載時係相同),以旋轉塗佈法將上述實施例及比較例中獲得之聚矽氧烷組成物塗佈於矽晶圓上。所得塗膜在200℃之加熱板上進行PB一分鐘,形成含矽膜。以膜厚測定裝置(M-2000D,J.AWoollam製造)測定所得含矽膜之膜厚,為100nm。
〈評價〉
針對上述獲得之含矽膜進行下述評價。所得結果示於表3。
[基板反射率]
以高速分光橢圓分光儀(M-2000,J.A.Woollam製),針對上述獲得之各含矽膜、有機下層膜形成用組成物(NFC HM8006,JSR製)及上述合成例9獲得之光阻組成物(H)測定各別之折射率參數(n)及衰減係數(k),以該測定值為基準,使用模擬軟體(PROLIS,KLA-Tencor製),在NA1.3,偶極條件下求得層合由光阻 組成物所形成之光阻膜/含矽膜/由光阻下層膜形成用組成物所形成之膜之基板反射率。該基板反射率為1%以下之情況評價為「A」(良好),超過1%時評價為「B」(不良)。
[光阻殘膜]
於在基板上形成之各含矽膜上,以旋轉塗佈法塗佈上述光阻組成物,在加熱板上於100℃進行PB 60秒,形成膜厚100nm之光阻膜。接著,使用ArF曝光裝置(S306C,NIKON製),進行全面曝光。接著,以2.38質量%之TMAH水溶液顯像1分鐘後,使用上述膜厚測定裝置測定基板上之膜(含矽膜及光阻膜之殘膜)之膜厚。以曝光前之含矽膜之膜厚與上述膜厚之差作為光阻殘膜(nm)予以評價。光阻殘膜之測定值示於表3。光阻殘膜未達5nm時評價為「良好」,為5nm以上10nm以下時評價為「稍良好」,超過10nm時評價為「不良」。
[耐鹼性]
將形成含矽膜之矽晶圓浸漬於TMAH水溶液中1分鐘,測定處理前後之膜厚。處理前後之膜厚差為1nm以下時評價為「A」(良好),超過1nm時評價為「B」(不良)。
[乾蝕刻速率]
使用蝕刻裝置(Telius SCCM,東京電子製)測定上述形成之含矽膜之處理前後之膜厚差,算出蝕刻速率(nm/min)。蝕刻條件示於下。
(氟系氣體蝕刻)
使CHF3、Ar及O2之混合氣體流入壓力250mTorr之處理槽內,以RF功率500W生成電漿,進行30秒之製程處理。
(氧系氣體蝕刻)
使O2及Ar之混合氣體流入壓力5mTorr之處理槽內,以RF功率750W生成電漿,進行60秒之製程處理。
[微影評價] (光阻圖型之形成)
將有機下層膜形成用組成物(HM8006,JSR製)旋轉塗佈於12吋矽晶圓上後,在250℃進行PB 60秒,而形成膜厚100nm之有機下層膜。將實施例及比較例之聚矽氧烷組成物旋轉塗佈於該有機下層膜上,在220℃進行PB 60秒後,在23℃冷卻60秒,而形成膜厚30nm之含矽膜。接著,將上述合成例9中獲得之光阻組成物(H)旋轉塗佈於該含矽膜上,在100℃進行PB 60秒後,在23℃冷卻30秒,而形成膜厚100nm之光阻膜。
接著,使用ArF液浸曝光裝置(S610C,NIKON 製),以NA:1.30,偶極之光學條件,透過42nm線/84nm間隔形成用之遮罩尺寸之遮罩進行曝光。在上述塗佈/顯像裝置之加熱板上,於100℃進行PEB 60秒後,在23℃冷卻30秒後,以顯像杯之LD噴嘴,將TMAH水溶液作為顯像液進行溢液顯像30秒後,以超純水洗淨。藉由以2,000rpm、15秒甩動之旋轉乾燥,形成42nm線/84nm間隔之光阻圖型。
(評價)
針對上述形成之光阻圖型,依循下述方法,進行最小崩塌前尺寸之評價。光阻圖型之測量及觀察係使用掃描型電子顯微鏡(CG-4000,日立高科技製造)。
上述光阻圖型之形成中,以形成線之線寬為42nm,相鄰線間之距離(間隔)為84nm(線與間隔為1比2)之光阻圖型之曝光量(mJ/cm2)作為最適曝光量,且以比該最適曝光量階段性增大之曝光量依序進行曝光。此時,所得圖型之線寬逐漸變細,故以對應於某曝光量之線寬觀察最終之光阻圖型崩塌。對應於未確認到該光阻圖型崩塌之最大曝光量之線寬定義為最小崩塌前之尺寸(nm),且作為圖型崩塌耐性之指標。圖型崩塌耐性在最小崩塌前之尺寸為42nm時評價為「A」(良好),超過42nm時評價為「B」(不良)。
Figure TWI614582BD00013
由表3之結果可了解,依據本發明之圖型形成方法及聚矽氧烷組成物,可形成一方面維持良好的所得含矽膜之基板反射率及耐鹼性等之基本性能,以及形成之光阻圖型之圖型崩塌耐性,同時提高對氟系氣體之加工性與對氧系氣體之耐性之圖型。
[產業上之可能利用性]
依據本發明之光阻圖型形成方法及聚矽氧烷組成物,可形成同時提高對氟系氣體之蝕刻加工性與對氧系氣體蝕刻之耐性之微細圖型。據此,即使於多層光阻製程中,亦可較好地使用於進行愈加微細化、薄膜化等之ArF、液浸曝光之ArF、F2、EUV、奈米刻印等之比90nm更微細領域中之圖型形成。

Claims (7)

  1. 一種圖型形成方法,其具有(1)使用聚矽氧烷組成物,於被加工基板之上面側形成含矽膜之步驟,(2)於上述含矽膜上形成光阻圖型之步驟,(3)以上述光阻圖型作為遮罩,乾蝕刻上述含矽膜,形成含矽圖型之步驟,以及(4)以上述含矽圖型作為遮罩,乾蝕刻上述被加工基板,於被加工基板上形成圖型之步驟,且上述聚矽氧烷組成物含有[A]含氟原子之聚矽氧烷,及[B]交聯促進劑,[B]交聯促進劑係含氮化合物(惟,不含銨鹽化合物)。
  2. 如申請專利範圍第1項之圖型形成方法,其中上述(2)步驟包含(2-A1)使用光阻組成物,於上述含矽膜上形成光阻膜之步驟,(2-A2)藉由透過光罩而曝光之光的照射,使上述光阻膜曝光之步驟,及(2-A3)使上述經曝光之光阻膜顯像之步驟。
  3. 如申請專利範圍第1或2項之圖型形成方法,其進一步具有(0)於被加工基板上形成有機膜即光阻下層膜之步 驟,且於(1)步驟中,在上述光阻下層膜上形成含矽膜,於(4)步驟中,進一步乾蝕刻上述光阻下層膜。
  4. 一種聚矽氧烷組成物,其含有[A]含氟原子之聚矽氧烷,及[B]交聯促進劑,[B]交聯促進劑係選自含醯胺基之化合物、脲化合物、含氮雜環化合物中至少1種。
  5. 如申請專利範圍第4項之聚矽氧烷組成物,其中[A]聚矽氧烷具有可經取代之氟化烴基。
  6. 如申請專利範圍第5項之聚矽氧烷組成物,其中上述氟化烴基為氟化苯基。
  7. 如申請專利範圍第4、5或6項之聚矽氧烷組成物,其中[A]聚矽氧烷為含有以下述式(1)表示之矽烷化合物之化合物的水解縮合物,【化1】R1 aR2 bSiX4-a-b (1)(式(1)中,R1為具有氟原子之一價烴基,但,該烴基具有之氫原子之一部分或全部可經取代,R2為氫原子或一價烴基,但,該烴基可經氟原子及具有氟原子之基以外之基取代,X為鹵素原子或-OR3,但,R3為一價有機基,a為1~3之整數,b為0~2之整數,但滿足a+b≦3,上述R1、R2及X分別為複數時,複數個R1、R2及X可分別相同亦可不同)。
TW101135541A 2011-09-29 2012-09-27 圖型形成方法及聚矽氧烷組成物 TWI614582B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011215756 2011-09-29

Publications (2)

Publication Number Publication Date
TW201319754A TW201319754A (zh) 2013-05-16
TWI614582B true TWI614582B (zh) 2018-02-11

Family

ID=48437119

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101135541A TWI614582B (zh) 2011-09-29 2012-09-27 圖型形成方法及聚矽氧烷組成物

Country Status (4)

Country Link
US (1) US9434609B2 (zh)
JP (1) JP6065497B2 (zh)
KR (1) KR101993006B1 (zh)
TW (1) TWI614582B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101943023B1 (ko) * 2011-10-06 2019-01-28 닛산 가가쿠 가부시키가이샤 규소 함유 euv 레지스트 하층막 형성 조성물
US11488824B2 (en) * 2012-02-01 2022-11-01 Nissan Chemical Industries, Ltd. Method for manufacturing semiconductor device using silicon-containing resist underlayer film forming composition for solvent development
JP6070964B2 (ja) * 2012-03-27 2017-02-01 日産化学工業株式会社 自己組織化膜の下層膜形成組成物
WO2014188806A1 (ja) * 2013-05-21 2014-11-27 Jsr株式会社 自己組織化リソグラフィープロセス及び下層膜形成用組成物
WO2015025665A1 (ja) * 2013-08-23 2015-02-26 日産化学工業株式会社 レジストパターンに塗布される塗布液及び反転パターンの形成方法
US9725618B2 (en) 2013-10-07 2017-08-08 Nissan Chemical Industries, Ltd. Metal-containing resist underlayer film-forming composition containing polyacid
JP6477687B2 (ja) * 2014-03-24 2019-03-06 Jsr株式会社 パターン形成方法
TWI666264B (zh) * 2014-06-05 2019-07-21 日商Jsr股份有限公司 含矽膜形成用組成物、圖型形成方法及聚矽氧烷化合物
KR101631075B1 (ko) * 2014-06-12 2016-06-16 주식회사 켐트로닉스 실록산 폴리머 조성물
JP6511931B2 (ja) * 2014-07-02 2019-05-15 Jsr株式会社 レジスト下層膜形成用ポリシロキサン組成物及びパターン形成方法
WO2016031563A1 (ja) * 2014-08-25 2016-03-03 日産化学工業株式会社 Socパターン上でのパターン反転のための被覆用組成物
USD795855S1 (en) 2014-10-01 2017-08-29 Samsung Electronics Co., Ltd. Portable electronic device
USD779449S1 (en) 2014-10-01 2017-02-21 Samsung Electronics Co., Ltd. Portable electronic device
USD781275S1 (en) 2014-10-01 2017-03-14 Samsung Electronics Co., Ltd. Portable electronic device
USD779450S1 (en) 2014-10-01 2017-02-21 Samsung Electronics Co., Ltd. Portable electronic device
USD797713S1 (en) 2014-10-01 2017-09-19 Samsung Electronics Co., Ltd. Portable electronic device
USD803818S1 (en) 2014-10-01 2017-11-28 Samsung Electronics Co., Ltd. Portable electronic device
USD784972S1 (en) 2014-10-01 2017-04-25 Samsung Electronics Co., Ltd. Portable electronic device
USD785586S1 (en) 2014-10-01 2017-05-02 Samsung Electronics Co., Ltd. Portable electronic device
KR20230131955A (ko) * 2015-03-17 2023-09-14 옵티툰 오와이 신규 실록산 중합체 조성물 및 그의 용도
WO2017130629A1 (ja) * 2016-01-25 2017-08-03 Jsr株式会社 感放射線性樹脂組成物及びレジストパターン形成方法
JP7306264B2 (ja) * 2018-03-14 2023-07-11 東レ株式会社 ネガ型感光性着色組成物、硬化膜、それを用いたタッチパネル
JP2020063407A (ja) * 2018-10-19 2020-04-23 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH ポリシロキサン組成物
JP7307005B2 (ja) * 2019-04-26 2023-07-11 信越化学工業株式会社 硬化触媒の拡散距離を測定する方法
CN116547290A (zh) * 2020-11-03 2023-08-04 Oti照明公司 用于形成图案化涂层的含硅化合物和包含该化合物的器件

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008059844A1 (fr) * 2006-11-14 2008-05-22 Nissan Chemical Industries, Ltd. Liquide de revêtement destiné à former un film d'indice de réfraction faible, son procédé de fabrication et élément antiréfléchisseur
WO2010021290A1 (ja) * 2008-08-18 2010-02-25 日産化学工業株式会社 オニウム基を有するシリコン含有レジスト下層膜形成組成物
TW201234102A (en) * 2010-09-01 2012-08-16 Shinetsu Chemical Co Silicon-containing film-forming composition, silicon-containing film-formed substrate, and patterning process
TW201308016A (zh) * 2011-04-28 2013-02-16 Shinetsu Chemical Co 圖型形成方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
JP2643056B2 (ja) 1991-06-28 1997-08-20 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面反射防止コーティング形成組成物及びその使用
JPH0612452A (ja) 1992-06-25 1994-01-21 Hitachi Ltd グループ情報アクセス方式
US6323287B1 (en) * 1999-03-12 2001-11-27 Arch Specialty Chemicals, Inc. Hydroxy-amino thermally cured undercoat for 193 NM lithography
JP2001284209A (ja) 2000-03-30 2001-10-12 Toshiba Corp 多層レジストパターン形成方法及び半導体装置の製造方法
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
JP4551701B2 (ja) 2004-06-14 2010-09-29 富士フイルム株式会社 液浸露光用保護膜形成組成物及びそれを用いたパターン形成方法
JP4798330B2 (ja) * 2004-09-03 2011-10-19 Jsr株式会社 絶縁膜形成用組成物、絶縁膜、およびその形成方法
JP4530284B2 (ja) * 2004-10-07 2010-08-25 信越化学工業株式会社 ポリイミド系光硬化性樹脂組成物並びにパターン形成方法及び基板保護用皮膜
JP4721978B2 (ja) 2006-08-01 2011-07-13 信越化学工業株式会社 レジスト下層膜材料並びにそれを用いたレジスト下層膜基板およびパターン形成方法
JP5000250B2 (ja) * 2006-09-29 2012-08-15 東京応化工業株式会社 パターン形成方法
US8652750B2 (en) * 2007-07-04 2014-02-18 Shin-Etsu Chemical Co., Ltd. Silicon-containing film-forming composition, silicon-containing film, silicon-containing film-bearing substrate, and patterning method
JP5015892B2 (ja) 2008-10-02 2012-08-29 信越化学工業株式会社 ケイ素含有膜形成用組成物、ケイ素含有膜形成基板及びパターン形成方法
JP5729313B2 (ja) * 2011-01-19 2015-06-03 信越化学工業株式会社 化学増幅ポジ型レジスト材料及びパターン形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008059844A1 (fr) * 2006-11-14 2008-05-22 Nissan Chemical Industries, Ltd. Liquide de revêtement destiné à former un film d'indice de réfraction faible, son procédé de fabrication et élément antiréfléchisseur
WO2010021290A1 (ja) * 2008-08-18 2010-02-25 日産化学工業株式会社 オニウム基を有するシリコン含有レジスト下層膜形成組成物
TW201234102A (en) * 2010-09-01 2012-08-16 Shinetsu Chemical Co Silicon-containing film-forming composition, silicon-containing film-formed substrate, and patterning process
TW201308016A (zh) * 2011-04-28 2013-02-16 Shinetsu Chemical Co 圖型形成方法

Also Published As

Publication number Publication date
KR101993006B1 (ko) 2019-06-25
TW201319754A (zh) 2013-05-16
JP2013083963A (ja) 2013-05-09
KR20130035224A (ko) 2013-04-08
US20150048046A1 (en) 2015-02-19
JP6065497B2 (ja) 2017-01-25
US9434609B2 (en) 2016-09-06

Similar Documents

Publication Publication Date Title
TWI614582B (zh) 圖型形成方法及聚矽氧烷組成物
US8956807B2 (en) Method for forming resist pattern, and composition for forming resist underlayer film
TWI468870B (zh) 形成光交聯硬化之光阻底層膜之含矽光阻底層膜形成組成物
TWI514084B (zh) The formation of photoresist pattern
TWI531865B (zh) A multilayer photoresist process pattern forming method and an inorganic film forming composition for a multilayer photoresist process
TWI521018B (zh) Poly Silicon alumoxane composition and pattern forming method
US10090163B2 (en) Inorganic film-forming composition for multilayer resist processes, and pattern-forming method
US10514603B2 (en) Photoresist and method
JP5725151B2 (ja) 多層レジストプロセス用シリコン含有膜形成組成物及びパターン形成方法
JP5970933B2 (ja) パターン形成方法
JP2013214040A (ja) レジスト下層膜形成用組成物及びパターン形成方法
US8906253B2 (en) Gap embedding composition, method of embedding gap and method of producing semiconductor device by using the composition
US20230069221A1 (en) Composition for resist underlayer film formation, and method of producing semiconductor substrate
TWI706220B (zh) 多層光阻製程用無機膜形成組成物及圖型形成方法
JP2018084783A (ja) レジストプロセス用膜形成材料、パターン形成方法及び重合体
JP6741957B2 (ja) レジストプロセス用膜形成材料及びパターン形成方法
TWI666264B (zh) 含矽膜形成用組成物、圖型形成方法及聚矽氧烷化合物
TWI666265B (zh) 光阻下層膜形成用聚矽氧烷組成物及圖型形成方法
KR101959570B1 (ko) 레지스트 패턴 형성 방법 및 레지스트 하층막 형성용 조성물
TW202235413A (zh) 感放射線性樹脂組成物、抗蝕劑圖案的形成方法、聚合物及化合物
TW202120635A (zh) 抗蝕劑底層膜形成用組成物、圖案形成方法、及電子器件的製造方法
KR20130102494A (ko) 레지스트 하층막 형성용 조성물 및 패턴 형성 방법
TW202231626A (zh) 感放射線性樹脂組成物及使用其的抗蝕劑圖案的形成方法、以及鋶鹽化合物及包含鋶鹽化合物的感放射線性酸產生劑