TWI609269B - 改進電漿系統中資料之傳送率 - Google Patents

改進電漿系統中資料之傳送率 Download PDF

Info

Publication number
TWI609269B
TWI609269B TW102146188A TW102146188A TWI609269B TW I609269 B TWI609269 B TW I609269B TW 102146188 A TW102146188 A TW 102146188A TW 102146188 A TW102146188 A TW 102146188A TW I609269 B TWI609269 B TW I609269B
Authority
TW
Taiwan
Prior art keywords
frequency
generator
host system
power
host
Prior art date
Application number
TW102146188A
Other languages
English (en)
Other versions
TW201443656A (zh
Inventor
小約翰C 微寇爾
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201443656A publication Critical patent/TW201443656A/zh
Application granted granted Critical
Publication of TWI609269B publication Critical patent/TWI609269B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/382Information transfer, e.g. on bus using universal interface adapter
    • G06F13/385Information transfer, e.g. on bus using universal interface adapter for adaptation of a particular data processing system to different peripheral devices
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4282Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)

Description

改進電漿系統中資料之傳送率
本發明係關於在電漿系統之內改進資料傳送率的系統和結構。
一通訊系統的一主裝置和一從裝置遵循協定彼此傳遞資訊。舉例來說,在一工業標準串列平行介面(SPI,serial parallel interface)之中,將資料通過自該主裝置至該從裝置的單一電線串列發送。作為另一範例,一時脈訊號係由主裝置傳遞至從裝置。
然而,資料的串列傳輸具有多個缺點,該等缺點其中之一係低的資料速率。當每次將一位元通過電線傳送時,需要大量時間以在主裝置和從裝置之間傳送資訊。
本揭露內容係關於改善一電漿系統內的資料傳送率。應了解的是,在本揭露內容中所述的實施例可以許多方式實現,例如製程、設備、系統、裝置、電腦可讀媒體的方法等等。本揭露內容的各種實施例係描述如下。
在若干實施例中,提供一種匯流排互連結構,用於將一主機系統介接至與一電漿腔室連接的射頻(RF)產生器。該匯流排互連結構包含多個主機埠。該主機系統的第一埠和第二埠係用以將一功率元件設定及一頻率元件設定提供至該RF產生器。此外,該主機系統的第三、第四、第 五、及第六埠係用於接收隨時間變化的四個不同的變量。該匯流排互連結構更包含多個產生器埠。該RF產生器的第一埠和第二埠係用以將一功率回讀數值及一頻率回讀數值傳送至該主機系統。該匯流排互連結構包含一取樣器電路,其係與該主機系統整合。該取樣器電路建構成於選定的時脈邊緣在該主機系統的該第三、第四、第五、及第六埠取樣訊號,以擷取該RF產生器及該電漿腔室的操作狀態資料。
在若干實施例中,提供一種匯流排互連結構,用於將一主機系統介接至與一電漿腔室連接的RF產生器。該匯流排互連結構包含一第一組主機埠,其用以將一功率元件設定及一頻率元件設定提供至該RF產生器。該第一組主機埠係用以接收隨時間變化的多個不同的變量。該匯流排互連結構更包含一第二組產生器埠,用以將一功率回讀數值及一頻率回讀數值傳送至該主機系統。該匯流排互連結構包含一取樣器電路,其係與該主機系統整合。該取樣器電路建構成於選定的時脈邊緣在該第一組主機埠處取樣訊號,以擷取該RF產生器及該電漿腔室的操作狀態資料。
在各種實施例中,提供一電漿系統。該電漿系統包含一主機系統,用於提供資料訊號。該電漿系統更包含一RF產生器,連接至該主機系統。該RF產生器係用以基於該等資料訊號產生一RF訊號。該電漿系統包含一阻抗匹配電路,用於將RF產生器的阻抗與一電漿腔室的阻抗匹配。該電漿系統亦包含一RF傳輸線,連接該阻抗匹配電路與該電漿腔室。該電漿系統包含一匯流排介面,將該主機系統連接至該RF產生器。該匯流排介面包含一第一組主機埠。該第一組主機埠係用以將一功率元件設定及一頻率元件設定提供至該RF產生器。該第一組主機埠係用以接收隨時間變化的多個不同的變量。該匯流排互連結構包含一第二組產生器埠,用以將一功率回讀數值及一頻率回讀數值傳送至該主機系統。該匯流排互連結構包含一取樣器電路,其係與該主機系統整合。該取樣器電路係用以於選定的時脈邊緣在該第一組主機埠處取樣訊號,以擷取該RF產生器及該電漿腔室的操作狀態資料。
在各種實施例中,提供一資料率傳輸系統。該資料率傳輸系 統包含一主機系統和一RF產生器之間的多個埠,以容許以與使用單一電線相比較高的速率傳送資料。舉例來說,於一段時間(例如一秒、一微秒等等)在主機系統與RF產生器之間傳送數個(例如三個、四個、五個等等)變量。該等變量可包含功率、頻率、負載阻抗實部、及負載阻抗虛部。作為另一範例,該等變量可包含頻率、電壓量、電流量、及電壓與電流之間的相位。
並且,在一些實施例中,在主機系統與RF產生器之間所傳輸的變量的數目係受限制的,例如限制於三個變量、五個變量、六個變量等等。在變量數目的限制,降低主機系統與RF產生器之間的通訊通道的數量。舉例來說,用以傳送該等變量的主機系統與RF產生器之間的通訊通道的數量,係小於32、64、128等等。在通訊通道數量上的減少,將連接至通訊通道之主機系統的平行串列平行介面(PSPI)上的埠數量減少,且將連接至通訊通道的RF產生器的PSPI上的埠數量減少。埠數量及通訊通道數量的減少,縮小在一晶片(例如包含該主機系統的晶片、包含RF產生器的一RF控制器的晶片等等)上的有效面積。此外,在通訊通道數量上的減少,降低在通訊通道上所傳輸訊號中的干擾,且降低該等訊號的訊號完整性損失的機率。當訊號係經由該受限數量通訊通道傳送時,可能毋須檢查訊號完整性。該數目的變量容許判定一電漿腔室是否正確運作,例如判定電漿未侷限狀態、電弧等等。
此外,在若干實施例中,與使用封包化協定(例如乙太網路協定、控制自動化技術乙太網路(EtherCAT,Ethernet for Control Automation Technology)協定等等)相比,在該主機系統和該RF產生器之間傳送該變量耗用較少的時間。應注意的是,在各種實施例中,與由PSPI所應用的PSPI協定相比,EtherCAT協定係較慢,例如具有較低的操作頻率。舉例來說,EtherCAT的資料率係1千赫茲(kHz),其係低於PSPI協定所應用的資料速率。作為另一範例,與經由PSPI的埠所傳送的資料位元數相比,較少的資料位元數係經由EtherCAT埠所傳送。封包化協定係用以由在主裝置和從裝置之間待傳送的資料產生資料封包。可基於連接至封包化協定介面(例 如乙太網路埠、EtherCAT埠等等)的網路的頻寬,將該封包化加以限制。在一些實施例中,沒有將封包化執行於傳送變量於主機系統和RF產生器之間的操作。
此外,在若干實施例中,將例如平均、中位數、眾數、最大值、最小值、滾動變異數、標準差、四分位數間距(IQR,interquartile range)等等之統計數值,由該等變量加以決定,以及將該等變量的其餘數值加以刪除。舉例來說,將在一時窗期間的變量平均決定,且將用以決定該平均的數值由主機系統的儲存裝置加以刪除。
決定該統計數值且刪除該等數值,節省記憶體空間且提供在電漿系統內判定故障的可能性。舉例來說,當將所有數值儲存在主機系統之內、在雲端之上等等,該等數值的數量係龐大的,且可為在網路裝置等等裝置之間傳送該等數值的阻礙。當將統計數值儲存於主機系統的一個以上儲存裝置時,統計數值占用與所有數值相比較少的記憶體空間。並且,與傳送所有數值相比,較容易透過網路(例如網際網路、內部網路等等)傳送該等統計數值。與傳送所有數值所使用的頻寬相比,傳送統計數值時使用較少的網路頻寬。
上述實施例的一些優點,包含:與單一電線的串列通訊所提供者相比在主機系統和RF產生器之間較快速的資料傳送;半導體晶片上有效面積的節省;消除檢查訊號完整性的需求;及不須使用封包化協定而傳送資料。
其他實施態樣,經由以下詳細說明及隨附圖式,將更為明白。
100‧‧‧系統
102‧‧‧主機系統
104‧‧‧SDD模組
106‧‧‧VME模組
108‧‧‧射頻(RF)產生器
110‧‧‧通訊鏈
112‧‧‧RF產生器
114‧‧‧通訊鏈
116‧‧‧RF產生器
118‧‧‧通訊鏈
120‧‧‧阻抗(Z)匹配電路
122‧‧‧通訊媒介
124‧‧‧通訊媒介
126‧‧‧通訊媒介
128‧‧‧電漿腔室
130‧‧‧RF傳輸線
132‧‧‧連接
134‧‧‧連接
136‧‧‧連接
138‧‧‧連接
200‧‧‧系統
202‧‧‧控制器
203‧‧‧PSPI
204‧‧‧控制器
205‧‧‧PSPI
206‧‧‧控制器
207‧‧‧PSPI
208‧‧‧控制器
210‧‧‧PSPI
212‧‧‧PSPI
214‧‧‧PSPI
250‧‧‧系統
252‧‧‧控制器
254‧‧‧匯流排互連結構
256‧‧‧取樣器電路
300‧‧‧時序圖
302‧‧‧時脈訊號
304‧‧‧SDI訊號
306‧‧‧SDI訊號
308‧‧‧SDI訊號
310‧‧‧SDI訊號
312‧‧‧上升邊緣
314‧‧‧上升邊緣
352‧‧‧平行鍊
400‧‧‧主機系統
402‧‧‧FPGA
404‧‧‧微處理器
406‧‧‧MSPI
408‧‧‧軟核DSP
410‧‧‧高速埠
412‧‧‧高速匯流排
415‧‧‧高速埠
416‧‧‧SDD邏輯塊
418‧‧‧偏差補償模組
420‧‧‧事件/故障偵測模組
422‧‧‧VME通訊塊
450‧‧‧主機系統
452‧‧‧微處理器
454‧‧‧變量模組
502、504、506‧‧‧圖表
本揭露內容的各種實施例,參照以下說明以及隨附圖式,可最佳地加以理解。
圖1係根據本揭露內容各種實施例的系統的方塊圖,該系統係用於改善資料傳送率。
根據本揭露內容的若干實施例,圖2A係一系統的方塊圖, 系統用於改善圖1系統的主機系統與圖1系統的射頻(RF)產生器之間的資料傳送率。
根據本揭露內容的若干實施例,圖2B係一系統的實施例的方塊圖,該系統係用於改善主機系統和RF產生器之間的資料傳送率。
根據本揭露內容的若干實施例,圖3A係時序圖,描述與圖2A系統的一時脈訊號同步之變量的取樣。
根據本揭露內容的若干實施例,圖3B係描述資料平行傳送與資料平行串列平行傳送之間的差異。
根據本揭露內容的各種實施例,圖4A係一主機系統的實施例的方塊圖。
根據本揭露內容的若干實施例,圖4B係一主機系統的實施例的方塊圖。
根據本揭露內容的若干實施例,圖5係實施例的多個圖表的示圖,用以說明變量有助於判定圖1系統的電漿腔室內的一電漿事件。
以下實施例描述用於改善資料傳送率的系統和方法。
根據本揭露內容中所描述的數個實施例,圖1係系統100的方塊圖,系統100係用於改善資料傳送率。一個主機系統102包含一統計資料抽取(SDD,statistical data decimation)模組和一VME模組106。當使用於此處,一主機系統包含一控制器,其包含一個以上處理器及一個以上儲存裝置。在各種實施例中,此處所述由一控制器所執行的操作,係藉由該控制器的一個以上處理器加以執行。
當使用於此處,處理器可為中央處理單元(CPU)、微處理器、特定應用積體電路(ASIC)、可程式邏輯元件(PLD)等等。儲存裝置的例子包含唯讀記憶體(ROM)、隨機存取記憶體(RAM)、或其組合。儲存裝置可為快閃記憶體、容錯式磁碟陣列(RAID)、硬碟等等。
當使用於此處,一個模組包含硬體、軟體、或其組合。舉例 來說,將一模組實現成一積體電路,例如現場可程式邏輯閘陣列(FPGA)、ASIC等等,該積體電路處理資料。作為另一範例,一個模組為電腦軟體程式,其由微處理器加以執行。作為另一範例,將一模組的一部分實現為一積體電路,且該模組的另一部分係由一微處理器加以執行。
SDD模組104包含一2MHz平行串列平行介面(PSPI,parallel serial parallel interface),其經由一通訊鏈110(例如一電纜)連接至2MHz射頻(RF)產生器108的PSPI。SDD模組104更包含一27MHz PSPI,其經由一通訊鏈114(例如一電纜)連接至27MHz RF產生器112的PSPI。此外,SDD模組104包含一60MHz PSPI,其經由一通訊鏈118(例如一電纜)連接至60MHz RF產生器116的PSPI。連接一PSPI至另一PSPI的電纜,可利用一協定(例如RS-232協定、通用串列匯流排(USB)協定等等)傳遞訊號。
RF產生器108、112、及116係連接至一阻抗(Z)匹配電路120。舉例來說,RF產生器108係經由一通訊媒介122(例如電纜)連接至阻抗匹配電路120,RF產生器112係經由通訊媒介124連接至阻抗匹配電路120,以及RF產生器116係經由通訊媒介126連接至阻抗匹配電路120。
在若干實施例中,一阻抗匹配電路,將連接至該阻抗匹配電路的一負載的阻抗與亦連接至該阻抗匹配電路的一來源的阻抗加以匹配。舉例來說,阻抗匹配電路120,將經由RF傳輸線130連接至該阻抗匹配電路120之電漿腔室128的阻抗,與RF產生器108、112、及116的阻抗加以匹配。在一些實施例中,阻抗匹配電路120包含電元件,例如電容器、電感器等等,以匹配阻抗。在各種實施例中,一RF傳輸線包含一RF隧道,其連接至一RF帶,該RF帶係連接至一RF桿。
SDD模組104的PSPI經由通訊鏈110、114、及118將功率設定點(例如功率等等)及頻率設定點(例如頻率等等)傳送至RF產生器108、112、及116的PSPI。舉例來說,SDD模組104的2MHz PSPI經由通訊鏈110將功率設定點及頻率設定點傳送至RF產生器108的PSPI,SDD 模組104的27MHz PSPI經由通訊鏈114將功率設定點及頻率設定點傳送至RF產生器112的PSPI,以及SDD模組104的60MHz PSPI經由通訊鏈118將功率設定點及頻率設定點傳送至RF產生器116的PSPI。
一RF產生器的數位訊號處理器(DSP)接收一功率設定點,且提供該功率設定點至該RF產生器的一驅動器,例如一個以上電晶體等等。該驅動器產生一RF訊號,其具有在該功率設定點之內所指示的功率。與該驅動器連接的一放大器,將該RF訊號放大,且將放大的RF訊號提供至阻抗匹配電路120。阻抗匹配電路120將具有該功率的經放大RF訊號,經由RF傳輸線130,傳送至電漿腔室128。
類似地,RF產生器的DSP接收一頻率設定點,且提供該頻率設定點至該RF產生器的該驅動器。該驅動器產生一RF訊號,其具有該頻率設定點之內所指示的頻率。與該驅動器連接的放大器,放大該RF訊號且提供放大的RF訊號至阻抗匹配電路120。阻抗匹配電路120將具有該頻率之放大的RF訊號,經由RF傳輸線130傳送至電漿腔室128。
電漿腔室128包含一靜電夾頭(ESC)、一上電極、及其他部件(未顯示),例如圍繞該上電極的一上介電環、圍繞該上介電環的一上電極延伸部、圍繞該ESC的一下電極的一下介電環、圍繞該下介電環的一下電極延伸部、一上電漿排除區(PEZ)環、一下PEZ環等等。上電極係位於ESC的對面且面向該ESC。該ESC包含下電極。一工件(例如半導體晶圓等等)係被支承於ESC的上表面。例如特定用途積體電路(ASIC)、可程式邏輯元件(PLD)等等的積體電路係形成於該工件之上,且該積體電路係用於各種電子裝置,例如行動電話、平板電腦、智慧型手機、電腦、膝上型電腦、網路設備等等。上電極和下電極每一者係由金屬構成,例如鋁、鋁合金、銅等等。
在一個實施例中,上電極包含一開口,連接至一中央氣體饋入部(未顯示)。該中央氣體饋入部從一氣體供應部(未顯示)接收一種以上製程氣體。製程氣體的例子包括含氧氣體,例如O2。製程氣體的其他例子包含含氟氣體,例如四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6) 等等。上電極係接地。ESC係經由阻抗匹配電路120連接至RF產生器108、112、及116。
當將製程氣體供應至上電極及ESC之間,且當RF產生器108、112、及/或116經由阻抗匹配電路120供應RF訊號至ESC的下電極之時,製程氣體被點燃而在電漿腔室128之內產生電漿。
在一RF產生器之內的一感測器,基於在與該感測器連接的一通訊媒介(例如通訊媒介122、124、126等等)上所傳送的RF訊號,測量多個變量,例如前向功率、反射功率、複電壓、複電流等等。舉例來說,RF產生器108的一感測器,基於在RF產生器108和阻抗匹配電路120之間的通訊媒介122之上所傳送的RF訊號,測量複電壓和複電流。
在一RF產生器之內的一DSP,基於藉由該產生器的一感測器所測得的變量,可決定(例如計算、估算等等)其他變量,例如所輸送功率、複電壓與電流(複V&I)、Γ(伽瑪(gamma))、負載阻抗等等。舉例來說,RF產生器108的一DSP基於複電壓和複電流計算複V&I。複V&I包含:一電壓量,其與複電壓相同;一電流量,其與複電流相同;及一相位,其係複電壓的相位與複電流的相位之間的相位差。
在若干實施例中,藉由RF產生器的DSP所計算、或藉由該產生器的感測器所測得的該等變量,係經由一對應通訊鏈傳送至SDD模組104的PSPI。舉例來說,藉由RF產生器108的DSP所計算、或藉由RF產生器108的感測器所測得的變量,係自RF產生器108的PSPI經由通訊鏈110傳送至SDD模組104的2MHz PSPI。
在各種實施例中,將一電晶體-電晶體(TTL)訊號,由RF產生器108的同步輸出埠提供至RF產生器112的同步輸入埠,以將RF產生器112的操作與RF產生器108同步(例如改變RF訊號的狀態等等)。在若干實施例中,將該TTL訊號由RF產生器112的同步輸出埠提供至RF產生器116的同步輸入埠,以將RF產生器112和116的操作同步。此外,在一些實施例中,將該TTL訊號由RF產生器116的同步輸出埠提供至SDD模組104的同步輸入埠,以通知SDD模組104由RF產生器108、112和 116所產生之RF訊號的狀態,例如高狀態、低狀態、高功率狀態、低功率狀態等等。應注意的是,高狀態或高功率狀態係RF訊號的一狀態,其具有與低狀態或低功率狀態相比較高的功率量。在一些實施例中,一RF訊號在高狀態和低狀態之間切換。
在若干實施例中,將在SDD模組104之內所產生、在SDD模組104之內所儲存、及/或由SDD模組104的PSPI接收自RF產生器的PSPI的資料(例如變量等等),自SDD模組的SDD輸出經由一連接132提供至VME模組106的SDD輸入,其中連接132係例如乙太網路(Ethernet)連接、EtherCAT連接、USB連接、串列連接、平行連接等等。透過SDD輸入所接收的資料可儲存在VME模組106之內。
在一些實施例中,VME模組106的2MHz發送/接收(Tx/Rx)埠,係用以將功率和頻率之外的設定點(例如溫度設定點等等)經由一連接134(例如Ethernet連接、EtherCAT連接、USB連接、串列連接、平行連接等等)傳送至RF產生器108的一個埠(例如Ethernet埠、EtherCAT埠等等)。此外,VME模組106的27MHz發送/接收(Tx/Rx)埠,係用以將功率和頻率之外的設定點經由一連接136傳送至RF產生器112的一個埠(例如Ethernet埠、EtherCAT埠等等)。並且,VME模組106的60MHz發送/接收(Tx/Rx)埠,係用以將功率和頻率之外的設定點經由一連接138傳送至RF產生器116的一個埠(例如Ethernet埠、EtherCAT埠等等)。在若干實施例中,VME模組106的Tx/Rx埠,將接收自SDD模組104的資料(例如變量等等)傳送至RF產生器108、112、及116的埠(例如Ethernet埠、EtherCAT埠等等)。在各種實施例中,連接134、136、及138協助VME模組106接收來自RF產生器108、112、及116的資料。
應注意到,雖然上述實施例係就2MHz、27MHz、及60MHz產生器加以描述,但在若干實施例中將任何其他的頻率加以使用。舉例來說,取代2MHz,使用4MHz產生器。
更應注意的是,可使用任何數量的RF產生器。舉例來說,使用一或二個RF產生器而非三個RF產生器。
圖2A係系統200的實施例的方塊圖,系統200用於改善主機系統102與RF產生器108、112、及116(圖1)之間的資料傳送率。
一個RF產生器包含一控制器。舉例來說,RF產生器108包含控制器202,其包含PSPI 203。此外,RF產生器`112包含一控制器204,其包含一PSPI 205。RF產生器116包含控制器206,其包含PSPI 207。
主機系統102包含先進製程控制虛擬方法(VMAP,virtual methodology for advanced process control)控制器208。VMAP控制器208包含PSPI 210,其係SDD 104(圖1)的2MHz PSPI的一個例子。VMAP控制器208包含一PSPI 212,其係SDD 104的27MHz PSPI的一個例子,且VMAP控制器208包含PSPI 214,其係SDD 104的60MHz PSPI的一個例子。
PSPI 210的2MHz功率設定點串列資料輸出(SDO,serial data output)埠,將一功率設定點經由一通訊通道C2串列發送至PSPI 203的2MHz功率設定點串列資料輸入(SDI,serial data input)埠。通訊通道的例子包含一條以上的電線等等,其遵循一協定,例如一串列協定、一差動協定等等。舉例來說,在差動訊號的實例中,一通訊通道包含二電線。當使用差動訊號方式時,與不使用差動訊號方式相比,用以傳送資料訊號(例如設定點、回讀資料、變量等等)的資料埠(設定點埠、回讀埠等等)的引腳數增加(例如加倍),且將資料訊號的抗擾性改善。否則,在此範例中,一通訊通道包含一電線。此外,在若干實施例中,PSPI 210的2MHz頻率設定點SDO埠將一頻率設定點經由一通訊通道C4串列發送至PSPI 203的2MHz頻率設定點SDI埠。
此外,PSPI 203的功率回讀SDO埠,將一功率讀數經由通訊通道C1發送至PSPI 210的功率回讀SDI埠,該功率讀數係例如由RF產生器108(圖1)的感測器所測得的前向功率、由RF產生器108的感測器所測得的反射功率、由RF產生器108的DSP所決定的所輸送功率等等。應注意的是,所輸送功率係前向功率和反射功率之間的差。應注意的是,在若干實施例中,前向功率包含由RF產生器供應至電漿腔室128(圖1) 的RF功率,且反射功率包含從電漿腔室128朝RF產生器反射回去的RF功率。
此外,在各種實施例中,PSPI 203的頻率回讀SDO埠,將一頻率讀數經由通訊通道C3發送至PSPI 210的頻率回讀SDI埠,該頻率讀數係例如由RF產生器108(圖1)的感測器所測得的前向功率的頻率、由RF產生器108的感測器所測得的反射功率的頻率、由RF產生器108的DSP所決定的所輸送功率的頻率等等。
並且,在一些實施例中,PSPI 203的實部阻抗回讀SDO埠,將一負載的負載阻抗的實部(例如電阻等等)經由通訊通道C5發送至PSPI 210的2MHz實部阻抗SDI埠。負載的例子包含一個以上通訊媒介122、124、及126、阻抗匹配電路120、RF傳輸線130、電漿腔室128、或其組合。在各種實施例中,PSPI 203的虛部阻抗回讀SDO埠,將負載阻抗的虛部(例如電抗等等)經由通訊通道C6發送至PSPI 210的2MHz虛部阻抗SDI埠。
PSPI 210將從動選擇(SS,slave select)訊號自2MHz SS埠經由一通訊通道C7發送至PSPI 203的2MHz SS埠,以選擇一半導體晶片,RF控制器202及PSPI 203係實現在該半導體晶片上。一SS訊號決定PSPI 203、205、及/或207何者聽取(例如讀取等等)來自VMAP控制器208的資料和/或發送資料至VMAP控制器208。舉例來說,為了選擇PSPI 203,一個SS訊號係經由通訊通道C7發送。當選擇PSPI 203之時,一設定點可發送至PSPI 203,且/或自PSPI 203的回讀係加以執行。作為另一範例,為了選擇RF控制器204及PSPI 205係實現於其上的半導體晶片,將一SS訊號自PSPI 212的27MHz SS埠經由通訊通道C16發送至PSPI 205的27MHz SS埠。此外,為了選擇RF控制器206及PSPI 207係實現於其上的半導體晶片,將一SS訊號自PSPI 214的60MHz SS埠經由通訊通道C25發送至PSPI 207的60MHz SS埠。
一時脈訊號係由PSPI 210的RF控制(CTRL)-CLK埠經由通訊通道C8發送至PSPI 203的RF CTRL-CLK埠。並且,一個地訊號(例 如基準訊號、零電壓訊號等等)係傳送於PSPI 210的RF CTRL-地(GND)埠與PSPI 203的RF CTRL-GND埠之間。該地訊號將除了一時脈訊號以外在VMAP控制器208和PSPI 203、205、及207之間傳送的所有訊號的一基準加以建立。
類似地,與在PSPI 210與PSPI 203之間所傳送者類似的訊號,係在PSPI 212和PSPI 205之間經由通訊通道C10到C18加以傳送。此外,與在PSPI 210與PSPI 203之間所傳送者類似的訊號,係在PSPI 214和PSPI 207之間經由通訊通道C19到C27加以傳送。在一些實施例中,通訊通道C1到C9係包含於一電纜之內,例如RS-232電纜等等,通訊通道C10到C18係包含於另一電纜之內,例如RS-232電纜等等,以及通訊通道C19到C27係包含於又另一電纜之內,例如RS-232電纜等等。
此外,一TTL訊號係由RF控制器206的TTL輸出埠發送至VMAP控制器208的TTL輸入埠。應注意的是,RF控制器206的TTL輸出埠係與60MHz RF產生器116(圖1)的同步輸出埠相同,且PSPI 210的TTL輸入埠係與SDD 104(圖1)的同步輸入埠相同。
在各種實施例中,主機系統102的PSPI 210、212、及214與RF控制器202、204、及206的PSPI 203、205、及207之間的通訊係點對點的。舉例來說,PSPI 210並非經由包含網路裝置(例如路由器、交換器、橋接器等等)的一網路(例如網際網路、內部網路等等)連接至PSPI 203。作為另一範例,PSPI 212並非經由一網路連接至PSPI 205,且PSPI 214並非經由一網路連接至PSPI 207。與使用於網路中的電纜網路的長度相比,點對點通訊降低一個以上介於主機系統102與RF產生器202、204、及206之間的通訊通道C1到C27的長度。
在若干實施例中,沒有由一PSPI所執行的封包化,例如產生Ethernet封包、產生EtherCAT封包等等。在各種實施例中,將少於32條的平行通道用於在VMAP控制器208的PSPI與RF產生器控制器的PSPI之間傳送資料訊號。舉例來說,將少於32條的平行通道用於在PSPI 210與PSPI 203之間傳送功率回讀訊號、功率設定點訊號、頻率回讀訊號、頻率 設定點訊號、實部阻抗訊號、及虛部阻抗訊號。
在若干實施例中,RF控制器的PSPI係實現於一晶片之上,該晶片係獨立於將另一RF控制器的PSPI實現於其上的一晶片。舉例來說,PSPI 203係實現於一半導體晶片之內,PSPI 205係實現於另一半導體晶片之內,且PSPI 207係實現於又另一半導體晶片之內。在一些實施例中,VMAP控制器208係實現於單一半導體晶片之內或多個半導體晶片之內。舉例來說,PSPI 210係實現於一半導體晶片之內,PSPI 212係實現於另一半導體晶片之內,且PSPI 214係實現於又另一半導體晶片之內。
在各種實施例中,變量的回讀係與一設定點的傳送同步(例如同時等等)加以執行。舉例來說,功率的回讀係與經由通訊通道C2發送功率設定點同步,經由通訊通道C1加以執行。作為另一範例,頻率的回讀係與經由通訊通道C2發送功率設定點同步及/或與經由通訊通道C4發送頻率設定點同步,經由通訊通道C3加以執行。作為又另一範例,與經由通訊通道C8發送的時脈訊號同步,傳送(例如讀取、發送等等)經由通道C1、C3、C5、及C6傳送的變量訊號以及經由通道C2和C4所傳送的設定點訊號。
在若干實施例中,回讀訊號係資料訊號的一個例子,回讀訊號係例如功率回讀訊號、頻率回讀訊號、負載阻抗實部回讀訊號、負載阻抗虛部回讀訊號等等。此外,設定點訊號係資料訊號的一個例子,設定點訊號係例如頻率設定點訊號、功率設定點訊號等等。並且,從動選擇訊號係控制訊號的例子。
在各種實施例中,將主機系統102實現於一個以上積體電路之內,例如FPGA、ASIC等等。此外,在若干實施例中,RF控制器202、204、及206其中一者以上係實現於一個以上積體電路之內。
在若干實施例中,所有RF產生器的變量傳送係與一時脈訊號同步,例如與時脈訊號同時執行、於時脈邊緣處執行等等。舉例來說,在通道C1、C3、C5、C6、C10、C12、C14、C15、C19、C21、C23、及C24上所傳送的變量,係與發送自PSPI 210的RF CTRL-CLK埠的時脈訊 號同步。作為另一範例,發送自PSPI 210、212、及214的RF CTRL-CLK埠的多個時脈訊號係彼此同步,且亦與在通道C1、C3、C5、C6、C10、C12、C14、C15、C19、C21、C23、及C24上所傳送的變量同步。作為另一範例,在通道C1、C3、C5、C6、C10、C12、C14、C15、C19、C21、C23、及C24上所傳送的變量,以及在通道C2、C4、C11、C13、C20、及C22上所傳送的變量設定點,係與發送自PSPI 210的RF CTRL-CLK埠的一時脈訊號同步。作為另一範例,發送自PSPI 210、212、及214的RF CTRL-CLK埠的多個時脈訊號係彼此同步,且亦與在通道C1、C2、C3、C4、C5、C6、C9、C10、C11、C12、C13、C14、C15、C19、C20、C21、C22、C23、及C24上所傳送的變量同步。
在各種實施例中,VMAP控制器208的PSPI係操作在唯設定點模式或在唯回讀模式。舉例來說,當PSPI 210的2MHz功率設定點SDO埠與2MHz頻率設定點SDO埠係設定成發送設定點之時,PSPI 210的2MHz功率回讀SDI、2MHz頻率回讀SDI、2MHz實部阻抗SDI埠、及2MHz虛部阻抗SDI埠係不設定成自PSPI 203回讀變量。作為另一範例,當PSPI 210的2MHz功率回讀SDI、2MHz頻率回讀SDI、2MHz實部阻抗SDI埠、及2MHz虛部阻抗SDI埠係設定成自PSPI 203回讀變量之時,PSPI 210的2MHz功率設定點SDO埠與2MHz頻率設定點SDO埠係不設定成發送設定點至PSPI 203。
在若干實施例中,例如RF控制器202、RF控制器204、F控制器206等等之RF控制器的PSPI,操作於唯設定點模式或唯回讀模式。舉例來說,當PSPI 203的2MHz功率設定點SDI埠和2MHz頻率設定點SDI埠係設定成讀取(例如接收、存取等等)設定點之時,PSPI 203的2MHz功率回讀SDO、2MHz頻率回讀SDO、2MHz實部阻抗SDO埠、及2MHz虛部阻抗SDO埠不設定成傳送變量至PSPI 210。作為另一範例,當PSPI 203的2MHz功率回讀SDO、2MHz頻率回讀SDO、2MHz實部阻抗SDO埠、及2MHz虛部阻抗SDO埠係設定成傳送變量至PSPI 210之時,PSPI 203的2MHz功率設定點SDI埠和2MHz頻率設定點SDI埠係不設定成自PSPI 210接收設定點。
在各種實施例中,主機系統102的PSPI(例如PSPI 210、212、214等等)係設定成操作於一資料速率,該資料速率係為連接至主機系統102的一RF產生器的一PSPI所支援。舉例來說,當RF控制器202係實現於一快速FPGA之上時,PSPI 210的RF CTRL-CLK埠係操作於大於或等於1百萬赫茲(MHz)的頻率,以將時脈訊號傳送至RF控制器202。在此範例中,當替代RF控制器202而將另一RF控制器(未顯示)實現於一慢速FPGA之上時,PSPI 210的RF CTRL-CLK埠係設定成操作在低於1MHz的頻率,以將時脈訊號傳送至該另一RF控制器。應注意的是,1MHz係一範例,且頻率係不限定於1MHz。舉例來說,替代1MHz,可使用另一頻率,例如2MHz、3MHz等等,以說明快速和慢速FPGA之間的差異。
圖2B係一系統250的實施例的方塊圖,該系統250係用於改善主機系統102和RF控制器252之間的資料傳送率,RF控制器252係RF控制器202、RF控制器204、或RF控制器206(圖2A)的一範例。該系統250包含主機系統102、RF控制器252、及匯流排互連結構254。
匯流排互連結構254介接於(例如提供一或多通訊通道、提供一通訊協定等等)主機系統102和RF控制器252之間。匯流排互連結構254包含安裝於主機系統102之內的一PSPI,其包括多個主機埠HP1到HP6。此外,匯流排互連結構254包含安裝於RF控制器252之內的一PSPI,其包括多個產生器埠GP1到GP6。
應注意的是,雖然顯示六個埠,在若干實施例中,RF控制器252或主機系統102包含任何數量(例如九、十、八等等)的埠。舉例來說,安裝在主機系統102之內的PSPI包含一埠HP7,其傳送一從動選擇訊號以選擇安裝於RF控制器252之內的PSPI,以允許提供資料(例如功率設定點、頻率設定點等等)至安裝於該RF控制器252之內的PSPI,或允許自安裝於RF控制器252之內的PSPI回讀資料(例如變量VR1到VR4等等)。作為另一範例,主機系統102的PSPI包含一埠HP8,其傳送一時脈訊號至安裝於RF控制器252之內的PSPI。作為又另一範例,主機系統 102的PSPI包含一埠HP9,其傳送地訊號至安裝在RF控制器252之內的PSPI或自該PSPI接收該地訊號。作為另一範例,安裝在RF控制器252之內的PSPI包含一埠GP7,其接收來自埠HP7的從動選擇訊號,以啟動傳送資料至安裝於主機系統102之內的PSPI或啟動接收來自安裝於主機系統102之內的PSPI的資料。作為另一範例,安裝在RF控制器252的PSPI包含一埠GP8,其接收來自安裝於主機系統102之內的PSPI的時脈訊號。作為又另一範例,安裝於RF控制器252之內的PSPI包含一埠GP9,其傳送地訊號至安裝在主機系統之內的PSPI或自該PSPI接收該地訊號。
在若干實施例中,埠HP1係一功率設定點串列資料輸出埠,埠HP2係一頻率設定點串列資料輸出埠,埠HP3係一功率回讀船列資料輸入埠,埠HP4係一頻率回讀串列資料輸入埠,埠HP5係一負載阻抗實部串列資料輸入埠,埠HP6係負載阻抗虛部串列資料輸入埠,埠HP7係從動選擇訊號埠,埠HP8係一時脈訊號埠,且埠HP9係地訊號埠。
在各種實施例中,埠GP1係功率設定點串列資料輸入埠,埠GP2係頻率設定點串列資料輸入埠,埠GP3係功率回讀串列資料輸出埠,埠GP4係頻率回讀串列資料輸出埠,埠GP5係負載阻抗實部串列資料輸出埠,埠GP6係負載阻抗虛部串列資料輸出埠,埠GP7係從動選擇訊號埠,埠GP8係時脈訊號埠,且埠GP9係地訊號埠。
埠HP1將一功率元件設定(例如功率量等等)傳送至埠GP1。此外,埠HP2將一頻率元件設定(例如頻率等等)傳送至埠GP2。此外,埠HP2到HP6接收(例如回讀、存取等等)隨時間變化的四個不同的變量VR1到VR4。舉例來說,複負載阻抗、複V&I、複電壓、複電流、複功率、複Γ等等具有正頻率。作為另一範例,實際上,頻率不是常數。舉例來說,一頻率具有隨時間改變頻率的標準差、變異數等等。在若干實施例中,將頻率維持不變。
埠GP3將功率回讀值(例如功率量等等)傳送至埠HP3。此外,埠GP4將頻率回讀值(例如頻率等等)傳送至主機系統102。
主機系統102的PSPI包含一取樣器電路256。取樣器電路 256係與主機系統102整合,例如配置在主機系統102的一整合電路之內。在一些實施例中,將一訊號取樣的各個埠包含一取樣器電路。舉例來說,埠HP3包含一取樣器電路,埠HP4包含一取樣器電路,埠HP5包含一取樣器電路,且埠HP6包含一取樣器電路,埠GP1包含一取樣器電路,且埠GP2包含一取樣器電路。
取樣器電路256於選定的時脈邊緣處(例如上升時脈邊緣、下降時脈邊緣等等)將在埠HP3到HP6處所接收的訊號(例如變量VR1到VR4等等)取樣。取樣器電路256將訊號取樣,以擷取操作狀態資料,例如電漿腔室128(圖1)、和RF產生器108、112、及/或116(圖1)的頻率、負載阻抗、變量V1到V4、複電壓、複電流、複V&I。藉由包含RF產生器控制器252的RF產生器的感測器(例如電壓及電流感測器、電壓感測器、電流感測器、功率感測器等等),將電漿腔室128的操作狀態資料加以偵測,例如量測、感測等等。在若干實施例中,藉由包含RF控制器252的RF產生器的DSP,將電漿腔室128的操作狀態資料(例如複Γ、複輸送功率等等)加以決定。在各種實施例中,RF產生器的操作狀態資料包含RF產生器所設定的頻率、功率等等。應注意到,主機系統102將一設定點(例如頻率設定點、功率設定點等等)提供至一RF產生器。當RF產生器接收一設定點之時,RF產生器基於該設定點設定功率及/或頻率。舉例來說,RF產生器在RF產生器的一儲存裝置中查詢一設定點,以決定與該設定點相關聯的(例如連結等等)驅動功率及/或頻率。將設定的功率及/或頻率(例如驅動功率及/或頻率等等)提供至RF產生器的一驅動器,以產生具有該功率及/或頻率的RF訊號。
在經由介於主機系統102和RF控制器252之間的通訊通道傳輸五個變量的實施例中,該五個變量的例子包含頻率、複前向功率、及複反射功率。複功率包含功率量及功率相位。五個變量的另一例子包含頻率、複電壓、及複電流。複電壓包含電壓量及電壓相位。複電流包含電流量及電流相位。在這些實施例中,將五條通訊通道使用於主機系統102的PSPI及RF控制器252的PSPI之間,以自RF控制器252的PSPI回讀五個 變量。
圖3A係實施例的時序圖300,描述與一時脈訊號同步之四個變量VR1、VR2、VR3、及VR4的取樣,例如讀取、存取等等。變量VR1係表示為SDI訊號304,變量VR2係表示為SDI訊號306,變量VR3係表示為SDI訊號308,且變量VR4係表示為SDI訊號310。變量VR1到VR4的例子包含頻率、功率、負載阻抗實部、及負載阻抗虛部。變量VR1到VR4的另一例子包含頻率、電壓量、電流量、及電壓與電流之間的相位。變量VR1到VR4的又另一例子包含頻率、輸送的功率量、及複Γ。變量VR1到VR4的另一例子包含頻率、輸送的功率量、及複負載阻抗。變量VR1到VR4的另一例子包含頻率及複前向功率,其包含電壓量、電流量、及電壓與電流之間的相位。變量VR1到VR4的又另一範例包含頻率及複反射功率,其包含電壓量、電流量、及電壓與電流之間的相位。變量VR1到VR4的另一例子包含頻率及複V&I,其包含電流量、電壓量、及電流與電壓之間的相位。
在一些實施例中,將變量VR1到VR4傳送於VMAP控制器208的PSPI和RF產生器控制器的PSPI之間。舉例來說,四個變量VR1到VR4係經由通訊通道C1、C3、C5、及C6和/或經由通訊通道C2、C4、C5、及C6而傳送於PSPI 210(圖2A)與PSPI 203(圖2A)之間。此外,作為另一範例,四個變量VR1到VR4係經由通訊通道C10、C12、C14、及C15和/或經由通訊通道C11、C13、C14、及C15而傳送於PSPI 212(圖2A)與PSPI 205(圖2A)之間。
時脈訊號302係傳送自一PSPI的RF CTRL-CLK埠的時脈訊號的例子。舉例來說,時脈訊號302係傳送自PSPI 210(圖2A)的RF CTRL-CLK埠。作為另一範例,時脈訊號302係傳送自PSPI 212(圖2A)的RF CTRL-CLK埠。
在若干實施例中,VMAP控制器208的PSPI,在時脈訊號302的各時脈週期的上升邊緣處,取樣SDI訊號304、306、308、及310。舉例來說,SDI訊號304、306、308、及310的位元,係在時脈訊號302的 一個時脈週期的上升邊緣312期間於PSPI 210(圖2A)的2MHz功率回讀SDI埠、2MHz頻率回讀SDI埠、2MHz-Z實部SDI埠、及2MHz-Z虛部SDI埠處加以取樣,且SDI訊號304、306、308、及310的其他位元,係在隨後的時脈訊號302的一時脈週期的上升邊緣314期間加以取樣。在若干實施例中,取代於上升邊緣312處取樣SDI訊號304、306、308、及310的位元,VMAP控制器208的PSPI係於時脈訊號302的各時脈週期的下降邊緣處取樣SDI訊號304、306、308、及310的位元。
在若干實施例中,RF控制器的PSPI係在時脈訊號302的各時脈週期的上升邊緣處傳送SDI訊號304、306、308、及310的位元。舉例來說,SDI訊號304、306、308、及310的位元係在時脈訊號302的一時脈週期的上升邊緣312期間傳送自PSPI 203(圖2A)的2MHz-功率回讀SDO埠、2MHz-頻率回讀SDO埠、2MHz-Z實部回讀SDO埠、及2MHz-Z虛部回讀SDO埠。在若干實施例中,取代在上升邊緣312處傳送SDI訊號304、306、308、及310的位元,PSPI 203在時脈訊號302的各時脈週期的下降邊緣處傳送SDI訊號304、306、308、及310。
與以一時脈訊號取樣或傳送一個變量相比,與時脈訊號302同步(例如同時等等)之SDI訊號304、306、308、及310的取樣或傳送係更有效率。
在各種實施例中,在時脈訊號302的一時脈週期的下降邊緣期間,將一變量的一位元(例如位元B1、位元B2、位元B3等等)藉由安裝在RF控制器252(圖2B)的PSPI加以設定(例如傳送等等),且在該時脈週期的上升邊緣期間,將該位元藉由主機系統102的PSPI加以鎖存(例如讀取等等)。舉例來說,在時脈訊號302的一時脈週期的下降邊緣期間,一變量的一位元係藉由PSPI 203(圖2A)的一個埠加以設定,且在該時脈週期的上升邊緣期間,該位元係藉由PSPI 210的一個埠加以鎖存。
在一些實施例中,在時脈訊號302的一時脈週期的上升邊緣期間,一變量的一位元係藉由安裝在RF控制器252(圖2B)之內的一PSPI的一個埠加以設定,且在該時脈週期的下降邊緣期間,該位元係藉由主機 系統102的一PSPI的一埠加以鎖存。
在若干實施例中,在時脈訊號302的一時脈週期的先前的邊緣(例如上升邊緣、下降邊緣等等)期間,一設定點的一位元係藉由安裝在主機系統102(圖2A)之內的一PSPI加以設定(例如傳送等等),且在下一邊緣(例如下降邊緣、上升邊緣等等)期間,該位元係藉由RF控制器252的一PSPI加以鎖存。舉例來說,在時脈訊號302的一時脈週期的下降邊緣期間,一變量的一位元係藉由PSPI 210(圖2A)的一埠加以設定,且在該時脈週期的上升邊緣期間,該位元係由PSPI 203的一埠加以鎖存。該先前邊緣係在該下一邊緣之前。
應注意的是,雖然圖3A係利用SDI訊號304、306、308、及310加以描述,在若干實施例中,經由通道C2、C4、C11、及C13(圖1)加以傳輸的SDO訊號係利用時脈訊號302加以取樣。
更應注意的是,雖然在圖3A中描述四個變量,在一些實施例中,可使用任何其他數量的變量,例如三個、五個、六個等等。
此外,在若干實施例中,各變量係一n位元變量,其中n係整數,例如12、13、14等等。在各種實施例中,一變量的資料傳送的頻率,係時脈訊號302的時脈頻率的幾分之一,例如二分之一、三分之一、四分之一等等。舉例來說,每二個時脈訊號302的時脈脈衝,將一變量的一資料位元加以傳送。作為另一範例,為傳送一變量的13個資料位元,使用26個時脈脈衝(例如邊緣等等)。一個時脈邊緣用以設定一資料位元,而另一時脈邊緣用以鎖存該資料位元。在一些實施例中,時脈訊號302係1MHz時脈訊號、2MHz時脈訊號等等。在各種實施例中,時脈訊號302具有低於500MHz的頻率。
圖3B係描述全平行資料傳送與PSP資料傳送之間的差異。如在平行鍊352中所顯示,資料係完全地平行傳送,其中各資料位元係在不同的通訊通道上傳送。舉例來說,當一變量具有13個位元且將四個變量傳送在主機系統102與RF控制器252之間時,需要52條通訊通道以在主機系統102與RF控制器252之間傳送四個變量VR1到VR4。此全平行傳 送在主機系統102與RF控制器252之上增加有效面積(real estate),例如半導體晶片表面面積、埠等等,且亦增加主機系統102與RF控制器252之間的有效面積,例如配線等等。並且,全平行傳送增加在平行鍊352上所傳送訊號的干擾、訊號完整性損耗等等。當一次(例如於單一時脈邊緣等等)將四個變量VR1到VR4的52個位元由RF產生器252傳送至主機系統102之時,將一全平行資料集加以傳送。
相對地,當以一次低於52個位元(例如以一時脈邊緣4個位元等等)在通訊通道上傳送四個變量之時,與全平行傳送相比使用較少數量的通道。舉例來說,一次使用四條通道以傳送四個位元。在全平行傳送中,使用52條通道以一次傳送52個位元。減少的通訊通道數量,縮小在主機系統102上和RF控制器252上所使用的有效面積,且也縮小在主機系統102與RF控制器252之間的有效面積。此外,減少的通訊通道數量,將通訊通道之中訊號的訊號完整性損失的機率、干擾的機率等等加以降低。
應注意到,當通過四條通訊通道傳送變量VR1到VR4之時,變量(例如變量VR1到VR4)的位元被取樣13次,以擷取變量VR1到VR4的所有52個位元。舉例來說,在一時脈邊緣取樣四個位元,每個變量一個位元,且在13個時脈邊緣取樣四個位元13次以取樣52個位元。當將四個位元於一時脈邊緣取樣時,所取樣的資料係少於52個位元,其為包含變量VR1至VR4的全平行資料集。
更應注意的是,此處所使用的數量,例如13、52等等,係僅用於說明目的,且不應理解為限制性的。舉例來說,取代13,可使用另一數量,例如10、11、12、14、15、16等等。
圖4A係主機系統400的一實施例的方塊圖,主機系統400係主機系統102(圖1和2)的一範例。主機系統400包含FPGA 402和微處理器404。應注意到,取代FPGA 402,可使用任何其他積體電路,例如ASIC等等。此外,取代微處理器404,可使用任何其他的積體電路,例如FPGA、ASIC等等。
FPGA 402包含一多SPI(MSPI,multiple SPI)406,其在此 處亦稱為PSPI。MSPI 406包含27引腳,其包含每一PSPI的9個引腳。舉例來說,MSPI 406包含PSPI 210、PSPI 212、及PSPI 214(圖2A)。MSPI 406自PSPI 203、205、及206(圖3A)接收資料,例如來自一SDO埠的功率回讀、來自一SDO埠的頻率回讀、來自一SDO埠的負載阻抗實部回讀、來自一SDO埠的負載阻抗虛部回讀、變量等等,且將資料傳送至軟核DSP 408及/或傳送至高速埠410。
軟核DSP 408包含RF傳輸模型,其為RF傳輸線130(圖1)的電腦產生模型。舉例來說,FPGA 402實現一電子電路,該電子電路包含元件,其為RF傳輸線130的電子元件,例如電容器、電感器等等。為了說明,當RF傳輸線130包含具有電感L亨利的電感器、及具有電容C法拉的電容器之時,軟核DSP 408包含具有電感L亨利的電感器及具有電容C法拉的電容器。此外,FPGA 402,以與將該等電子元件加以連接之方式相同的方式,例如串聯、並聯等等,連接該電子電路之內的元件。舉例來說,當在RF傳輸線130之內一電感器係與一電容器並聯時,軟核DSP 408包含與一電容器並聯的一電感器。
在若干實施例中,取代RF傳輸模型,將阻抗匹配模型、或阻抗匹配模型與RF傳輸模型的組合包含於軟核DSP 408之內。該阻抗匹配模型,係以與自RF傳輸線130產生RF傳輸模型相似的方式,基於阻抗匹配電路120(圖1)加以實現於FPGA 402之內。
由MSPI 406所接收的變量,係自MSPI 406經由高速埠410和高速匯流排412加以傳送至微處理器404的高速埠415。高速匯流排的例子包含一匯流排,其以500MHz、400MHz、300MHz、600MHz、介於5MHz和500MHz之間等等傳送資料。該等變量係經由高速埠415傳送至SDD邏輯塊416。一邏輯塊係一電腦程式,其藉由一個以上處理器加以執行,例如SDD邏輯塊416係由微處理器404加以執行。在一些實施例中,一邏輯塊係實現於一積體電路之內。
SDD邏輯塊416在一時窗(例如2微秒、10秒、5秒、5微秒等等)期間對經由高速埠415所接收的變量實施一統計變換以產生統 計資料。舉例來說,SDD邏輯塊416,由經由高速埠415所接收的變量產生平均、滾動變異數、中位數、眾數、標準差、最大值、最小值、四分位數間距(IQR,interquartile range)等等,以產生統計資料。為了說明,SDD邏輯塊416產生經由通訊通道C10所接收的多個功率值的平均。在此實例中,該多個功率值係在十微秒的時窗期間所接收。作為另一實例,SDD邏輯塊16產生經由通訊通道C5所接收之多個負載阻抗實部值的中位數。在此實例中,該多個負載阻抗值係在五微秒的時窗期間所接收。
作為另一實例,將一第一加權分派給在第一時窗中所接收的第一變量值(例如功率量、相位等等)的第一變異數。在此範例中,一第二加權係分派給在該第一時窗內所接收的第二變量的第二變異數,且依此類推直到將第N加權分派給在第一時窗內所接收的第N變量的第N變異數,其中N係大於零的整數。此外,在此實例中,第一時窗的第一滾動變異數係決定為一總和,該總和係第一加權與第一變異數的第一乘積、和第二加權與第二變異數的第二乘積、和依此類推直到第N加權與第N變異數的第N乘積的總和。應注意的是,在此實例中,由主機系統102的一PSPI自RF控制器252的一PSPI接收第N-1變量值,係在由該主機系統102的PSPI自該RF控制器252的PSPI接收第N變量之前。類似地,一第二時窗的第二滾動變異數係決定成第(N-M)加權與第(N-M)變異數的第(N-M)乘積、和依此類推直到第(N+P)加權與第(N+P)變異數的第(N+P)乘積的總和,其中P係大於零的整數。並且,在此實例中,第二時窗係與第一時窗重疊。決定統計資料以執行SDD的各種其他範例,係描述於美國專利暫時申請案第61/737,623號,其申請於西元2012年12月14日,名稱為"METHODS FOR COMPUTATION OF STATISTICS FOR STATISTICAL DATA DECIMATION",其全部內容藉由參照於此全部納入作為揭示內容的一部份。
在若干實施例中,SDD邏輯塊416刪除在該時窗期間所接收的一變量的除了該變量的統計數值之外的任何數值。舉例來說,SDD邏輯塊416在主機系統400內自儲存裝置清除負載阻抗虛部的除了中位數之 外的數值。作為另一範例,SDD邏輯塊416在主機系統400內自儲存裝置清除頻率的除了眾數之外的數值。作為又另一範例,在決定第一滾動變異數之後,從主機系統400的一個以上儲存裝置刪除第一至第N-M-1變量數值,其中M係小於N的整數。作為另一範例,在決定第二滾動變異數之後,可將第一滾動變異數自一個以上儲存裝置刪除。在若干實施例中,在主機系統102(圖1及2)之中沒有執行抽取(decimation)。在這些實施例中,將所有的變量數值儲存於主機系統102的一個以上儲存裝置之內、虛擬機器之內等等。
偏差補償模組418基於統計資料判定偏差量以補償該偏差。舉例來說,在判定統計數值係在預定範圍之外時,偏差補償模組418將統計數值調整至該範圍之內。
在若干實施例中,偏壓補償模組418基於經調整的統計數值決定一功率及頻率,且經由用以傳送設定點至RF控制器202、204、及206(圖2A)的高速埠415、高速匯流排412、高速埠410、MSPI 406、及通訊通道(例如C2、C4、C11、C13等等(圖2A)),以進行系統100(圖1)的即時控制。在各種實施例中,偏差補償模組418將基於經調整統計數值的該功率和頻率,經由VME通訊塊422提供至一RF產生器的一埠,例如乙太網路埠、EtherCAT埠、USB埠、平行埠、串列埠等等。
微處理器404包含事件/故障偵測模組420,其即時偵測系統100(圖1)之內(例如電漿腔室128、阻抗匹配電路120、RF傳輸線130、RF產生器108、112、116等等)的一事件,例如故障。舉例來說,在判定統計數值係在一預定範圍之外時,事件/故障偵測模組420判定在系統100之內已發生一事件。該事件發生的指示係從該事件/故障偵測模組420經由VME通訊塊422(例如乙太網路通訊塊、EtherCAT通訊塊、USB埠、網路介面控制器、串列埠、平行埠、2MHz Tx/Rx、27MHz Tx/Rx、60MHz Tx/Rx(圖1)等等)傳送至一個以上裝置,例如RF產生器108、RF產生器112、RF產生器116、一遠端電腦系統等等。遠端電腦系統的例子包含電腦、伺服器、處理器、行動電話、智慧型手機、平板電腦等等,其由一使用者加 以操作。該使用者在該遠端電腦系統的一顯示裝置(例如陰極射線管顯示器、液晶顯示裝置、發光二極體顯示裝置、電漿顯示裝置等等)上觀看該指示,且可決定採取行動以消除該故障。
圖4B係主機系統450的實施例的方塊圖,主機系統450係主機系統102(圖1)的另一範例。除了主機系統450包含微處理器452之外,主機系統450類似主機系統400(圖4A)。除了微處理器452包含一變量模組454之外,微處理器452係類似微處理器404(圖4A)。
變量模組454經由高速埠410、高速匯流排412、及高速埠415接收RF傳輸模型,且經由高速埠410、高速匯流排412、及高速埠415自MSPI 406接收變量,例如負載阻抗、複V&I、複電壓、複電流等等。變量模組454基於自MSPI 406所接收的變量及RF傳輸模型的特性(例如電容、阻抗等等)判定在軟核DSP 408的輸出處的變量,例如複V&I、複電壓、晶圓偏壓、離子能量、電漿電位、複電流、負載阻抗等等。舉例來說,當經由通訊通道C5和C6(圖2A)所接收的負載阻抗係Z1且RF傳輸模型的元件的阻抗係Z2之時,變量模組454判定在RF傳輸模型的輸出處的阻抗係Z1和Z2的定向和(directional sum)。作為另一範例,當經由三條通訊通道所接收的一複V&I係複V&I1且RF傳輸模型的複V&I係複V&I2之時,變量模組454判定在RF傳輸模型的輸出處的複V&I係V&I1與V&I2的定向和。
SDD邏輯塊416接收來自變量模組454的該等變量,且以類似於上述說明的方式由該等變量在時窗期間決定一統計數值。此外,偏差補償模組418接收來自SDD邏輯塊416的統計數值,且基於該統計數值決定適用於電漿腔室128(圖1)的偏差。舉例來說,在判定該統計數值係在一預定閾值之外時,偏差補償模組418將該統計數值調整成在該閾值之內。偏差補償模組418以與上述類似的方式將推導自該經調整統計數值的功率和頻率傳送至一個以上RF產生器控制器202、204、及206的一個以上PSPI(圖2A)。舉例來說,偏差補償模組418基於經調整統計數值決定一功率和頻率,且將該功率和頻率經由高速埠415、高速匯流排412、高速 埠410、MSPI 406、及通訊通道(例如C2、C4等等)提供至RF控制器202。作為另一範例,偏差補償模組418,將基於經調整統計數值的該功率和頻率,經由VME通訊塊422提供至一RF產生器的一埠,例如乙太網路埠、EtherCAT埠、USB埠、平行埠、串列埠等等。
事件/故障偵測模組420,基於接收自SDD邏輯塊416的統計數值,偵測系統100(圖1)之內的一事件。舉例來說,在判定該統計數值係在一預定閾值之外時,事件/故障偵測模組420判定一事件已在系統100之內發生。該事件發生的指示,係自事件/故障偵測模組420經由VME通訊塊422傳送至一個以上裝置,例如遠端電腦系統、RF產生器202、RF產生器204、RF產生器206(圖2A)等等。使用者在遠端電腦系統的顯示裝置上觀看該指示,且可決定採取行動消除該故障。
圖5係實施例的圖表502、504、及506的示圖,用以說明該等變量有助於判定一事件,例如電漿腔室128(圖1)之內的電漿未侷限狀態。其他事件的例子包含電弧、負載阻抗的變化、電漿腔室128的狀況的變化等等。
圖表502描繪晶圓偏壓相對於時間的圖形,該晶圓偏壓係在ESC處的偏壓。圖表502包含基於一模型的晶圓偏壓的曲線508,該模型係例如RF傳輸模型、阻抗匹配模型等等。此外,圖表502包含由一感測器所量測的晶圓偏壓的曲線510。應注意到,在圖表502中於曲線508及510之中的不連續點處可見到潛在的電漿未侷限狀態。
圖表504描繪,當2MHz RF產生器108(圖1)係運行的(例如通電等等)且其他RF產生器(例如27MHz RF產生器112(圖1)、60MHz RF產生器116(圖1)等等)係非運行的(例如斷電)之時,在一模型的輸出處所決定的均方根(RMS)電壓相對於時間的圖形。在圖表504中由曲線505的不連續可見到存在有潛在的電漿未侷限狀態。
圖表506相對於時間描繪在軟核DSP 408(圖4A)的輸出處的阻抗的量,描繪複電流的量(例如均方根等等),且描繪功率量。圖表506包含曲線512,其描繪相對於時間在軟核DSP 408的輸出處的阻抗量。 圖表506更包含曲線514,其相對於時間描繪在軟核DSP 408的輸出處所決定的複電流量。此外,圖表506包含曲線516,其相對於時間描繪在軟核DSP 408的輸出處所決定的功率量。基於三個RF參數的改變,例如軟核DSP 408的輸出處的阻抗量的增加、功率量的增加、及電流量的降低,判定一事件的發生,例如電漿未侷限。該事件係發生於該三個RF參數以上述方式改變的時間。
吾人注意到,雖然上述實施例係就平行板電漿腔室加以描述,在一個實施例中,上述實施例適用於其他類型的電漿腔室,例如包含電感式耦合電漿(ICP)反應器的電漿腔室、包含電子迴旋共振(ECR)反應器的電漿腔室等等。舉例來說,RF產生器108及RF產生器112係連接至ICP電漿腔室之內的一電感器。
應注意到,雖然上述實施例係關於將RF訊號提供至ESC的下電極且將上電極接地,在若干實施例中,將RF訊號提供至上電極而將ESC的下電極接地。
此處所述實施例可以各種電腦系統構造加以實施,包含手持式硬體單元、微處理器系統、基於微處理器或可程式消費性電子裝置、迷你電腦、主機電腦等等。該等實施例亦可在分散式計算環境中實施,其中多個工作係藉由透過網路鏈結的遠端處理硬體單元加以執行。
考慮到上述實施例,應理解的是該等實施例可使用涉及在電腦系統中所儲存資料的各種電腦實現操作。這些操作係使用物理量的物理性操作者。形成部分之實施例的此處所述的任何操作係有用的機械操作。該等實施例亦關於執行這些操作的硬體單元或設備。該設備可特別建構用於特殊用途電腦。當定義為特殊用途電腦,該電腦亦可執行非該特殊用途一部分的其他處理、程式執行或常式,而仍能夠用於該特殊用途操作。在若干實施例中,可藉由利用儲存於電腦記憶體、快取記憶體、或由網路取得的一個以上電腦程式選擇性啟動或設定的通用電腦,處理該等操作。當資料係通過網路取得,該資料可藉由網路上的其他電腦(例如雲端計算資源)加以處理。
一個以上實施例亦可製作為非暫時性電腦可讀媒體上(例如一儲存裝置)的電腦可讀碼。該非暫時性電腦可讀媒體係可儲存資料的任何資料儲存硬體單元,該資料之後可由電腦系統讀出。非暫時性電腦可讀媒體的範例包含硬碟、網路附接儲存器(NAS)、ROM、RAM、光碟唯讀記憶體(CD-ROM)、可錄CD(CD-R)、可重寫CD(CD-RW)、磁帶、及其他光學和非光學資料儲存硬體單元。非暫時性電腦可讀媒體可包含分布於網路連接電腦系統上的電腦可讀有形媒體,使得電腦可讀碼被分散式地儲存和執行。
雖然上述操作以特定的順序描述,應理解的是其他內務處理操作可在該等操作之間加以執行,或者可調整操作,使得該等操作在些許不同的時間發生,或者可分布於一系統之中,該系統允許在各種與處理相關聯的時間間隔處理操作的發生,只要重疊操作的處理係以所欲的方式執行。
來自任何實施例的一個以上特徵,可與任何其他實施例的一個以上特徵結合,而不偏離本揭露內容之各種實施例所述之範疇。
雖然前述實施例以清楚理解為目的而相當程度詳細地加以描述,顯而易見的是,在隨附申請專利範圍的範疇之內可實施某些變化和修改。因此,本實施例係視為例示性而非限制性,且該等實施例係不限定於此處所提供的細節,而是可在隨附申請專利範圍的範疇和均等者之內加以修改。
102‧‧‧主機系統
250‧‧‧系統
252‧‧‧控制器
254‧‧‧匯流排互連結構
256‧‧‧取樣器電路

Claims (23)

  1. 一種匯流排互連結構,用於將一主機系統介接至與一電漿腔室連接的一射頻(RF)產生器,該匯流排互連結構包含:多個主機埠,其中該主機系統的第一埠和第二埠係用以將一功率元件設定及一頻率元件設定提供至該RF產生器,且該主機系統的第三、第四、第五、及第六埠係用於接收隨時間變化的四個不同的變量,且該主機系統的第七埠係用以提供一時脈訊號至該RF產生器;多個產生器埠,用以將一功率回讀數值及一頻率回讀數值傳送至該主機系統;一取樣器電路,其係與該主機系統整合,該取樣器電路建構成於選定的時脈邊緣在該主機系統的該第三、第四、第五、及第六埠取樣訊號,以擷取該RF產生器及該電漿腔室的操作狀態資料;及多條通訊通道,將該多個主機埠連接至該多個產生器埠,該多條通訊通道包括:一第一通道,將該主機系統的該第一埠連接至該RF產生器的一第一埠,以促成該功率元件設定從該主機系統的該第一埠傳遞至該RF產生器的該第一埠;一第二通道,將該主機系統的該第二埠連接至該RF產生器的一第二埠,以促成該頻率元件設定從該主機系統的該第二埠傳遞至該RF產生器的該第二埠;一第三通道,將該主機系統的該第三埠連接至該RF產生器的一第三埠,以促成該功率回讀數值從該RF產生器的該第三埠傳遞至該主機系統的該第三埠;一第四通道,將該主機系統的該第四埠連接至該RF產生器的一第四埠,以促成該頻率回讀數值從該RF產生器的該第四埠傳遞至該主機系統的該第四埠;一第五通道,將該主機系統的該第五埠連接至該RF產生器的一第五埠,以促成該操作狀態資料的一第一部分從該RF產生器的該第五埠傳遞至該主機系統的該第五埠;一第六通道,將該主機系統的該第六埠連接至該RF產生器的一第 六埠,以促成該操作狀態資料的一第二部分從該RF產生器的該第六埠傳遞至該主機系統的該第六埠;一第七通道,用以促成該時脈訊號從該主機系統的該第七埠傳遞至該RF產生器的一第七埠,以使該RF產生器的操作與該主機系統同步,其中該功率元件設定及該頻率元件設定的傳遞,促成一RF電訊號由與該電漿腔室連接之該RF產生器加以產生。
  2. 如申請專利範圍第1項的匯流排互連結構,其中在該主機系統的該第三、第四、第五、及第六埠所取樣的該等訊號被重取樣一設定的次數,以擷取一全平行資料集。
  3. 如申請專利範圍第1項的匯流排互連結構,其中於該等選定的時脈邊緣所取樣的該等訊號係少於一全平行資料集。
  4. 如申請專利範圍第1項的匯流排互連結構,其中該功率元件設定包含一功率量,且該頻率元件設定包含一頻率值。
  5. 如申請專利範圍第1項的匯流排互連結構,其中該等變量包含:頻率、功率、負載阻抗實部、及負載阻抗虛部;或頻率、電壓量、電流量、及電壓與電流之間的相位;或頻率、輸送的功率量、及複Γ(gamma);或頻率、輸送的功率量、及複負載阻抗;或頻率、及複前向功率;或頻率、及複反射功率;或頻率、及複電壓與電流。
  6. 如申請專利範圍第1項的匯流排互連結構,其中該功率回讀數值包含一功率量,且該頻率回讀數值包含一頻率量。
  7. 如申請專利範圍第1項的匯流排互連結構,其中該取樣器電路係位於該 主機系統之內。
  8. 如申請專利範圍第1項的匯流排互連結構,其中該等選定的時脈邊緣包含上升時脈邊緣或下降時脈邊緣。
  9. 如申請專利範圍第1項的匯流排互連結構,其中該等變量其中一者的一訊號的一位元,係在一下降時脈邊緣期間加以設定且在一上升邊緣期間加以鎖存。
  10. 如申請專利範圍第1項的匯流排互連結構,其中該操作狀態資料包含:指示在該電漿腔室之內是否有電漿未侷限狀態的資料、指示在該電漿腔室內是否有電弧的資料、或其組合。
  11. 如申請專利範圍第1項的匯流排互連結構,其中該操作狀態資料係藉由該RF產生器的一感測器加以偵測。
  12. 如申請專利範圍第1項的匯流排互連結構,更包含多條通訊通道,將該RF產生器及二個額外的RF產生器連接至該主機系統,各RF產生器經由九條通訊通道連接至該主機系統。
  13. 一種匯流排互連結構,用於將一主機系統介接至與一電漿腔室連接的一射頻(RF)產生器,該匯流排互連結構包含:多個主機埠,其中該主機系統的第一埠和第二埠係用以將一功率元件設定及一頻率元件設定提供至該RF產生器,且該主機系統的第三、第四、第五、及第六埠係用於接收隨時間變化的四個不同的變量;多個產生器埠,其中該RF產生器的第一埠和第二埠係用以將一功率回讀數值及一頻率回讀數值傳送至該主機系統;及一取樣器電路,其係與該主機系統整合,該取樣器電路建構成於選定的時脈邊緣在該主機系統的該第三、第四、第五、及第六埠取樣訊號,以擷取該RF產生器及該電漿腔室的操作狀態資料, 其中該主機系統的第七埠係用以提供一從動選擇訊號,用以選擇該RF產生器以提供資料至該RF產生器或自該RF產生器讀取資料,其中該主機系統的第八埠係用以提供一時脈訊號至該RF產生器,其中該主機系統的第九埠係用以與該RF產生器連通一地訊號。
  14. 一種匯流排互連結構,用於將一主機系統介接至與一電漿腔室連接的一射頻(RF)產生器,該匯流排互連結構包含:多個主機埠,其中該主機系統的第一埠和第二埠係用以將一功率元件設定及一頻率元件設定提供至該RF產生器,且該主機系統的第三、第四、第五、及第六埠係用於接收隨時間變化的四個不同的變量;多個產生器埠,其中該RF產生器的第一埠和第二埠係用以將一功率回讀數值及一頻率回讀數值傳送至該主機系統;及一取樣器電路,其係與該主機系統整合,該取樣器電路建構成於選定的時脈邊緣在該主機系統的該第三、第四、第五、及第六埠取樣訊號,以擷取該RF產生器及該電漿腔室的操作狀態資料,其中該主機系統的該第一埠係一功率設定點串列資料輸出埠,其中該主機系統的該第二埠係一頻率設定點串列資料輸出埠,其中該主機系統的該第三埠係一功率回讀串列資料輸入埠,其中該主機系統的該第四埠係一頻率回讀串列資料輸入埠,其中該主機系統的該第五埠係一負載阻抗實部串列資料輸入埠,且其中該主機系統的該第六埠係一負載阻抗虛部串列資料輸入埠。
  15. 一種匯流排互連結構,用於將一主機系統介接至與一電漿腔室連接的射頻(RF)產生器,該匯流排互連結構包含:一第一組主機埠,該第一組主機埠係用以將一功率元件設定及一頻率元件設定提供至該RF產生器,且該第一組主機埠係用以接收隨時間變化的多個不同的變量;一第二組產生器埠,用以將一功率回讀數值及一頻率回讀數值傳送至該主機系統;一取樣器電路,其係與該主機系統整合,該取樣器電路建構成於選定 的時脈邊緣在該第一組主機埠處取樣訊號,以擷取該RF產生器及該電漿腔室的操作狀態資料;及多條通訊通道,將該第一組主機埠連接至該第二組產生器埠,該多條通訊通道包括:一第一通道,將該第一組主機埠的一第一埠連接至該第二組產生器埠的一第一埠,以促成該功率元件設定從該第一組主機埠的該第一埠傳遞至該第二組產生器埠的該第一埠;一第二通道,將該第一組主機埠的一第二埠連接至該第二組產生器埠的一第二埠,以促成該頻率元件設定從該第一組主機埠的該第二埠傳遞至該第二組產生器埠的該第二埠;一第三通道,將該第一組主機埠的一第三埠連接至該第二組產生器埠的一第三埠,以促成該功率回讀數值從該第二組產生器埠的該第三埠傳遞至該第一組主機埠的該第三埠;一第四通道,將該第一組主機埠的一第四埠連接至該第二組產生器埠的一第四埠,以促成該頻率回讀數值從該第二組產生器埠的該第四埠傳遞至該第一組主機埠的該第四埠;其中,經由該第一通道之該功率元件設定的傳遞及經由該第二通道之該頻率元件設定的傳遞,促成一RF電訊號由與該電漿腔室連接之該RF產生器加以產生。
  16. 如申請專利範圍第15項的匯流排互連結構,其中將在該第一組主機埠處所取樣的該等訊號加以重取樣一設定的次數,以擷取一全平行資料集。
  17. 如申請專利範圍第15項的匯流排互連結構,其中於該等選定的時脈邊緣所取樣的該等訊號係少於一全平行資料集。
  18. 如申請專利範圍第15項的匯流排互連結構,其中該等變量包含:頻率、功率、負載阻抗實部、及負載阻抗虛部;或頻率、電壓量、電流量、及電壓與電流之間的相位;或頻率、輸送的功率量、及複Γ;或 頻率、輸送的功率量、及複負載阻抗;或頻率、及複前向功率;或頻率、及複反射功率;或頻率、及複電壓與電流;或頻率、複前向功率、及複反射功率;或頻率、複電壓、及複電流。
  19. 一種電漿系統,包含:一主機系統,用於提供資料訊號;一射頻(RF)產生器,連接至該主機系統,該RF產生器係用以基於該等資料訊號產生一RF電訊號;一阻抗匹配電路,用於將該RF產生器的阻抗與一電漿腔室的阻抗匹配;一RF傳輸線,將該阻抗匹配電路連接至該電漿腔室;一匯流排介面,將該主機系統連接至該RF產生器,該匯流排介面包含:一第一組主機埠,該第一組主機埠係用以將一功率元件設定及一頻率元件設定提供至該RF產生器,且該第一組主機埠係用以接收隨時間變化的多個不同的變量;一第二組產生器埠,用以將一功率回讀數值及一頻率回讀數值傳送至該主機系統;及一取樣器電路,其係與該主機系統整合,該取樣器電路建構成於選定的時脈邊緣在該第一組主機埠處取樣訊號,以擷取該RF產生器及該電漿腔室的操作狀態資料,及多條通訊通道,將該第一組主機埠連接至該第二組產生器埠,該多條通訊通道包括:一第一通道,將該第一組主機埠的一第一埠連接至該第二組產生器埠的一第一埠,以促成該功率元件設定從該第一組主機埠的該第一埠傳遞至該第二組產生器埠的該第一埠;一第二通道,將該第一組主機埠的一第二埠連接至該第二組產生器埠的一第二埠,以促成該頻率元件設定從該第一組主機埠的該第二 埠傳遞至該第二組產生器埠的該第二埠;一第三通道,將該第一組主機埠的一第三埠連接至該第二組產生器埠的一第三埠,以促成該功率回讀數值從該第二組產生器埠的該第三埠傳遞至該第一組主機埠的該第三埠;一第四通道,將該第一組主機埠的一第四埠連接至該第二組產生器埠的一第四埠,以促成該頻率回讀數值從該第二組產生器埠的該第四埠傳遞至該第一組主機埠的該第四埠;其中,經由該第一通道之該功率元件設定的傳遞及經由該第二通道之該頻率元件設定的傳遞,促成一RF電訊號由與該電漿腔室連接之該RF產生器加以產生。
  20. 如申請專利範圍第19項的電漿系統,其中將在該第一組主機埠處所取樣的該等訊號加以重取樣一設定的次數,以擷取一全平行資料集。
  21. 如申請專利範圍第19項的電漿系統,其中於該等選定的時脈邊緣所取樣的該等訊號係少於一全平行資料集。
  22. 如申請專利範圍第19項的電漿系統,其中該等變量包含:頻率、功率、負載阻抗實部、及負載阻抗虛部;或頻率、電壓量、電流量、及電壓與電流之間的相位;或頻率、輸送的功率量、及複Γ(gamma);或頻率、輸送的功率量、及複負載阻抗;或頻率及複前向功率;或頻率及複反射功率;或頻率、及複電壓與電流;或頻率、複前向功率、及複反射功率;或頻率、複電壓、及複電流。
  23. 如申請專利範圍第19項的電漿系統,其中該主機系統包含一統計資料抽取模組,該統計資料抽取模組係用於基於該等變量計算統計資料,該統 計資料抽取模組刪除非該統計資料的變量資料。
TW102146188A 2012-12-14 2013-12-13 改進電漿系統中資料之傳送率 TWI609269B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/715,942 US9043525B2 (en) 2012-12-14 2012-12-14 Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool

Publications (2)

Publication Number Publication Date
TW201443656A TW201443656A (zh) 2014-11-16
TWI609269B true TWI609269B (zh) 2017-12-21

Family

ID=50908968

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102146188A TWI609269B (zh) 2012-12-14 2013-12-13 改進電漿系統中資料之傳送率

Country Status (5)

Country Link
US (1) US9043525B2 (zh)
KR (1) KR20140077867A (zh)
CN (1) CN103870420B (zh)
SG (1) SG2013092978A (zh)
TW (1) TWI609269B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI827454B (zh) * 2022-04-07 2023-12-21 美商Mks儀器有限公司 即時、非侵入式iedf電漿感測器

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9620334B2 (en) * 2012-12-17 2017-04-11 Lam Research Corporation Control of etch rate using modeling, feedback and impedance match
US10191466B2 (en) 2015-01-28 2019-01-29 Lam Research Corporation Systems and methods for synchronizing execution of recipe sets
EP3091559A1 (en) * 2015-05-05 2016-11-09 TRUMPF Huettinger Sp. Z o. o. Plasma impedance matching unit, system for supplying rf power to a plasma load, and method of supplying rf power to a plasma load
US10248612B2 (en) 2015-09-30 2019-04-02 Skyworks Solutions, Inc. Internal serial interface
CN111868873B (zh) 2017-11-17 2023-06-16 先进工程解决方案全球控股私人有限公司 等离子体处理源和衬底偏置的同步的脉冲化
CN111788655B (zh) 2017-11-17 2024-04-05 先进工程解决方案全球控股私人有限公司 对等离子体处理的离子偏置电压的空间和时间控制
TWI767088B (zh) * 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
JP2019186098A (ja) * 2018-04-12 2019-10-24 東京エレクトロン株式会社 プラズマを生成する方法
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20220031713A (ko) 2019-07-12 2022-03-11 에이이에스 글로벌 홀딩스 피티이 리미티드 단일 제어식 스위치를 갖는 바이어스 공급부
WO2022177846A1 (en) * 2021-02-22 2022-08-25 Advanced Energy Industries, Inc. Integrated control of a plasma processing system
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200838181A (en) * 2007-03-14 2008-09-16 Mks Instr Inc Multipoint voltage and current probe system
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
CN101971039A (zh) * 2007-12-31 2011-02-09 先进能源工业公司 用于监视rf功率的特性的系统、方法和装置
US20120000888A1 (en) * 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing
US20120103972A1 (en) * 2009-09-29 2012-05-03 Toshiyuki Okajima High-frequency heating device and high-frequency heating method

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US6887339B1 (en) 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6417732B1 (en) * 2001-04-06 2002-07-09 Eni Technology, Inc. Controller for RF power generator with reduced cable length sensitivity
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6826456B1 (en) * 2001-05-04 2004-11-30 Rlx Technologies, Inc. System and method for controlling server chassis cooling fans
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
CN1305353C (zh) 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US7910013B2 (en) * 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) * 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
CN101630624B (zh) * 2003-12-18 2011-10-26 应用材料公司 双频rf匹配
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
CN100530529C (zh) * 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8289029B2 (en) 2008-02-14 2012-10-16 Mks Instruments, Inc. Application of wideband sampling for arc detection with a probabilistic model for quantitatively measuring arc events
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20100099266A1 (en) 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
TWI531023B (zh) 2009-11-19 2016-04-21 蘭姆研究公司 電漿處理系統之控制方法及設備
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8314561B2 (en) * 2010-04-02 2012-11-20 Mks Instruments, Inc. Multi-channel radio frequency generator
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
TW200838181A (en) * 2007-03-14 2008-09-16 Mks Instr Inc Multipoint voltage and current probe system
CN101971039A (zh) * 2007-12-31 2011-02-09 先进能源工业公司 用于监视rf功率的特性的系统、方法和装置
US20120103972A1 (en) * 2009-09-29 2012-05-03 Toshiyuki Okajima High-frequency heating device and high-frequency heating method
US20120000888A1 (en) * 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI827454B (zh) * 2022-04-07 2023-12-21 美商Mks儀器有限公司 即時、非侵入式iedf電漿感測器

Also Published As

Publication number Publication date
CN103870420A (zh) 2014-06-18
KR20140077867A (ko) 2014-06-24
TW201443656A (zh) 2014-11-16
CN103870420B (zh) 2017-04-12
SG2013092978A (en) 2014-07-30
US9043525B2 (en) 2015-05-26
US20140173158A1 (en) 2014-06-19

Similar Documents

Publication Publication Date Title
TWI609269B (zh) 改進電漿系統中資料之傳送率
US10536183B2 (en) Dual push between a host computer system and an RF generator
CN104160789B (zh) 在等离子体处理系统中同步rf脉冲的方法和装置
TWI620471B (zh) 射頻傳輸模型之一變數値的決定
EP3085028B1 (en) Adjustable data rates
WO2005002032A2 (en) Integrated circuit devices having on-chip adaptive bandwidth buses and related methods
TWI668725B (zh) 使用模型化、回授及阻抗匹配之蝕刻速率的控制
US20220077946A1 (en) Technologies for high-precision timestamping of packets
KR20230171417A (ko) 레시피 세트들의 실행을 동기화하기 위한 시스템들 및 방법들
TWI488048B (zh) 通用串列匯流排主機控制器及其排程方法
TWI606344B (zh) 用於鏈接層與實體層間之串列互連通訊的數位不歸零反轉信號技術
TWI650563B (zh) 用於虛擬量測之電纜功率損失決定
TW201511075A (zh) 將電漿系統內之模型加以分段
JP2017037831A5 (zh)
Perrett et al. A simple ethernet stack implementation in vhdl to enable fpga logic reconfigurability
CN114966345B (zh) 一种高频电流局放信号采样装置及方法
CN110366690A (zh) 集成电路以及用于测量距离的系统
US9785203B1 (en) Flex cable interface
TWI574161B (zh) 資料傳收系統
Kuo et al. Design Optimization of High Density Fine Line Substrate Package Using Bandwidth Analysis
TWI475395B (zh) 手持式電子裝置及其資料傳輸方式
TW201813314A (zh) 時脈資料回復模組