TWI595596B - 用於蝕刻低介電常數介電材料之非等向性材料損壞製程 - Google Patents
用於蝕刻低介電常數介電材料之非等向性材料損壞製程 Download PDFInfo
- Publication number
- TWI595596B TWI595596B TW104120480A TW104120480A TWI595596B TW I595596 B TWI595596 B TW I595596B TW 104120480 A TW104120480 A TW 104120480A TW 104120480 A TW104120480 A TW 104120480A TW I595596 B TWI595596 B TW I595596B
- Authority
- TW
- Taiwan
- Prior art keywords
- dielectric material
- conductive
- recess
- damaged portion
- layer
- Prior art date
Links
- 239000003989 dielectric material Substances 0.000 title claims description 67
- 238000000034 method Methods 0.000 title claims description 67
- 230000008569 process Effects 0.000 title claims description 38
- 239000000463 material Substances 0.000 title claims description 32
- 238000005530 etching Methods 0.000 title description 11
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 25
- 239000004065 semiconductor Substances 0.000 claims description 24
- 229910052799 carbon Inorganic materials 0.000 claims description 23
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 18
- 229910052802 copper Inorganic materials 0.000 claims description 18
- 239000010949 copper Substances 0.000 claims description 18
- 230000005855 radiation Effects 0.000 claims description 18
- 239000003463 adsorbent Substances 0.000 claims description 14
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 9
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 5
- 239000004020 conductor Substances 0.000 claims description 5
- 229910052760 oxygen Inorganic materials 0.000 claims description 5
- 239000001301 oxygen Substances 0.000 claims description 5
- 238000001039 wet etching Methods 0.000 claims description 5
- 229910021529 ammonia Inorganic materials 0.000 claims description 4
- 238000004519 manufacturing process Methods 0.000 claims description 4
- 229910017052 cobalt Inorganic materials 0.000 claims 1
- 239000010941 cobalt Substances 0.000 claims 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 claims 1
- 229910052751 metal Inorganic materials 0.000 description 32
- 239000002184 metal Substances 0.000 description 32
- 238000001465 metallisation Methods 0.000 description 30
- 239000000376 reactant Substances 0.000 description 11
- 239000007789 gas Substances 0.000 description 7
- 150000002500 ions Chemical class 0.000 description 6
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 5
- 229920002120 photoresistant polymer Polymers 0.000 description 5
- 239000000758 substrate Substances 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910000420 cerium oxide Inorganic materials 0.000 description 4
- 238000000151 deposition Methods 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 230000008054 signal transmission Effects 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 238000006664 bond formation reaction Methods 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 238000005247 gettering Methods 0.000 description 1
- 230000005764 inhibitory process Effects 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 230000005693 optoelectronics Effects 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 239000011295 pitch Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000002594 sorbent Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/7685—Barrier, adhesion or liner layers the layer covering a conductive structure
- H01L21/76852—Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5222—Capacitive arrangements or effects of, or between wiring layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53214—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
- H01L23/53223—Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
- H01L23/53266—Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
本發明揭示的主要目的一般地是關於半導體裝置的製造,並且尤其是關於用於蝕刻低介電常數材料的非等向性材料損壞製程。
在現代積體電路中,最小特徵尺寸,諸如場效電晶體的通道長度,已經達到深次微米範圍,從而就速度及/或功率消耗及/或電路功能的多樣性方面穩定地增加這些電路的效能。當該個別的電路元件的尺寸顯著地減少時,從而改善例如該電晶體元件的切換速度,用於電性連接該個別的電路元件的內連線線路的可利用的表面間距(floor space)也同時減少。因此,這些內連線線路的尺寸及在金屬線路之間的間距必須減少,以補償可利用的表面間距的減少數量以及補償每個單元面積所提供的電路元件的增加數目。
在此類現代的積體電路中,裝置效能的限定因素在於通過該電晶體元件的切換速度所造成的信號傳
遞延遲。當這些電晶元件的通道長度現在已經達到50奈米或更小時,該信號傳遞延遲不再由該場效電晶體所限定。反而,因為該線路對線路電容值(C)增加並且該線路的電阻值(R)也由於本身縮減的截面面積而增加,該信號傳遞延遲是由於藉著該內連線線路的增加的電路密度所限定。因此,該寄生RC時間常數及在相鄰的金屬線路之間的電容耦合需要導入用於形成金屬化層的新類型的材料。
傳統上,金屬化層(意即,依據特定的電路佈局,包含金屬線路及用於提供該電路元件的電性連接的導孔的線路層)為通過以鋁作為典型金屬的包含例如二氧化矽及/或氮化矽的介電層堆疊而形成。由於鋁在具有極端比例的特徵尺寸的積體電路中所必要的較高電流密度下會遭受顯著的電遷移,所以鋁將由例如銅所取代,銅具有顯著較低的電性電阻及抗拒電遷移的較高抵抗性。對於高度複雜的應用,除了使用銅及/或銅合金之外,廣為接受且已知的介電材料二氧化矽(k4.2)及氮化矽(k>7)可以大量由所謂的具有相對介電常數接近3.0或更低的低介電常數材料所取代。然而,從已知且廣為接受的鋁/二氧化矽金屬化層至可能與低介電常數材料組合的銅基金屬化層的轉移將衍生許多待處理的問題。
例如,銅藉由廣為接受的沉積方法無法以有效率的方式沉積相對高的數量,諸如化學及物理氣相沉積。再者,銅不能藉由廣為接受的非等向性蝕刻製程而有效率地圖案化。因此,所謂的鑲嵌或嵌刻技術為時常使用
于形成包含銅線路及導孔的金屬化層。通常,在該鑲嵌技術中,該介電層經由沉積並且接著圖案化用於容納溝槽及導孔開孔,該溝槽及導孔開孔接著通過鍍膜方法,諸如電鍍或無電電鍍(化鍍),以銅或合金填覆。再者,由於銅穩定地擴散於複數個介電物中,諸如二氧化矽及多種低介電常數介電物中,擴散阻障層在與相鄰的介電材料的介面處的形成可能是需要的。再者,由於銅穩定地反應以形成氧化部分,從而可能惡化該銅基材料線路關於接著性、傳導性及對抗電遷移的抵抗性的特性,水氣及氧的擴散進入該銅基材料必須受到抑制。
在傳導材料,諸如銅,的填覆進入該溝槽及導孔開孔期間,必須提供顯著程度的過填(overfill),以從底部至頂部可靠地填覆該對應的開孔而沒有空隙及其它沉積相關的不平整。因此,在該金屬沉積製程之後,過多的材料可能必須移除並且最終表面輪廓將受到平坦化,例如,藉由使用電化學蝕刻技術、化學機械研磨(CMP,chemical mechanical polishing)及類似製程。例如,在化學機械研磨製程期間,顯著程度的機械應力可能施加至目前所形成的金屬化平面,該應力可能造成結構損壞至特定程度,尤其當使用降低的介電常數的複雜的介電材料時。如同之前所解釋的,在相鄰金屬線路之間的該電容耦合對於該半導體裝置的整體效能可能具有顯著的影響,尤其在金屬化平面上,該金屬線路實質上為“電容驅動”,意即,其中依據裝置需求必須提供複數個接近地間隔配置的金屬
線路。從而可能造成信號傳遞延遲及在相鄰金屬線路之間的信號干擾。基於這個理由,可以使用所謂的低介電常數材料或超低介電常數(ULK,ultra-low-k)材料,該材料可以提供3.0及更低的介電常數以增強該金屬化平面的整體的電性效能。另一方面,通常,該介電材料的降低的介電常數會衍生降低的機械穩定性,該情況需要複雜的圖案化格式以便不會過度地惡化該金屬化系統的可靠度。
然而,該特徵尺寸的持續減少,具有接近40奈米或更小的閘極長度,可能需要對應的介電材料的甚至更少的介電常數。基於這項理由,至少在臨界的裝置面積處已經有人提出導入“空氣間隙(air gaps)”,由於空氣或類似的氣體可以具有接近1.0的介電常數。
空氣間隙可以在相對於該金屬線路以下至特定深度的考量下,通過選擇性地蝕刻該金屬化層的該介電材料而形成。因此,自我對準技術可以通過使用在該金屬線路及該介電常數或超低介電常數材料之間的蝕刻選擇性而達成。然而,對於低介電常數或超低介電常數材料的蝕刻製程是複雜的。現有的蝕刻製程使用反應性離子電漿蝕刻製程(例如,使用NH3)。雖然該反應性離子蝕刻某些程度上是自我對準的,當該蝕刻進行時,仍然具有造成該金屬線路的底切的特性的非等向因素。此外,該反應性離子電漿蝕刻製程可能損壞形成在該金屬線路上方以避免該金屬的電遷移進入該介電材料內的覆蓋層,從而在該金屬線路及該覆蓋層之間的介面處造成可靠度問題。在該金屬
線路的角落區域內,該覆蓋層覆蓋圍繞該金屬線路的線路層的邊緣。針對該覆蓋層的損壞可能造成角落形成圓弧狀及來自缺陷導入至該線路內所衍生的額外的可靠度問題。
本發明是關於用於形成氣體間隙於金屬線路結構內的各種方法以便消除或減少上述所定義的一個或一個以上的問題的效應。
下文呈現本發明的簡單概述以提供本發明的某些目的的基本瞭解。本概述並非本發明的詳盡概觀。該概述並非意在界定本發明的特徵或關鍵要件或描述本發明的範疇。本概述的單純目的在於以簡化的形成呈現某些概念以作為後續討論的較詳細說明的序言。
一般而言,本發明揭示是關於形成傳導線路空氣間隙的各種方法。其中一種說明的方法包含形成遮罩層於介電材料上方或之內。該介電材料曝露在環境大氣中的光子輻射上,以產生該介電材料的損壞部分,其中該環境大氣包括碳吸附劑。該遮罩層阻擋該光子輻射。該介電材料的損壞部分將移除。
本發明的另一個說明的方法包含形成配置於第一介電材料內的複數個傳導線路。該第一介電材料曝露在環境大氣中的光子輻射上,以產生該第一介電材料的損壞部分,其中該環境大氣包括碳吸附劑。該傳導線路阻擋該光子輻射。該第一介電材料的損壞部分將移除以定義在該傳導線路之間的凹處。覆蓋層為形成在該傳導線路上
方而不填覆該凹處以定義在該傳導線路之間的空氣間隙。第二介電材料形成於該覆蓋層上方。
本發明的又一個說明的方法包含形成圖案化遮罩層於介電材料上方。該介電材料曝露在環境大氣中的光子輻射上,以產生該介電材料的損壞部分,其中該環境大氣包括碳吸附劑。該遮罩層阻擋該光子輻射。該介電材料的損壞部分將移除以定義在該介電材料內的凹處。該凹處將以傳導材料填覆。
100‧‧‧半導體裝置
105‧‧‧基板
110‧‧‧金屬化系統
115、120‧‧‧金屬化層
125、135‧‧‧介電材料
130‧‧‧材料層
137‧‧‧損壞區域
140‧‧‧硬式遮罩層
145‧‧‧開孔
150‧‧‧反應物光子曝光製程
152‧‧‧凹處
155A、155B‧‧‧傳導線路
160‧‧‧線路層
165‧‧‧金屬填覆材料
170、175‧‧‧覆蓋層
180‧‧‧硬式遮罩層
185‧‧‧第二反應物光子曝光製程
187‧‧‧損壞部分
188‧‧‧凹處
190‧‧‧覆蓋層
195‧‧‧介電層
本發明揭示通過參考結合附圖的下列說明將會瞭解,其中相同的元件符號定義類似的元件,並且其中:第1A至1K圖為描繪用於使用反應物光子曝光及蝕刻製程而形成空氣間隙結構的說明技術的截面圖。
雖然本發明在此所揭露的目的可輕易做各種修正及替代形式,本發明的特定實施例已經通過在該圖中的例子做呈現並且在此詳細說明。然而,應該要瞭解的是,在此說明的特定實施例並非意在限定本發明於所揭露的特定形式,而相反地,是意在含括落在通過附加的申請專利範圍所定義的本發明的精神及範疇之內的所有的修正、等同及替換。
本發明的各種說明的實施例於下文做描述。為了敍述明確的目的,並非所有實際實現的特徵將於
本說明書中做描述。當然將會瞭解的是,在任何此類實際實施例的發展中,必須做到各種特定實現的決定以達到該開發者的特定目標,諸如遵守系統相關的及業務相關的限制,該決定將隨著其中一個實現至另一個而變化。再者,將會瞭解的是,此類發展的努力可能是複雜的及耗時的,但是儘管如此對於一般熟習該項技藝的人士在瞭解本發明揭露的優點後,將是例行性的任務。
本發明的目的今將參考附加的圖式而做描述。各種結構、系統及裝置僅為了解釋的目的為概略地描述於該圖式中,並且以便對於熟習該項技藝的人士不隱蔽具有已知細節的本發明揭露。儘管如此,本發明包含附加的圖式以描述及解釋本發明揭露的說明例子。在此所使用的詞句及片語應該瞭解及解釋以具有與熟習相關技藝的人士所瞭解的詞句及片語一致的意義。本發明沒有特殊定義的名詞或片語,意即不同于熟習該項技藝的人士所瞭解的一般及傳統的意義的定義,是意在通過在此的名詞或片語的一致性的使用所隱含。在名詞或片語是意在具有特殊意義的某種程度上,意即並非由熟習技藝的人士所瞭解的意義,此類特殊的定義將於說明書中以定義的方式而明確地提出,該方式直接地及明確地提供用於該名詞及片語的特殊定義。本發明揭露是關於形成空氣間隙結構的各種方法。參照附加的圖式,在此所揭露的該方法及裝置的各種說明的實施例今將做更詳細的描述。
第1A至1K圖為說明用於在半導體裝置100
中形成氣體間隙結構的方法的截面圖示,在本實施例中,該裝置可以通過包含電路元件的積體電路所表示,諸如電晶體、電容器、電阻及類似元件。第1A圖說明包含形成於基板105內部及上方的裝置平面(未顯示)的半導體裝置100,其中,該半導體裝置100提供半導體基礎的電路元件。為了便利性,任何此類的電路元件並未提供於第1A圖中。該基板105也包含任何適當的微結構特徵,諸如微機構元件、光電子元件及類似的元件,其中,至少某些這類元件可能需要形成於金屬化系統110的內連線結構。在高度複雜的積體電路中,可能需要非常大量的電性連接,因此,複數個金屬化層115、120通常可以形成於該金屬化系統110中。例如,該金屬化層115、120可以表示金屬化系統110的複數個金屬化層的兩個,每一個該金屬化層依據所需的整體電路佈局可以連接至較低位置的金屬化層。在其他例子中,該金屬化系統110的該金屬化層115可以表示可包含適當的接觸元件的接觸結構,例如部分形成於含有金屬材料的基礎上,以連至裝置層內的半導體裝置。在這個例子中,該金屬化層115可以考量為一開始的金屬化層,而該金屬化層120可以考量為連接具有該實際的電路元件形成於該基板105之內或上方的該金屬化系統110的介面。
該金屬化層115可以包括介電材料125,該介電材料125可以以任何適當材料的形式而提供,諸如低介電常數材料、具有介電常數接近2.7或更高或超低介電常數(ULK)材料的介電材料、具有介電常數接近2.5或更低
的介電材料。該金屬化層120包含材料層130(例如SiCN或SiN)及介電材料135,該介電材料層可以類似於在該金屬化層115內的該介電材料125。
第1B圖說明在圖案化硬式遮罩層140(例如TiN、TEOS、SiN等等)形成於該介電材料135上方之後的半導體裝置100。該硬式遮罩層140可以通過沉積硬式遮罩材料、形成光阻層於該硬式遮罩材料上方、使用光學微影製程圖案化該光阻層以及蝕刻由該圖案化的光阻層曝露的該硬式遮罩材料而形成,以定義出開孔145。
第1C圖說明在反應物光子曝光製程150之後,該裝置經執行以在該介電材料135內產生損壞區域137。該反應物光子曝光製程150包含提供包含碳吸附劑(CGA,carbon gettering agent)的環境及同時以輻射源,諸如UV或VUV輻射源,照射該半導體裝置100。通常,來自該輻射源的光子分裂在該介電材料135內的鍵結,從而提供未連結的碳族群(例如甲基族群)。該碳吸附劑分子與該碳族群反應且避免該鍵結再度形成並且允許該吸附的碳離開該薄膜,造成在該介電材料135的曝光部分內的碳空乏(carbon depletion)。由於該碳吸附的結果,該介電材料135的損壞(碳空乏)部分137變成可溶解於濕式蝕刻溶液中。在說明的實施例中,該碳吸附劑可以是氧氣(O2)、氨氣(NH3)或能夠與通過該輻射所釋放及避免與該碳族群再度形成鍵結的該碳族群反應的其他氣體。該光子可以是准直光以便減少散射的可能性。因為,該碳吸附製程僅發生於曝露至
該准直輻射的區域內,相較於具有較高相對程度的損壞物種(離子、自由基)的散射的反應物離子電漿蝕刻製程,該反應物光子曝光製程150是高度非等向性的。該凹處152的深度可以基於該光子劑量(曝光強度及時間)及該輻射造成的穿透深度而控制。
第1D圖說明在執行濕式蝕刻製程(例如HF)之後以移除該介電材料135的該損壞部分137以形成凹處152的半導體裝置100。
第1E圖說明在執行數道製程之後以形成傳導線路155A、155B於該凹處152中的半導體裝置100。多重步驟的填覆製程形成線路層160(例如TaN、TiN、Ta等等)於該凹處152中,接著金屬填覆材料165(例如銅)以過填該凹處152。平坦化製程移除該過填及該硬式遮罩層140。覆蓋層170(例如AlN或Co)形成於該金屬填覆材料165及該線路層160的邊緣的上方。該線路層160及該覆蓋層170避免該金屬填覆材料165的擴散進入該介電材料135內。該覆蓋層170在後續的加工步驟期間也做為保護該金屬填覆材料165。
第1F圖說明在覆蓋層175(例如SiCN)形成於該介電材料135上方及圖案化硬式遮罩層180形成於選擇的金屬線路155A上方之後的半導體裝置100。該硬式遮罩層180可以包含堆疊層(stack),諸如包含有機聚合物、SiNCH、TEOS及SiON的堆疊。該硬式遮罩層180可以使用光阻層及一道或多道蝕刻製程以移除在堆疊層中的各種層而圖案
化,從而該蝕刻製程當曝露出該覆蓋層175而終止。第1G圖說明在蝕刻製程經執行以移除未受該硬式遮罩層180(意即在該線路155B上方)所覆蓋的覆蓋層175以及另一個蝕刻製程經執行以移除該硬式遮罩層180的半導體裝置100。
第1H圖說明在第二反應物光子曝光製程185經執行以產生損壞部分187於該介電材料135中之後的半導體裝置100。再一次,該反應物光子曝光製程185包含提供包含碳吸附劑(CGA)及以輻射源,諸如UV或VUV輻射源,照射該半導體裝置100的環境。當O2使用做為該碳吸附劑時,AlN的使用避免銅的損壞,並且若銅未覆蓋時或者若Co使用做為覆蓋層時,NH3的使用做為該碳吸附劑避免銅的損壞。
第1I圖說明在執行濕式蝕刻製程(例如HF)以移除該介電材料135的該損壞部分187以在該金屬線路155B之間形成凹處188之後的半導體裝置100。
在該第二反應物光子曝光製程185期間,嵌入於該介電材料135內的該金屬線路155B對於製程185作為遮罩層,因此允許該凹處188為實質上自我對準於該金屬線路155B之間。再者,因為該反應物光子曝光製程185的增強的非等向性,該凹處188的深度可以大於該金屬線路155B的深度而不會實質上底切該金屬線路155B。再者,因為在該反應物光子曝光製程185中沒有粒子轟擊(particle bombardment),相較於反應性離子電漿蝕刻製程,對於在該金屬線路155B上的該覆蓋層170的表面損壞也可
減少。
第1J圖說明執行均勻的沉積製程以形成覆蓋層190(例如SiCN)於該金屬線路155B上方之後的半導體裝置100。該覆蓋層190並未完全地填覆該凹處188,從而在該金屬線路155B之間產生氣體間隙。
第1K圖說明在額外的介電材料195形成於該金屬線路155A、155B上方之後的半導體裝置100。該介電材料195可以類似於該介電材料135。
在此所描述的用於形成氣體間隙結構的技術具有許多優點。因為用於圖案化該介電材料135的該蝕刻製程並未包含反應性離子轟擊成分,對於周圍結構或材料層的損壞可以降低。再者,該氣體間隙的深度可以增加以改善該半導體裝置100的操作特性。
上文所揭示的特定實施例僅為說明性質,如同對於熟習該項技藝的人士在具有本文在此所教示的優點後,顯而易見的是本發明可以做修正及以不同但是等同的方式而實施。例如,上文所提出的製程可以以不同的順序而實施。再者,在此所顯示的詳細架構或設計並非意在限定,除非是在所附的申請專利範圍中做描述。因此,明顯地,上文所揭露的特定實施例可以做變更或修正並且所有此類的改變應考量在本發明的範疇及精神之內。因此,在此所請求的保護在所附的申請專利範圍中提出。
100‧‧‧半導體裝置
105‧‧‧基板
110‧‧‧金屬化系統
115、120‧‧‧金屬化層
125、135‧‧‧介電材料
130‧‧‧材料層
140‧‧‧硬式遮罩層
152‧‧‧凹處
Claims (19)
- 一種製造半導體裝置之方法,包括:形成遮罩層於介電材料上方或之內,其中該遮罩層包括配置在該介電材料內的複數個傳導線路;曝露該介電材料於環境大氣中的光子輻射上,以產生該介電材料的損壞部分,其中,該環境大氣包括碳吸附劑且該遮罩層阻擋該光子輻射;以及移除該介電材料的該損壞部分且形成凹處於該些傳導線路之間的該介電材料內,其中該凹處之深度大於該些傳導線路底部的深度。
- 如申請專利範圍第1項所述的方法,其中,該遮罩層包括形成於該介電材料上方的圖案化硬式遮罩層。
- 如申請專利範圍第2項所述的方法,該方法更包括以傳導材料填覆該凹處。
- 如申請專利範圍第1項所述的方法,該方法更包括:形成覆蓋層於該傳導線路上方而不填覆該凹處以在該傳導線路之間定義出空氣間隙;以及形成第二介電材料於該覆蓋層上方。
- 如申請專利範圍第1項所述的方法,其中,移除該損壞部分包括執行濕式蝕刻製程。
- 如申請專利範圍第1項所述的方法,其中,該介電材料包括低介電常數材料或超低介電常數材料的其中一個。
- 一種製造半導體裝置之方法,包括:形成配置在第一介電材料內的複數個傳導線路; 曝露該第一介電材料於環境大氣中的光子輻射上,以產生該第一介電材料的損壞部分,其中,該環境大氣包括碳吸附劑且該傳導線路阻擋該光子輻射;移除該第一介電材料的該損壞部分,以定義出在該傳導線路之間的凹處,其中該凹處之深度大於該些傳導線路底部的深度;形成覆蓋層於該傳導線路上方而不填覆該凹處以在該傳導線路之間定義出空氣間隙;以及形成第二介電材料於該覆蓋層上方。
- 如申請專利範圍第7項所述的方法,其中,該碳吸附劑包括氧氣或氨氣的至少其中一個。
- 如申請專利範圍第7項所述的方法,其中,該傳導線路包括配置在傳導填覆材料上方的第二覆蓋層。
- 如申請專利範圍第9項所述的方法,其中,該第二覆蓋層包括氮化鋁並且該碳吸附劑包括氧氣或氨氣。
- 如申請專利範圍第9項所述的方法,其中,該第二覆蓋層包括鈷並且該碳吸附劑包括氨氣。
- 如申請專利範圍第9項所述的方法,其中,該傳導線路包括配置在該傳導填覆材料及該第一介電材料之間的線路層。
- 如申請專利範圍第12項所述的方法,其中,該第二覆蓋層覆蓋該線路層的邊緣。
- 如申請專利範圍第7項所述的方法,其中,該傳導線路包括銅。
- 如申請專利範圍第7項所述的方法,其中,移除該損壞部分包括執行濕式蝕刻製程。
- 一種製造半導體裝置之方法,包括:形成圖案化遮罩層於介電材料上方,其中該圖案化遮罩層包括配置在該介電材料內的複數個傳導線路;曝露該介電材料於環境大氣中的光子輻射上,以產生該介電材料的損壞部分,其中,該環境大氣包括碳吸附劑且該遮罩層阻擋該光子輻射;移除該介電材料的該損壞部分以定義出凹處於該介電材料內,其中該凹處之深度大於該些傳導線路底部的深度;以及以傳導材料填覆該凹處。
- 如申請專利範圍第16項所述的方法,其中,該傳導材料包括銅。
- 如申請專利範圍第16項所述的方法,其中,該碳吸附劑包括氧氣或氨氣的至少其中一個。
- 如申請專利範圍第16項所述的方法,其中,移除該損壞部分包括執行濕式蝕刻製程。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/334,385 US9583380B2 (en) | 2014-07-17 | 2014-07-17 | Anisotropic material damage process for etching low-K dielectric materials |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201618230A TW201618230A (zh) | 2016-05-16 |
TWI595596B true TWI595596B (zh) | 2017-08-11 |
Family
ID=55075184
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106121992A TW201737418A (zh) | 2014-07-17 | 2015-06-25 | 用於蝕刻低介電常數介電材料之非等向性材料損壞製程 |
TW104120480A TWI595596B (zh) | 2014-07-17 | 2015-06-25 | 用於蝕刻低介電常數介電材料之非等向性材料損壞製程 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106121992A TW201737418A (zh) | 2014-07-17 | 2015-06-25 | 用於蝕刻低介電常數介電材料之非等向性材料損壞製程 |
Country Status (3)
Country | Link |
---|---|
US (2) | US9583380B2 (zh) |
CN (1) | CN105280490A (zh) |
TW (2) | TW201737418A (zh) |
Families Citing this family (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102013226575B4 (de) | 2013-12-19 | 2021-06-24 | Evonik Operations Gmbh | Zusammensetzung, geeignet zur Herstellung von Polyurethanschäumen, enthaltend mindestens einen ungesättigten Fluorkohlenwasserstoff oder ungesättigten Fluorkohlenwasserstoff als Treibmittel, Polyurethanschäume, Verfahren zu deren Herstellung und deren Verwendung |
KR102190654B1 (ko) * | 2014-04-07 | 2020-12-15 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US9799567B2 (en) | 2014-10-23 | 2017-10-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming source/drain contact |
EP3353803A4 (en) * | 2015-09-23 | 2019-04-24 | Intel Corporation | ULTRADÜNNE DIELECTRIC HELMET LAYER FOR MASKLESS AIR SPLICE AND REPLACEMENT ILD PROCESSES |
US9449871B1 (en) * | 2015-11-18 | 2016-09-20 | International Business Machines Corporation | Hybrid airgap structure with oxide liner |
US9349687B1 (en) * | 2015-12-19 | 2016-05-24 | International Business Machines Corporation | Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect |
US10229851B2 (en) | 2016-08-30 | 2019-03-12 | International Business Machines Corporation | Self-forming barrier for use in air gap formation |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030227092A1 (en) * | 2002-06-05 | 2003-12-11 | De-Chuan Liu | Method of rounding a corner of a contact |
US20090093115A1 (en) * | 2007-10-05 | 2009-04-09 | Chang Soo Park | Method for forming metal line of semiconductor device by annealing aluminum and copper layers together |
US20090093112A1 (en) * | 2007-10-09 | 2009-04-09 | Applied Materials, Inc. | Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay |
US20090246706A1 (en) * | 2008-04-01 | 2009-10-01 | Applied Materials, Inc. | Patterning resolution enhancement combining interference lithography and self-aligned double patterning techniques |
Family Cites Families (46)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6376330B1 (en) | 1996-06-05 | 2002-04-23 | Advanced Micro Devices, Inc. | Dielectric having an air gap formed between closely spaced interconnect lines |
US5869379A (en) | 1997-12-08 | 1999-02-09 | Advanced Micro Devices, Inc. | Method of forming air gap spacer for high performance MOSFETS' |
US5953625A (en) | 1997-12-15 | 1999-09-14 | Advanced Micro Devices, Inc. | Air voids underneath metal lines to reduce parasitic capacitance |
US5949143A (en) | 1998-01-22 | 1999-09-07 | Advanced Micro Devices, Inc. | Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process |
US6160316A (en) | 1998-03-04 | 2000-12-12 | Advanced Micro Devices, Inc. | Integrated circuit utilizing an air gap to reduce capacitance between adjacent metal linewidths |
US6312874B1 (en) | 1998-11-06 | 2001-11-06 | Advanced Micro Devices, Inc. | Method for forming a dual damascene trench and underlying borderless via in low dielectric constant materials |
US6815329B2 (en) | 2000-02-08 | 2004-11-09 | International Business Machines Corporation | Multilayer interconnect structure containing air gaps and method for making |
US6413852B1 (en) | 2000-08-31 | 2002-07-02 | International Business Machines Corporation | Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material |
US7026235B1 (en) | 2002-02-07 | 2006-04-11 | Cypress Semiconductor Corporation | Dual-damascene process and associated floating metal structures |
US6641899B1 (en) | 2002-11-05 | 2003-11-04 | International Business Machines Corporation | Nonlithographic method to produce masks by selective reaction, articles produced, and composition for same |
US20040232552A1 (en) | 2002-12-09 | 2004-11-25 | Advanced Micro Devices, Inc. | Air gap dual damascene process and structure |
US6838354B2 (en) | 2002-12-20 | 2005-01-04 | Freescale Semiconductor, Inc. | Method for forming a passivation layer for air gap formation |
US20050158337A1 (en) | 2003-03-04 | 2005-07-21 | Fleming Jason D. | Rehydrating personal lubricant and method of use |
US6713835B1 (en) | 2003-05-22 | 2004-03-30 | International Business Machines Corporation | Method for manufacturing a multi-level interconnect structure |
US6838355B1 (en) | 2003-08-04 | 2005-01-04 | International Business Machines Corporation | Damascene interconnect structures including etchback for low-k dielectric materials |
JP4864307B2 (ja) | 2003-09-30 | 2012-02-01 | アイメック | エアーギャップを選択的に形成する方法及び当該方法により得られる装置 |
US7560375B2 (en) | 2004-09-30 | 2009-07-14 | International Business Machines Corporation | Gas dielectric structure forming methods |
JP4106048B2 (ja) * | 2004-10-25 | 2008-06-25 | 松下電器産業株式会社 | 半導体装置の製造方法及び半導体装置 |
US7531444B2 (en) * | 2005-02-11 | 2009-05-12 | International Business Machines Corporation | Method to create air gaps using non-plasma processes to damage ILD materials |
KR101015444B1 (ko) | 2005-08-17 | 2011-02-18 | 후지쯔 가부시끼가이샤 | 반도체 장치 및 그 제조 방법 |
US20070099417A1 (en) | 2005-10-28 | 2007-05-03 | Applied Materials, Inc. | Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop |
US7348280B2 (en) | 2005-11-03 | 2008-03-25 | International Business Machines Corporation | Method for fabricating and BEOL interconnect structures with simultaneous formation of high-k and low-k dielectric regions |
JP2007194582A (ja) * | 2005-12-20 | 2007-08-02 | Tokyo Electron Ltd | 高誘電体薄膜の改質方法及び半導体装置 |
US7994046B2 (en) | 2006-01-27 | 2011-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming a dielectric layer with an air gap, and a structure including the dielectric layer with the air gap |
US20070218677A1 (en) * | 2006-03-15 | 2007-09-20 | Manfred Engelhardt | Method of Forming Self-Aligned Air-Gaps Using Self-Aligned Capping Layer over Interconnect Lines |
US7534696B2 (en) | 2006-05-08 | 2009-05-19 | International Business Machines Corporation | Multilayer interconnect structure containing air gaps and method for making |
US7772702B2 (en) | 2006-09-21 | 2010-08-10 | Intel Corporation | Dielectric spacers for metal interconnects and method to form the same |
KR100829603B1 (ko) | 2006-11-23 | 2008-05-14 | 삼성전자주식회사 | 에어 갭을 갖는 반도체 소자의 제조 방법 |
US7666753B2 (en) | 2007-01-11 | 2010-02-23 | International Business Machines Corporation | Metal capping process for BEOL interconnect with air gaps |
US7642184B2 (en) * | 2007-03-16 | 2010-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for dual damascene process |
JP2008294335A (ja) * | 2007-05-28 | 2008-12-04 | Panasonic Corp | 半導体装置の製造方法 |
US8084352B2 (en) * | 2007-06-04 | 2011-12-27 | Panasonic Corporation | Method of manufacturing semiconductor device |
US20090093100A1 (en) * | 2007-10-09 | 2009-04-09 | Li-Qun Xia | Method for forming an air gap in multilevel interconnect structure |
US7868455B2 (en) | 2007-11-01 | 2011-01-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Solving via-misalignment issues in interconnect structures having air-gaps |
US8187948B2 (en) * | 2008-02-18 | 2012-05-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid gap-fill approach for STI formation |
DE102008044984A1 (de) | 2008-08-29 | 2010-07-15 | Advanced Micro Devices, Inc., Sunnyvale | Halbleiterbauelement mit Verspannungsrelaxationsspalte zur Verbesserung der Chipgehäusewechselwirkungsstabilität |
EP2166564B1 (en) * | 2008-09-19 | 2017-04-12 | Imec | Method for removing a hardened photoresist from a semiconductor substrate |
DE102008059650B4 (de) | 2008-11-28 | 2018-06-21 | Globalfoundries Inc. | Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen |
DE102009023377B4 (de) | 2009-05-29 | 2017-12-28 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt |
DE102010030757B4 (de) | 2010-06-30 | 2019-03-28 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung komplexer Metallisierungssysteme in Halbleitern durch Entfernung geschädigter dielektrischer Oberflächenschichten |
JP5768498B2 (ja) * | 2011-05-23 | 2015-08-26 | ソニー株式会社 | 記憶素子、記憶装置 |
JP5734757B2 (ja) * | 2011-06-16 | 2015-06-17 | 株式会社東芝 | 半導体装置及びその製造方法 |
US20130175680A1 (en) * | 2012-01-10 | 2013-07-11 | International Business Machines Corporation | Dielectric material with high mechanical strength |
KR20130092884A (ko) * | 2012-02-13 | 2013-08-21 | 에스케이하이닉스 주식회사 | 반도체 소자의 배선 구조체 및 제조 방법 |
US8846536B2 (en) * | 2012-03-05 | 2014-09-30 | Novellus Systems, Inc. | Flowable oxide film with tunable wet etch rate |
KR102002815B1 (ko) * | 2012-09-05 | 2019-07-23 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
-
2014
- 2014-07-17 US US14/334,385 patent/US9583380B2/en active Active
-
2015
- 2015-06-25 TW TW106121992A patent/TW201737418A/zh unknown
- 2015-06-25 TW TW104120480A patent/TWI595596B/zh not_active IP Right Cessation
- 2015-07-17 CN CN201510422683.4A patent/CN105280490A/zh active Pending
-
2017
- 2017-01-17 US US15/407,872 patent/US20170125288A1/en not_active Abandoned
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030227092A1 (en) * | 2002-06-05 | 2003-12-11 | De-Chuan Liu | Method of rounding a corner of a contact |
US20090093115A1 (en) * | 2007-10-05 | 2009-04-09 | Chang Soo Park | Method for forming metal line of semiconductor device by annealing aluminum and copper layers together |
US20090093112A1 (en) * | 2007-10-09 | 2009-04-09 | Applied Materials, Inc. | Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay |
US20090246706A1 (en) * | 2008-04-01 | 2009-10-01 | Applied Materials, Inc. | Patterning resolution enhancement combining interference lithography and self-aligned double patterning techniques |
Also Published As
Publication number | Publication date |
---|---|
US20160020140A1 (en) | 2016-01-21 |
US20170125288A1 (en) | 2017-05-04 |
TW201737418A (zh) | 2017-10-16 |
US9583380B2 (en) | 2017-02-28 |
TW201618230A (zh) | 2016-05-16 |
CN105280490A (zh) | 2016-01-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI595596B (zh) | 用於蝕刻低介電常數介電材料之非等向性材料損壞製程 | |
KR102087183B1 (ko) | 상호접속 구조체 및 방법 | |
US10510585B2 (en) | Multi-patterning to form vias with straight profiles | |
US7871923B2 (en) | Self-aligned air-gap in interconnect structures | |
US9818690B2 (en) | Self-aligned interconnection structure and method | |
US7700479B2 (en) | Cleaning processes in the formation of integrated circuit interconnect structures | |
US10854508B2 (en) | Interconnection structure and manufacturing method thereof | |
US9607882B2 (en) | Semiconductor device and manufacturing method thereof | |
US8357610B2 (en) | Reducing patterning variability of trenches in metallization layer stacks with a low-k material by reducing contamination of trench dielectrics | |
US8183166B2 (en) | Dielectric layer structure and manufacturing method thereof | |
US20090115061A1 (en) | Solving Via-Misalignment Issues in Interconnect Structures Having Air-Gaps | |
TW201535643A (zh) | 半導體裝置及方法 | |
US8399358B2 (en) | Establishing a hydrophobic surface of sensitive low-k dielectrics of microstructure devices by in situ plasma treatment | |
US8440579B2 (en) | Re-establishing surface characteristics of sensitive low-k dielectrics in microstructure device by using an in situ surface modification | |
US7687392B2 (en) | Semiconductor device having metal wiring and method for fabricating the same | |
US7482275B2 (en) | Plasma treatment method and method of manufacturing semiconductor device | |
US8575041B2 (en) | Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment | |
JP2009141199A (ja) | 半導体装置及びその製造方法 | |
CN107919319B (zh) | 内连线结构的制造方法 | |
US9029265B2 (en) | Method for forming semiconductor structure | |
JP2009117673A (ja) | 半導体装置およびその製造方法 | |
US20080044998A1 (en) | Method of Fabricating Metal Interconnection of Semiconductor Device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |