TWI585229B - 用於化學氣相沉積之基板載體 - Google Patents

用於化學氣相沉積之基板載體 Download PDF

Info

Publication number
TWI585229B
TWI585229B TW105105158A TW105105158A TWI585229B TW I585229 B TWI585229 B TW I585229B TW 105105158 A TW105105158 A TW 105105158A TW 105105158 A TW105105158 A TW 105105158A TW I585229 B TWI585229 B TW I585229B
Authority
TW
Taiwan
Prior art keywords
substrate carrier
substrate
dielectric support
edge
rounded
Prior art date
Application number
TW105105158A
Other languages
English (en)
Other versions
TW201619422A (zh
Inventor
路易絲S 巴瑞斯
理查A 康乃爾
羅傑P 佛瑞根
亞歷山大I 葛拉瑞
陶德A 路斯
羅伯 懷特 三世 米爾蓋
約翰D 波拉克
Original Assignee
維克儀器公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 維克儀器公司 filed Critical 維克儀器公司
Publication of TW201619422A publication Critical patent/TW201619422A/zh
Application granted granted Critical
Publication of TWI585229B publication Critical patent/TWI585229B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

用於化學氣相沉積之基板載體
本文所使用之部分標題係僅出於組織目的,且不應將其視為以任何方式限制本申請案中所闡述之標的物。
相關申請案部分
本申請案主張對以下申請案之優先權:於2012年5月18日提出申請之標題為「Rotating Disk For Chemical Vapor Deposition」之美國臨時專利申請案第61/648,640號、於2013年3月14日提出申請之標題為「Rotating Disk Reactor with Ferrofluid Seal for Chemical Vapor Deposition」之美國臨時專利申請案第61/781,858號及於2012年5月18日提出申請之標題為「Substrate Carrier For Chemical Vapor Deposition」之美國臨時專利申請案第61/648,646號。美國臨時專利申請案第61/648,640號、第61/781,858號及第61/648,646號之全部內容係以引用方式併入本文中。
氣相磊晶(VPE)係化學氣相沈積(CVD)之一個類型,其涉及將一或多種含有化學物質之氣體引導至基板表面上以使反應性物質在基板表面上反應並形成膜。例如,可使用VPE系統於基板上生長化合物半導體材料。
通常藉由將至少一種前體氣體且在許多製程中至少第一及第二前體氣體注射至含有結晶基板之製程室中來生長材料。可藉由使用氫化物前體氣體及有機金屬前體氣體於基板上生長各種半導體材料層來 形成化合物半導體,例如III-V半導體。金屬有機氣相磊晶(MOVPE)係利用含有所需化學元素之金屬有機物與氫化物之表面反應生長化合物半導體的常用氣相沈積法。例如,可藉由引入三甲基銦及膦在反應器中於基板上生長磷化銦。
業內所使用之MOVPE之替代名稱包括有機金屬氣相磊晶(OMVPE)、金屬有機化學氣相沈積(MOCVD)及有機金屬化學氣相沈積(OMCVD)。在該等製程中,使氣體在基板(例如藍寶石、Si、GaAs、InP、InAs或GaP基板)之生長表面處彼此反應,以形成通式InXGaYAlZNAAsBPCSbD之III-V化合物,其中X+Y+Z約等於1,且A+B+C+D約等於1,且X、Y、Z、A、B、C及D中之每一者皆可介於0與1之間。在各種製程中,基板可為金屬、半導體或絕緣基板。在一些情形下,可使用鉍代替一些或所有其他第III族金屬。
化合物半導體(例如III-V半導體)亦可藉由使用氫化物或鹵化物前體氣體製程在基板上生長各種半導體材料層來形成。在一個鹵化物氣相磊晶(HVPE)製程中,第III族氮化物(例如,GaN、AlN)係藉由使熱氣態金屬氯化物(例如,GaCl或AlCl)與氨氣(NH3)反應來形成。金屬氯化物係藉由使熱HCl氣體在第III族熱金屬上方經過來產生。HVPE之一個特徵在於,其可具有極高生長速率,對於一些最新技術製程而言高達100μm/小時。HVPE之另一特徵在於,其可用以沈積相對較高品質之膜,此乃因膜係在無碳環境中生長且因熱HCl氣體提供自清潔效應。
在該等製程中,基板維持於反應室內升高之溫度下。通常將前體氣體與惰性載氣混合且然後引導至反應室中。通常,當將氣體引入反應室中時,其係處於相對較低之溫度下。當氣體到達熱基板時,其溫度及因此其可供反應利用之能量會有所增加。基板表面處組成性化學物質之最終熱解形成磊晶層。晶體係藉由基板表面上之化學反應而 非藉由物理沈積製程形成。因此,VPE係用於熱力學亞穩態合金之合意生長技術。當前,VPE通常用於製造雷射二極體、太陽能電池及發光二極體(LED)。
用於化學氣相沈積之旋轉盤反應器包括真空室及包含上部及下部鐵磁流體密封之鐵磁流體導引,該鐵磁流體導引將馬達軸穿入真空室中。在一些實施例中,鐵磁流體導引形成中空導管以將電力及管道設施提供至真空室。馬達係以機械方式或以磁方式耦合至馬達軸且定位於上部鐵磁流體密封與下部鐵磁流體密封之間之大氣區域中。旋轉台係定位於真空室中且耦合至馬達軸以使馬達以期望旋轉速率旋轉旋轉台。在一些實施例中,旋轉台係由撓性構件耦合至馬達軸。另外,在一些實施例中,馬達軸係於自旋轉台之旋轉中心偏移之區域中耦合至旋轉台。
介電支撐件(例如石英支撐件)耦合至旋轉台以使該旋轉台在由軸驅動時旋轉該介電支撐件。基板載體係定位於真空室中之介電支撐件上以供化學氣相沈積處理。在各實施例中,基板載體可藉由介電支撐件之頂部表面與基板載體之底部表面之間之摩擦固持於介電支撐件之頂部上,或其可以物理方式附接。可調適基板載體以支撐單一基板或多個基板。在一些實施例中,介電支撐件係由在所處理基板與真空室中之冷卻區域之間建立熱障壁之材料形成。
加熱器係靠近基板載體定位,以將該基板載體之溫度控制至期望溫度以供化學氣相沈積。加熱器可定位於介電支撐件之內側或外側。加熱器可包括兩個或更多個獨立的加熱器區。加熱器可為石墨加熱器,或可包括鎢及/或錸盤管加熱器。
另外,用於化學氣相沈積之基板載體包括通常為圓形之主體。基板載體可由石墨、SiC、金屬或陶瓷材料中之至少一者形成。基板 載體可由具有以下熱膨脹係數之材料形成:引起使基板載體較緊地固持於旋轉支撐件之頂部上之基板載體膨脹。選擇基板載體之重量,以便在處理及吹掃期間使基板載體以摩擦方式附接至旋轉支撐件之頂部表面。
基板載體之頂部表面具有用於接收基板之凹陷區。凹陷區可包含用於支撐基板之凸舌。凸舌之形狀可為三角形。凸舌可由吸收基板載體抵抗基板膨脹時所產生之至少一部分力之材料形成。另外,當基板載體之溫度增加時,凸舌亦可減少基板上之機械應力。
可在局部區中將基板載體之凹陷區機械加工至預定等深線,從而在整個基板載體內產生期望之熱特性。此外,基板載體之凹陷區可在局部區中包括材料插件,從而在整個基板載體內產生期望之熱特性。另外,基板載體之凹陷區可包含多層底部表面。局部區中之材料之至少一種熱性質可不同於形成基板載體之材料之熱性質。
基板載體之經修圓邊緣之形狀減小熱損失且增加在基板上方流動之製程氣體之均勻性。基板載體在經修圓邊緣之底部處具有實質上平坦的表面以供定位於旋轉支撐結構之頂部上。此外,基板載體具有靠近經修圓邊緣定位之垂直邊沿,該垂直邊沿減小基板載體在定位於旋轉支撐件之頂部上時之擺動。垂直邊沿經確定尺寸以將基板載體固定於期望旋轉速率下之旋轉支撐件之頂部上。
100‧‧‧化學氣相沈積反應器/化學氣相沈積室
102‧‧‧真空室
104‧‧‧旋轉台
108‧‧‧旋轉介電支撐件/石英介電支撐件/開槽介電支撐件
110‧‧‧基板載體
112‧‧‧反應區
114‧‧‧加熱器總成
115‧‧‧加熱元件
118‧‧‧加熱器基底板
120‧‧‧功率導引
122‧‧‧電極/電導體
124‧‧‧上部外殼
124'‧‧‧下部外殼
126‧‧‧馬達
126'‧‧‧定子
126"‧‧‧轉子
128‧‧‧軸
130‧‧‧上部鐵磁流體密封
130'‧‧‧下部鐵磁流體密封/鐵磁流體導引之下部分
132‧‧‧馬達軸承
133‧‧‧傾斜螺旋彈簧
134‧‧‧中空導管
150‧‧‧加熱器安裝凸緣
152‧‧‧加熱器支撐結構
160‧‧‧加熱器安裝管
162‧‧‧間隙
162'‧‧‧間隙
170‧‧‧真空間隙
180‧‧‧介電支撐件
182‧‧‧槽
190‧‧‧基板載體
192‧‧‧鎖定銷
500‧‧‧單一基板載體/單一晶圓基板載體
502‧‧‧經修圓邊緣
504‧‧‧凹陷區
506‧‧‧平坦底部表面
508‧‧‧垂直邊沿
600‧‧‧展開俯視圖
602‧‧‧凸舌
根據較佳及例示性之實施例之本發明與其其他優點一起結合附圖更具體地闡述於以下詳細說明中。熟習此項技術者應瞭解,下文所闡述之附圖僅係出於圖解說明目的。該等圖式未必按比例繪製,而重點通常在於圖解說明本發明之原理。在附圖中,在各圖中,相同參考字符通常係指相同特徵及結構元件。該等圖式並非意欲以任何方式限制本發明之範圍。
圖1圖解說明本發明之CVD反應器之一個實施例之剖面。
圖2圖解說明結合圖1所闡述之CVD反應器之剖面之頂部角之展開視圖。
圖3圖解說明整個鐵磁流體導引之簡化視圖,顯示加熱器安裝凸緣及加熱器支撐結構。
圖4A圖解說明鐵磁流體導引之上部分及周圍組件之剖視圖,包括馬達之細節。
圖4B圖解說明鐵磁流體導引之下部分及周圍組件(包括安裝凸緣)之剖視圖。
圖5圖解說明本發明單一基板載體之俯視圖。
圖6圖解說明結合圖2所闡述之本發明單一基板載體之展開之俯視圖。
圖7圖解說明結合圖5所闡述之本發明單一基板載體之側視圖,該單一基板載體係定位於旋轉支撐件之頂部上。
圖8A及圖8B圖解說明介電支撐件及配接有銷之基板載體,在其由旋轉台旋轉時,該等銷將介電支撐件較牢固地附接至基板載體。
在本說明書中提及「一個實施例」或「實施例」意指在本發明之至少一個實施例中包括結合該實施例所闡述之具體特徵、結構或特性。說明書中的各個地方中出現的片語「在一個實施例中」未必皆係指同一實施例。
應瞭解,可以任一次序及/或同時實施本發明方法中所使用之個別步驟,只要本發明仍可操作即可。另外,應瞭解,本發明之裝置及方法可包括任一數量或所用的所闡述實施例,只要本發明仍可操作即可。
現將參考如附圖中所示之本發明之例示性實施例來更詳細地闡 述本發明。儘管本發明係結合各實施例及實例來闡述,但並非意欲將本發明限於該等實施例。相反,本發明涵蓋各種替代形式、修改形式及等效形式,如彼等熟習此項技術者所應瞭解。彼等已瞭解本發明且熟習此項技術者應認識到其他實施方案、修改形式及實施例以及在本文所闡述之本發明範圍內之其他使用領域。
本發明係關於用於化學氣相沈積(包括MOCVD)之方法及裝置。更具體而言,本發明係關於用於化學氣相沈積之使用基板位於旋轉盤上之垂直反應器之方法及裝置。最近,LED及OLED市場已有巨大增長。另外,半導體功率亦已有重大進展,此已增加其效用。因此,業內對有效且高通量之CVD及MOCVD製造系統及製作該等器件之方法之需求已有所增加。業內尤其需要改良沈積均勻性而不會不利地影響維護及操作參數(例如基板載體之旋轉速率)之製造系統及方法。
本發明之態樣係結合單一基板CVD反應器來闡述。然而,熟習此項技術者應瞭解,可利用多基板反應器實施本發明之方法及裝置。此外,可根據任一大小之基板來縮放本發明之CVD反應器。
圖1圖解說明本發明之CVD反應器100之一個實施例之剖面。CVD反應器100包括真空室102,該真空室通常係由不銹鋼形成。真空室102為單一基板或一批基板之CVD處理提供真空環境。可利用本發明CVD反應器實施之製程之一個實例係藉由CVD沈積薄膜,例如生長於藍寶石基板上用於製造LED之基於GaN之薄膜。
旋轉台104係定位於真空室102之冷卻區域106中。冷卻區域106在正常處理條件下係維持於相對較低之溫度下,以便其可包封相對較低溫度之組件。旋轉台104之底部包括容許旋轉之軸承或導輪系統。旋轉介電支撐件108係定位於旋轉台104之頂部上。介電支撐件108可藉助各種方式以機械方式或磁方式耦合至旋轉台104。在本發明之一個實施例中,介電支撐件108係中空介電圓筒或管,其附接至旋轉台 104,如圖1中所示。在各種其他實施例中,旋轉介電支撐件108係由各種其他形狀形成。本發明之一個態樣在於旋轉介電支撐件108之長度可顯著短於用於其他已知CVD反應器中之由金屬材料或高熱導率介電材料形成之類似結構。
基板載體110係定位於旋轉介電支撐件116之頂部上。基板載體110結合圖5至圖7進行更詳細地闡述。基板載體110具有至少一個凹陷部分,該至少一個凹陷部分經確定尺寸以接收至少一個基板用於處理。在一個特定實施例中,基板載體110係單一基板載體。單一基板載體可提供諸多處理優點。例如,單一基板載體與多基板載體相比可在整個基板內提供更大之溫度均勻性。另外,單一基板載體可提供更高通量,且更能防止關鍵組件免受反應性製程化學物質之影響。另外,單一基板載體可提供改良之氣體效率。單一基板載體可容許比多晶圓載體更少之接觸點,此乃因離心力會更小。另外,單一基板載體通常消耗更短時間來達成期望之旋轉速度。最後,單一基板載體可較多基板載體更便宜。然而,在本發明之其他實施例中,基板載體110係用於分批處理之多基板載體。
基板載體110可以機械方式附接至旋轉介電支撐件108,或可自由定位於旋轉介電支撐件108之頂部表面上,且可僅藉由摩擦保持於定位。本發明CVD系統在基板載體110與旋轉介電支撐件108間之界面中使用各種熱隔離或隔熱方式。一種此類隔熱方式係形成基板載體110之經修圓邊緣以減少基板載體110之邊緣處之熱損失。另一隔熱方式係減少基板載體110之底部與介電支撐件108間之熱量轉移。
在一些實施例中,真空室102中之擋門或閘門打開,以容許基板載體110轉移至真空室102之反應區112中並從中轉移出來。在許多實施例中,基板載體110具有唇緣或其他邊緣特徵,該唇緣或其他邊緣特徵容許機器臂將該基板載體輸送至真空室102中並從中輸送出來。
加熱器總成114係靠近基板載體110定位。在本發明之一些CVD反應器中,加熱器總成114產生高達約1,300攝氏度之製程溫度。在許多實施例中,加熱器總成114係定位於旋轉介電支撐件108內側靠近基板載體110且在其下方,以使加熱器總成114與基板載體110之間有強的熱連通。然而,在其他實施例中,加熱器總成114係定位於旋轉介電支撐件108外側。加熱器總成114可為單區式加熱器或可具有任一數量之加熱器區。例如,在一個特定實施例中,加熱器總成114包含兩區式加熱器總成。在一些實施例中,熱輻射罩係靠近加熱器總成114定位。在一些實施例中,加熱器總成114之一些部分經流體冷卻。
在一個實施例中,加熱器總成114係包含加熱器盤管之輻射加熱器,該等加熱器盤管由毗鄰基板載體110定位之絕緣體116支撐,該等絕緣體以電及熱方式隔離加熱元件115。在一些實施例中,加熱器總成114包含石墨加熱器盤管。大多數已知之系統中之加熱盤管通常並非係自石墨製得,而是使用成本得高多之材料。通常不使用石墨加熱器元件,此乃因一些常見的CVD製程氣體(例如氨)在高溫下侵襲石墨並使其降格,從而導致製程不均勻性及系統維護增加。而是,一些已知之系統使用塗覆有至少一個材料保護層之石墨加熱器。然而,該等系統通常限於較低溫製程,此乃因在高處理溫度下,石墨與塗覆材料間之不同熱膨脹速率致使塗覆材料破裂並剝落,此可導致污染及維護增加。在其他實施例中,加熱器總成114包含鎢及/或錸加熱器盤管。
在一個具體實施例中,藉由基底板118或類似結構支撐加熱器總成114,以使加熱器總成114於基板載體110下方且緊密靠近其定位。加熱器安裝管160支撐加熱器基底板118。加熱器基底板可經水冷卻。真空室102包括功率導引120以使電極122穿過真空室102與加熱器總成114連接並向該加熱器總成供電,同時在反應器100之真空室102中維持真空。電導體122連接至具有高溫導體(例如由耐火材料(如鉬)製得 者)之加熱元件115。
CVD反應器100包括氣體歧管,該氣體歧管將製程氣體引入真空室102中靠近一或多個所處理基板。本發明CVD反應器之一個態樣在於,製程氣體並不在旋轉介電支撐件116內側流動。可藉由在高於真空室102中靠近基板載體110處之壓力之壓力下用惰性氣體(例如氮)吹掃加熱器總成114區來阻止製程氣體進入加熱器總成114區。此吹掃將限制製程氣體與加熱器總成114流動接觸之量。此容許使用成本較低之石墨加熱器。
在一個實施例中,旋轉介電支撐件108係由石英形成。石英係用於介電支撐件108之尤佳介電材料,此乃因其相對較便宜。亦可在高處理溫度下使用石英。此外,由於石英具有極低之熱膨脹係數,因此介電材料之尺寸在高溫處理期間不會顯著改變。此外,由於石英具有低熱導率(僅為約1.3W/(m.K)),因此其用作所處理基板與冷卻區域106間之熱障壁。使用石英可降低基板載體110上之溫度不均勻性或將其減至最小。通常將如本文所闡述之低熱導率定義為意指小於10W/(m K)。此遠遠低於通常用於材料處理系統中之一些其他介電材料,例如氮化硼。有若干不同種類的氮化硼,但其熱導率可在20-120W/(m.K)之範圍內。
使用石英介電支撐件108具有若干設計優點。例如,石英介電支撐件108之尺寸可遠遠短於使用金屬或高熱導率介電材料之類似CVD反應器,此乃因將需要更少材料使基板載體110與冷卻區域106熱分離。更短介電支撐件將產生更小反應器,該等更小反應器在製造亦及操作方面便宜得多。例如,可使用更低容量之真空幫浦。另外,將需要更小功率將基板載體110維持於期望之操作溫度下。此外,更短支撐件將使基板載體110有更小擺動,且因此改良製程均勻性。
將石英用於介電支撐件108之另一設計優點在於,石英介電支撐 件108之熱膨脹係數低於基板載體110之熱膨脹係數許多倍。在一些系統中,石英介電支撐件108之熱膨脹係數低於由諸如鉬或石墨等材料形成之基板載體110之熱膨脹係數約10倍。因此,當基板載體110升熱時,其將以較石英介電支撐件108遠遠更大之速率膨脹。設計可使用CTE失配以在溫度上升時使基板載體110之自身對準石英介電支撐件108之中心。基板載體110將變得與石英介電支撐件108更同心,且由此在溫度增加時更牢固地保持於定位,此可改良製程均勻性。
將石英用於介電支撐件108之另一設計優點在於,加熱器總成114在整個基板載體110上維持均勻的處理溫度將容易得多。此乃因載體邊緣處之熱損失遠遠小於金屬及高熱導率介電支撐件。石英及其他極低熱導率材料用作隔熱物(thermal break)以阻止介電支撐件108像散熱器一樣起作用且阻止降低基板載體110邊緣處之溫度。
上部外殼124包封馬達126。馬達126旋轉軸128,該軸進而旋轉旋轉台104及定位於旋轉台104上之基板載體110。CVD反應器100之其他部分係靜止的。馬達126以高達約1,200RPM之速度旋轉該等組件。然而,在各實施例中,馬達126以可顯著更高之速率旋轉該等組件。在所示實施例中,馬達126係由軸128經由鐵磁流體導引以機械方式耦合至旋轉台104,該鐵磁流體導引包含上部鐵磁流體密封130及下部鐵磁流體密封130',其進一步闡述於圖3、圖4A及圖4B中。
本發明之一個特徵在於,軸128至旋轉台104之連接自基板載體110之中心偏移。相反,許多已知CVD反應器使用基本上在軸128上平衡基板載體110之中心軸設計。本發明之軸128設計及幾何形狀在馬達126與基板載體110之間提供更穩健的機械連接,從而可縮短達成最大旋轉速度與最小振動之時間。因此,本發明之軸128設計及幾何形狀使基板載體110更快地線性變化至期望之旋轉速率,從而產生更佳製程控制與更高通量。此外,本發明之軸128設計及幾何形狀使基板能 夠定位於基板載體110之中心。因此,基板載體110之中心將不會出現由中心軸造成之顯著溫度變化。
在一個實施例中,軸128含有某種介電材料。例如,軸128可至少部分地由石英或氮化硼形成。介電材料具有一些優於金屬之優點。例如,介電材料在一些處理條件下會保持較冷,因此,其可提供較金屬軸更小之熱損失,且亦可減少至馬達及冷卻區段106中之其他組件之熱量轉移。例如,由石英形成之軸128與金屬軸相比可顯著減小熱損失。
馬達軸承132係在馬達126之任一側上毗鄰軸128定位,以在軸128旋轉時導引該軸。在一些實施例中,馬達軸承132經空氣或惰性氣體吹掃。另外,在許多實施例中,馬達軸承132經空氣或流體冷卻以維持低維護操作條件。例如,軸承132可經水冷卻。在一些實施例中,馬達126在正常處理條件下係冷卻至小於50攝氏度。
軸128係由撓性構件附接至旋轉台104,該撓性構件容許旋轉台104與旋轉介電支撐件108之間有不同的熱膨脹速率。例如,旋轉介電支撐件108可在其下端處由彈簧(例如傾斜螺旋彈簧133)連接至平坦圓形板,該彈簧使得旋轉介電支撐件108對準旋轉台104之中心並將該旋轉介電支撐件鎖定至該旋轉台,而不使用習用非撓性緊固件。該附接方式使介電支撐件108與圓形板保持同心,且容許發生差熱膨脹而不壓迫旋轉介電支撐件108且不可能使其破裂。
圖2圖解說明結合圖1所闡述之CVD反應器100之剖面之頂部角的展開視圖,顯示由上部鐵磁流體密封130形成之真空區域。該展開視圖顯示具有旋轉台104及介電支撐件108之真空室102之頂部角。亦顯示加熱器總成114,包括加熱器基底板118及電極122,該電極穿過中空導管134並與加熱元件115連接。顯示軸128穿過上部鐵磁流體密封130並與旋轉台104連接。對於此設計而言,在室102壁內側、介電支 撐件108外側及內側且在旋轉台104上方及下方之間隙中存在真空。
圖3圖解說明整個鐵磁流體導引之簡化視圖,顯示加熱器安裝凸緣150及加熱器支撐結構152。參考圖1、圖2及圖3,鐵磁流體導引包括中空導管134,該中空導管大至足以包封向定位於介電支撐件108內側之加熱器總成114提供設施之結構。在所示實施例中,中空導管134包括用於包封支撐加熱器總成114之加熱器支撐結構152之空間,該支撐加熱器總成係定位於旋轉介電支撐件結構108內側。中空導管134亦包括用於向加熱器總成114供能之電極122之空間及用於流體冷卻管線之空間。
加熱器支撐結構152之上端係附接至加熱器總成114。加熱器支撐結構152之下端牢牢附接至加熱器安裝凸緣150,該加熱器安裝凸緣係栓接至CVD室100之底部。加熱器安裝凸緣150包括O形環或其他類型之真空密封。電極122及流體冷卻管線穿過安裝凸緣150,其在該安裝凸緣中連接至CVD反應器100外側之設施。加熱器支撐結構152、電極122及流體冷卻管線在軸128旋轉時保持靜止。
包封馬達126之上部外殼124將軸承132之外座圈及馬達126之定子126'牢固地夾持就位。軸128將軸承132之內部座圈及馬達126之轉子126"牢固地夾持就位。上部及下部鐵磁流體真空密封130、130'係於外殼中定位在各軸承132上方及下方。上部及下部鐵磁流體真空密封130、130'動態地密封真空,其在該真空中接觸軸128之外徑。在一些實施例中,有孔穿過靠近馬達126及軸承132之區中之上部及下部外殼124、124'之壁,以便該等組件可與周圍環境連通。此佈置容許軸承132及馬達126在溫和的大氣壓力環境中操作,同時軸128穿透動態密封並在發生處理之經抽真空之室102內側提供旋轉運動。
圖4A及4B圖解說明上部及下部鐵磁流體密封130、130'之更詳細視圖,從而更清晰地圖解說明真空區域。適宜鐵磁流體密封係購自 Santa Clara,CA之FerroTec公司。該等鐵磁流體密封適用於本發明CVD系統。具體而言,該等鐵磁流體密封不需要任何額外潤滑。此外,該等鐵磁流體密封可在寬參數範圍內操作,且其可保持1.5×△壓力之最小值。鐵磁流體密封之旋轉速率範圍為小於1rmp至超過10,000rpm,但對於高速操作而言,使操作速度緩慢線性變化至關重要。速度範圍具有軸直徑依賴性。此旋轉速率改變適用於CVD處理。鐵磁流體密封之溫度範圍為約0℃至200℃。伴有適當加熱或冷卻之鐵磁流體密封之實際操作範圍為-100℃至2000℃,此一定在本發明CVD系統之範圍內。鐵磁流體密封之操作壽命通常介於約5年與10年之間,但許多該等鐵磁流體密封具有超過20年之已知壽命。
圖4A圖解說明鐵磁流體導引之上部分及周圍組件之剖視圖,包括馬達之細節。參考圖1及圖4A二者,上部鐵磁流體密封130係靠近CVD反應器100之上部角定位。上部外殼124包封CVD反應器100中之上部鐵磁流體密封130。顯示旋轉台104以及加熱器基底板118及介電支撐件108。圖4A亦顯示加熱器安裝管160,其連接至加熱器基底板118。
對於此設計而言,在真空室102內側(包括周圍電極122之區)、介電支撐件108外側、介電支撐件108內側、旋轉台104上方之間隙162中及旋轉台104下方之間隙162'中存在真空。此外,軸128之整個內徑皆係處於真空中。另外,軸128之上端完全處於真空中。軸128之外徑在軸128之上端附近穿過上部鐵磁流體密封130。在鐵磁流體上部密封130下方,軸128之外徑以及上部軸承132及馬達126處於大氣壓力下。
將軸承132及馬達126定位於大氣中具有諸多性能及維護優點。一個優點在於,將軸承定位於空氣中使得可較容易地將其冷卻,且提供可經潤滑以增加該等軸承之效率及壽命之環境。另一優點在於,可使用諸多市售標準馬達,且在於可較容易地將該等馬達冷卻。
圖4A中所示鐵磁流體密封之視圖亦圖解說明馬達126及軸承之細節。轉子126"與軸128接觸定位。定子126'定位於轉子126"後。諸多類型之馬達可與本發明CVD反應器一起使用。馬達126可藉由移除上部外殼124來移除。軸承132係定位於轉子126"上方上部鐵磁流體密封130下方之大氣區域中。
圖4B圖解說明鐵磁流體導引之下部分及周圍組件(包括安裝凸緣150)之剖視圖。鐵磁流體導引之下部分130'之視圖顯示許多與結合圖3A所闡述之上部鐵磁流體導引之視圖相同之組件,包括電極122、加熱器安裝管160、軸128及馬達126之底部。軸承132係毗鄰定子126'定位。此外,下部鐵磁流體密封130'係毗鄰軸承132定位。
下部鐵磁流體密封130'上方之組件(例如軸承132及馬達126之下部分)係處於大氣壓力下。下部鐵磁流體密封130'下方之區係處於真空下。另外,軸128之整個內徑係處於真空中。軸128與加熱器安裝管160之間亦有真空間隙170。此外,軸128之下端完全處於真空中。在軸128之下端附近,軸128之外徑穿過下部鐵磁流體密封130'靠近安裝凸緣150。
圖5圖解說明本發明單一基板載體500之俯視圖。基板載體500可由諸多類型之材料(例如石墨、SiC、金屬及陶瓷材料)形成。對於本發明之一些實施例而言,期望形成可在局部區中或以預定等值線容易地進行機械加工之材料之基板載體500。在其他實施例中,期望形成可在局部區中容易地接受其他材料之材料之基板載體500。在其他實施例中,期望形成可在局部區中接受具有不同定向或具有經修改性質之不同材料或相同材料之插件之材料的基板載體500。
基板載體500為圓形,具有經修圓邊緣502。本發明基板載體可具有許多不同類型之經修圓邊緣中之任一者,且該等經修圓邊緣可為切角邊緣或斜切邊緣。在許多實施例中,基板載體500之經修圓邊緣 在整個基板載體500內皆相同。然而,在一些實施例中,基板載體500之各個區之經修圓邊緣不同,從而影響製程氣體流動。
基板載體500具有用於接收基板之凹陷區504。對於單一基板而言,凹陷區504居中定位。凹陷區504之凹陷深度深至足以固定正常處理條件下所使用之期望旋轉速率下之基板。此外,凹陷之徑向尺寸應使得基板在正常處理條件下不發生顯著擺動。
可針對各種製程將基板載體500之厚度最佳化。較薄基板載體500通常具有較佳的熱反應時間。然而,較厚基板載體500通常具有較佳的熱均勻性。可針對具體製程之熱反應時間與熱均勻性間之最佳折衷來將基板載體500之厚度最佳化。
基板載體500之重量亦至關重要。基板載體500必須具有足夠的質量以維持其在正常處理條件下於介電支撐件之頂部上之位置。此要求相對較容易滿足,此乃因製程氣體流將迫使基板載體500與介電支撐件108之頂部接觸。此外,基板載體500必須具有足夠的質量以維持其在從下方被吹掃時於介電支撐件108之頂部上之位置。此要求較難以滿足,此乃因吹掃在介電支撐件內側與外側之間產生淨正壓力,該淨正壓力對基板載體500產生提升力。
另外,較重基板載體500通常可改良加熱器114與真空室102之間之分離。該較重基板載體500將具有相對較高之熱質量,此將產生增加之熱穩定性及增加之熱均勻性,但產生相對較緩慢之熱反應時間。
圖6圖解說明結合圖5所闡述之本發明單一基板載體500之展開俯視圖。展開俯視圖600顯示複數個用以支撐基板之凸舌602。基板在處理期間倚靠在該等凸舌602上。可使用諸多類型之凸舌。例如,凸舌602可為如所示之三角形凸舌602,其沿基板載體502之邊緣定位於若干位置處。此乃因基板載體500隨其溫度線性變化至期望之處理溫度而膨脹,而許多類型之基板之尺寸基本上保持相同。凸舌602經確定 尺寸以使其在製程之整個操作溫度範圍內皆支撐基板。
圖7圖解說明結合圖5所闡述之本發明單一基板載體500之側視圖。基板載體500係定位於介電支撐件108之頂部上。該側視圖圖解說明基板載體500之具有平坦底部表面506之經修圓邊緣502,該底部表面接觸旋轉介電支撐件108之頂部。在圖4中所示之實施例中,基板載體500僅藉由摩擦於旋轉介電支撐件108之頂部上保持於定位。亦即,介電支撐件108之重量及介電支撐件108之頂部表面與基板載體500之邊緣502之平坦底部表面506之摩擦係數使得基板載體500在正常處理條件下以期望之旋轉速率旋轉時及在吹掃期間建立壓力差時不滑動。
基板載體500亦包括與旋轉介電支撐件108之內側表面對準之垂直邊沿508。垂直邊沿508經定位且經確定尺寸以使基板載體500在正常處理條件下以期望之旋轉速率旋轉時不擺動。介電支撐件108之熱膨脹係數與基板載體500之熱膨脹係數相比極低。因此,當基板載體500之溫度線性變化至處理溫度時,基板載體500膨脹,且垂直邊沿508與旋轉介電支撐件108內側壁間之間隙減小,由此更牢固地固持基板載體500並減少擺動。
單一晶圓基板載體500之側視圖較清晰地圖解說明經修圓邊緣502。修圓基板載體500之邊緣502具有諸多特徵及優點。基板載體500之經修圓邊緣502減少基板載體500於邊緣502處之表面積。表面積減少使邊緣502處熱質量較小且因此使基板載體500之邊緣502處之熱損失較小。具體而言,邊緣502處將有顯著較低之輻射熱量損失。
此外,基板載體500之經修圓邊緣502使邊緣502之表面上方之製程氣體流較均勻。邊緣502處之較均勻製程氣體流改良在整個所處理基板之表面上流動之製程氣體之均勻性。另外,經修圓邊緣502與基板載體500之內部分相比減少了將基板載體之邊緣502加熱至較高溫度之需要,此乃因經修圓邊緣502將在正常操作條件內輻射遠遠更少之 熱量。
另外,圖4中所示單一基板載體500之側視圖較清晰地圖解說明用於接收基板之凹陷區504。凹陷區504之深度及凹陷區504之底部表面之平坦度係決定所處理基板內之溫度均勻性且因此決定藉由CVD生長之膜之厚度均勻性的重要變量。更特定而言,基板之生長表面之溫度取決於基板載體500之溫度且取決於基板載體500與基板間之熱量轉移。
熱量轉移可藉助傳導、對流、輻射或藉助熱量轉移機制之組合發生。對於CVD處理而言,熱量轉移之傳導及對流模型並非特別準確。對於較小基板而言,傳導模型最準確,其中在基板與基板載體之間通常僅有相對較小之間隙,為約50-100微米。混合型傳導-對流模型假定,熱量轉移藉助傳導及對流二者發生。對於較大基板而言,此模型較為準確,其中可在基板與基板載體之間有較大間隙,可為約300-500微米。例如,8英吋基板可在基板與基板載體之間具有相對較大之間隙,此導致大量傳導式及對流式熱量轉移。熱量轉移之輻射模型假定,熱量轉移藉助輻射發生。對於一些使用不透明基板(例如矽基板)之製程而言,此模型較為準確。
基板之生長表面之溫度亦受材料處理系統中之諸多其他不均勻性影響。例如,基板之生長表面之溫度受以下因素影響:基板上方製程氣體流之不均勻性、製程室之壁附近之邊緣效應及處理系統中之諸多其他缺陷及不對稱性。
此外,基板之形狀亦影響基板之生長表面之溫度。具體而言,基板通常並非完全圓形。基板通常包括定向平面,且該等基板在處理期間亦往往彎曲及扭曲。基板彎曲係未經夾持之自由基板之中間表面之中心點與中間表面參考平面之偏差,該中間表面參考平面係由直徑小於基板之標稱直徑且為指定量之圓上三個等間隔之點確立。與彎曲 一樣,扭曲係未經夾持之自由基板之中間表面距參考位置之最大距離與最小距離間之差異的量度。基板之彎曲及扭曲隨許多因子(例如基板之內應力、沈積溫度、於基板上生長之結構及製程室中之溫度梯度)而變化。
許多材料製程需要極高產量以在工業中具有競爭性。例如,極其期望達成LED及半導體雷射器件之高製程產量以使該等器件在工業中具有競爭性。具體而言,當前,工業中需要改良用以製造LED及半導體雷射器件之VPE製程之產量。對於許多LED及半導體雷射應用而言,達成隨時間穩定之數奈米內之精確發射波長較為關鍵。發射波長超出某一預定窄範圍之器件被丟棄或以折扣價格出售,從而降低目標製程產量。
該等器件之發射波長在很大程度上取決於生長溫度且取決於至少一些半導體層之固相組成。具體而言,生長具有期望發射波長及光學性質之多量子井結構需要精確控制溫度、層厚度及基板之生長表面處之組成。因此,必須精確控制生長溫度以在基板之整個生長表面上達成均勻材料性質,以便達成高製程產量。即使基板上之溫度完全均勻,發射波長仍會因沈積室內之氣相空乏或組成差異而顯著變化。因此,有時期望有意地誘導溫度不均勻性以補償氣相及其他不均勻性。在許多情形下,期望使用溫度作為控制變量,此乃因不能容易地操縱局部氣相組成。
因此,本發明之一個態樣係製造或修改本文所闡述之基板載體以修改由基板載體支撐之基板上之溫度均勻性的方法。在本發明之各實施例中,可改良或有意地降低溫度均勻性以改良製程性能。因此,在本發明之一些方法中,基板載體500經製作或修改以匹配基板表面之曲率,以使基板在生長表面處具有較均勻之溫度。在其他方法中,基板載體經製作或修改以在由基板載體支撐之基板上提供預定溫度分 佈。
在許多情況下,難以準確量測並控制所處理基板之溫度及/或製程室中之局部氣相組成,以便獲得製作或修改基板載體以補償基板表面上之不均勻性且補償處理系統中之其他不均勻性所必需之資訊。當基板為光學透明時,溫度量測尤其困難。根據本發明修改基板載體以改良處理性能之一種方法包括對基板或於基板上製作之器件進行生長後量測或分析。然後,使用自量測及分析獲得之所得數據來修改基板載體或製作具有以下規範之新基板載體:補償因處理系統中之不均勻性所致與基板相關之不均勻製程參數,例如溫度及/或氣相不均勻性。
在本發明之方法中,量測於定位於基板載體500上之基板上製作之器件的一或多個參數,其隨該等器件在基板載體上之相應位置而變化。該等參數可為任一類型之參數,包括(但不限於)光學參數、電學參數或電光學參數。例如,該等參數可為電學或光學器件之性能度量。在一個特定實施例中,所量測參數係由光學器件(例如發光二極體或半導體雷射)產生之光學發射之波長。然後,使用自量測及分析獲得之所得數據來修改基板載體500,或製作可用以製作具有期望特性之器件之新基板載體500。基板載體500可藉由以下方式來修改:在預定區中移除材料,或在預定區中添加材料或在各個預定區中移除材料與添加材料之任一組合。所添加材料可為與基板載體500相同之材料,或可為不同材料。
在本發明之一個實施例中,基板載體500具有用於在處理期間支撐基板之多階型凹陷。本發明之一個態樣在於,基板載體500在階下之階高及/或熱導率之小的改變可改變基板之生長表面處之溫度,從而使得該基板載體具有較均勻之溫度分佈或具有預定之期望溫度分佈。例如,參見2009年12月2日提出申請之標題為「Method for Improving Performance of a Substrate Carrier」之美國專利申請案第12/629,467號,該申請案已讓與本受讓人。美國專利申請案第12/629,467號之整個說明書係以引用方式併入本文中。
本發明之另一態樣在於,可使用開槽介電支撐件108來對用於化學氣相沈積之基板載體110進行機械定心。在基板載體110與介電支撐件108之間提供機械鎖定以改良機械定心且降低處理期間之偏心率。偏心率係造成不均勻加熱及最終不均勻器件處理之重要因素且可導致缺乏薄膜堆疊完整性。
圖8A及圖8B圖解說明介電支撐件180及配接有銷之基板載體190,在其由旋轉台旋轉時,該等銷將基板載體190較牢固地附接至介電支撐件180。參考圖8A及圖8B二者,圖8A圖解說明本發明介電支撐件180之透視圖,該介電支撐件包括槽182用於以機械方式將基板載體190鎖定至介電支撐件180。在各實施例中,槽182可以各個長度自介電支撐件180之頂部向下提供,以改良設計中之機械歸心性。以機械方式將基板載體190鎖定至介電支撐件180係用複數個銷192來實現。在一些特定實施例中,使用3個或4個鎖定銷192。圖8B顯示包括銷192之基板載體190之透視圖,該等銷鎖定至介電支撐件180之頂部中。
在其他實施例中,可使用在基板載體110、190上包含切口之鎖定機構。例如,可使用基板載體110、190上與自介電支撐件108、180突出之柱耦合之切口。使用該機構需要預定位介電支撐件108、180及基板載體110、190,此會增加製程之複雜性及時間,但與僅使用開槽介電支撐件相比具有對速度不敏感之優點。
等效形式
儘管本申請者之教示係結合各實施例進行闡述,但並非意欲將本申請者之教示限於該等實施例。相反,本申請者之教示涵蓋可在其 中作出之各種替代形式、修改形式及等效形式,如彼等熟習此項技術者所應瞭解,此並不背離本發明之精神及範圍。
100‧‧‧化學氣相沈積反應器
102‧‧‧真空室
104‧‧‧旋轉台
108‧‧‧旋轉介電支撐件/石英介電支撐件/開槽介電支撐件
110‧‧‧基板載體
112‧‧‧反應區
114‧‧‧加熱器總成
115‧‧‧加熱元件
118‧‧‧熱器基底板
120‧‧‧功率導引
122‧‧‧電極/電導體
124‧‧‧上部外殼
126‧‧‧馬達
128‧‧‧軸
130‧‧‧上部鐵磁流體密封
130'‧‧‧下部鐵磁流體密封
132‧‧‧馬達軸承
133‧‧‧傾斜螺旋彈簧
134‧‧‧中空導管

Claims (39)

  1. 一種用於化學氣相沈積之單一基板載體,該單一基板載體包含:a)主體;b)頂部表面,其位於該主體上,該頂部表面具有用於接收基板之凹陷區;c)經修圓邊緣,其形成在該頂部表面之邊緣上,該經修圓邊緣具有降低熱損失且增加在該基板上方流動之製程氣體之均勻性之形狀;d)該經修圓邊緣之底部處之實質上平坦的表面,其用於定位於一旋轉介電支撐件之頂部上;及e)垂直邊沿,其靠近該經修圓邊緣定位,其中該旋轉介電支撐件及該基板載體分別具有一熱膨脹係數,該熱膨脹係數經選擇,以使在操作時該垂直邊沿及該旋轉介電支撐件之一內側壁之間的一間隙減小,以更牢固地固持該基板載體並減少擺動。
  2. 如請求項1之基板載體,其中該主體為圓形。
  3. 如請求項1之基板載體,其中該基板載體係由石墨、SiC、金屬及陶瓷材料中之至少一者形成。
  4. 如請求項1之基板載體,其中該基板載體之該凹陷區係機械加工至預定等深線,此在整個該基板載體內產生期望之熱特性。
  5. 如請求項1之基板載體,其中該基板載體之該凹陷區包含材料插件,此在整個該基板載體內產生期望之熱特性。
  6. 如請求項5之基板載體,其中該材料之至少一種熱性質不同於形成該基板載體之該材料之該熱性質。
  7. 如請求項1之基板載體,其中該基板載體之該凹陷區包含多層底 部表面。
  8. 如請求項1之基板載體,其中該凹陷區包含用於支撐該基板之凸舌。
  9. 如請求項8之基板載體,其中該等凸舌之形狀為三角形。
  10. 如請求項8之基板載體,其中該等凸舌係由吸收該基板載體於抵抗該基板膨脹時所產生之至少一部分力之材料形成。
  11. 如請求項8之基板載體,其中在該基板載體之該溫度增加時,該等凸舌減少該基板上之機械應力。
  12. 如請求項1之基板載體,其中該等經修圓邊緣在整個邊緣上係均勻修圓的。
  13. 如請求項1之基板載體,其中該等經修圓邊緣係不均勻修圓的。
  14. 如請求項1之基板載體,其中該基板載體之重量經選擇,以使得該基板載體在處理及吹掃期間以摩擦方式附接至該旋轉介電支撐件之頂部表面。
  15. 如請求項1之基板載體,其中該垂直邊沿經確定尺寸以將該基板載體固定於期望旋轉速率下之該旋轉介電支撐件之頂部上。
  16. 如請求項1之基板載體,其中該基板載體係由具有以下熱膨脹係數之材料形成:引起使該基板載體牢固地固持於該旋轉介電支撐件之頂部上之基板載體膨脹。
  17. 如請求項1之基板載體,其中該垂直邊沿與該旋轉介電支撐件之該內側壁對準。
  18. 如請求項1之基板載體,其中該垂直邊沿經定位且經定尺寸以使該基板載體以期望旋轉速率旋轉時不擺動。
  19. 如請求項1之基板載體,其中該介電支撐件之熱膨脹係數較該基板載體之熱膨脹係數低。
  20. 如請求項1之基板載體,其中該介電支撐件及該基板載體中至少 一者之熱膨脹係數經選擇,以使該基板載體在處理期間以期望旋轉速率旋轉時不擺動。
  21. 一種用於化學氣相沈積之多基板載體,該多基板載體包含:a)主體;b)頂部表面位於該主體上,該頂部表面,其具有複數個用於接收複數個基板之凹陷區;c)經修圓邊緣形成在該頂部表面之邊緣上,該經修圓邊緣,其具有降低熱損失且增加在該複數個基板上方流動之製程氣體之均勻性之形狀;d)該經修圓邊緣之底部處之實質上平坦的表面,其用於定位於一旋轉介電支撐件之頂部上;e)垂直邊沿,其靠近該經修圓邊緣定位,其中該旋轉介電支撐件及該基板載體分別具有一熱膨脹係數,該熱膨脹係數經選擇,以使在操作時該垂直邊沿及該旋轉介電支撐件之一內側壁之間的一間隙減小,以更牢固地固持該基板載體並減少擺動;及f)附件,其用於旋轉該多基板載體之軸,其定位於該多基板載體之該底部表面上。
  22. 如請求項21之多基板載體,其中該主體為圓形。
  23. 如請求項21之多基板載體,其中該多基板載體係由石墨、SiC、金屬及陶瓷材料中之至少一者形成。
  24. 如請求項21之多基板載體,其中該多基板載體之該複數個凹陷區中之至少兩者具有不同尺寸。
  25. 如請求項21之多基板載體,其中該等凹陷區中之至少一者係機械加工至預定等深線,此在整個該多基板載體內產生期望之熱特性。
  26. 如請求項21之多基板載體,其中該基板載體之該等凹陷區中之至少一者包含材料插件,此在整個該多基板載體之至少一部分內產生期望之熱特性。
  27. 如請求項26之多基板載體,其中之該材料之至少一種熱性質與形成該基板載體之該材料之該熱性質不同。
  28. 如請求項21之多基板載體,其中該基板載體之該等凹陷區中之至少一者包含多層底部表面。
  29. 如請求項21之多基板載體,其中該等凹陷區中之至少一者包含用於支撐該基板之凸舌。
  30. 如請求項29之多基板載體,其中該等凸舌之形狀為三角形。
  31. 如請求項21之多基板載體,其中該等經修圓邊緣在該整個邊緣上係均勻修圓的。
  32. 如請求項21之多基板載體,其中該等經修圓邊緣係不均勻修圓的。
  33. 如請求項21之多基板載體,其中該基板載體之重量經選擇,以使得該基板載體在處理及吹掃期間以摩擦方式附接至該旋轉介電支撐件之頂部表面。
  34. 如請求項21之多基板載體,其中該垂直凸舌經定尺寸以將該基板載體固定於期望旋轉速率下之該旋轉介電支撐件之頂部上。
  35. 一種用於化學氣相沈積之單一基板載體,該單一基板載體包含:a)主體;b)頂部表面位於該主體上,該頂部表面,其具有用於接收基板之凹陷區;c)經修圓邊緣形成在該頂部表面之邊緣上,該經修圓邊緣,其具有降低熱損失且增加在該基板上方流動之製程氣體之均勻性之形狀; d)該經修圓邊緣之底部處之實質上平坦的表面,其用於定位於一旋轉介電支撐件之頂部上;及e)垂直邊沿,其被定位於靠近該經修圓邊緣且經定尺寸以固定該基板載體在一介電支撐件之頂部上並減小在期望旋轉速率下該基板載體之擺動。
  36. 如請求項35之基板載體,其中該垂直邊沿與該旋轉介電支撐件之內側壁對準。
  37. 如請求項35之基板載體,其中該垂直邊沿經定位且經定尺寸以使該基板載體以該期望旋轉速率旋轉時不擺動。
  38. 如請求項35之基板載體,其中該介電支撐件之熱膨脹係數較該基板載體之熱膨脹係數低。
  39. 如請求項35之基板載體,其中該介電支撐件及該基板載體中至少一者之熱膨脹係數經選擇,以使該基板載體以該期望旋轉速率旋轉時不擺動。
TW105105158A 2012-05-18 2013-05-14 用於化學氣相沉積之基板載體 TWI585229B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261648646P 2012-05-18 2012-05-18
US201261648640P 2012-05-18 2012-05-18
US201361781858P 2013-03-14 2013-03-14

Publications (2)

Publication Number Publication Date
TW201619422A TW201619422A (zh) 2016-06-01
TWI585229B true TWI585229B (zh) 2017-06-01

Family

ID=49584171

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105105158A TWI585229B (zh) 2012-05-18 2013-05-14 用於化學氣相沉積之基板載體
TW102117105A TWI537413B (zh) 2012-05-18 2013-05-14 用於化學氣相沉積之具有鐵磁流體密封之旋轉盤反應器

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW102117105A TWI537413B (zh) 2012-05-18 2013-05-14 用於化學氣相沉積之具有鐵磁流體密封之旋轉盤反應器

Country Status (8)

Country Link
US (2) US20150075431A1 (zh)
EP (1) EP2850221B1 (zh)
JP (2) JP6184479B2 (zh)
KR (2) KR101891007B1 (zh)
CN (2) CN105734532B (zh)
SG (1) SG11201406137VA (zh)
TW (2) TWI585229B (zh)
WO (1) WO2013173152A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150075431A1 (en) 2012-05-18 2015-03-19 Veeco Instruments Inc. Rotating Disk Reactor With Ferrofluid Seal For Chemical Vapor Deposition
US20160042961A1 (en) * 2014-08-06 2016-02-11 Applied Materials, Inc. Electron beam plasma source with rotating cathode, backside helium cooling and liquid cooled pedestal for uniform plasma generation
KR20180000721A (ko) 2015-05-21 2018-01-03 플라즈마빌리티, 엘엘씨 성형된 피처리물 지지체를 갖는 토로이달 플라즈마 처리 장치
US9748113B2 (en) 2015-07-30 2017-08-29 Veeco Intruments Inc. Method and apparatus for controlled dopant incorporation and activation in a chemical vapor deposition system
DE202016104588U1 (de) 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
USD819580S1 (en) * 2016-04-01 2018-06-05 Veeco Instruments, Inc. Self-centering wafer carrier for chemical vapor deposition
US9806183B2 (en) 2015-11-30 2017-10-31 Veeco Instruments, Inc. Stress control on thin silicon substrates
DE102017203255A1 (de) 2016-03-02 2017-09-07 Veeco Instruments Inc. Peripheriespülverschluss -und flusssteuerungssysteme und -verfahren
USD803283S1 (en) 2016-05-16 2017-11-21 Veeco Instruments Inc. Wafer handling assembly
WO2018071598A1 (en) * 2016-10-12 2018-04-19 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US10573549B2 (en) 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US9892956B1 (en) 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10512862B2 (en) 2017-09-26 2019-12-24 Veeco Instruments Inc. Filter element for wafer processing assembly
US10704693B2 (en) 2018-03-30 2020-07-07 Varian Semiconductor Equipment Associates, Inc. Cryogenic ferrofluid sealed rotary union
DE102018113400A1 (de) * 2018-06-06 2019-12-12 Aixtron Se CVD Reaktor mit Tragring zum Substrathandhaben
US11427912B2 (en) 2018-06-25 2022-08-30 Applied Materials, Inc. High temperature rotation module for a processing chamber
JP7224139B2 (ja) * 2018-10-25 2023-02-17 東京エレクトロン株式会社 ステージ装置および処理装置
CN113838793A (zh) * 2020-06-24 2021-12-24 拓荆科技股份有限公司 用于晶圆自动旋转的装置及设备
TWI735287B (zh) * 2020-07-13 2021-08-01 勤友光電股份有限公司 傳動裝置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201145446A (en) * 2010-03-03 2011-12-16 Veeco Instr Inc Wafer carrier with sloped edge

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4275282A (en) * 1980-03-24 1981-06-23 Rca Corporation Centering support for a rotatable wafer support susceptor
JPS61215289A (ja) * 1985-03-19 1986-09-25 Toshiba Mach Co Ltd 気相成長装置
JPS62118519A (ja) * 1985-11-19 1987-05-29 Mitsubishi Electric Corp 半導体基板加熱装置
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPH0736390B2 (ja) * 1989-01-11 1995-04-19 日新電機株式会社 気相成長装置
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
JPH0687463B2 (ja) * 1989-08-24 1994-11-02 株式会社東芝 半導体気相成長装置
JPH04157717A (ja) * 1990-10-22 1992-05-29 Toshiba Mach Co Ltd 気相成長用ウエハ加熱装置
US5267607A (en) * 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
DE4207341C1 (zh) * 1992-03-09 1993-07-15 Acr Automation In Cleanroom Gmbh, 7732 Niedereschach, De
CN1046654C (zh) * 1993-04-16 1999-11-24 布鲁克斯自动化公司 传送装置
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US6242049B1 (en) * 1994-09-08 2001-06-05 Sandia Corporation Sealable stagnation flow geometries for the uniform deposition of materials and heat
JP3617860B2 (ja) * 1994-09-16 2005-02-09 株式会社東芝 基板処理方法および基板処理装置
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5562947A (en) 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US5660472A (en) * 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
TW331652B (en) * 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
JP3430277B2 (ja) * 1995-08-04 2003-07-28 東京エレクトロン株式会社 枚葉式の熱処理装置
JPH09241850A (ja) * 1996-03-04 1997-09-16 Kokusai Electric Co Ltd Cvd装置
US6217663B1 (en) * 1996-06-21 2001-04-17 Kokusai Electric Co., Ltd. Substrate processing apparatus and substrate processing method
US5837058A (en) * 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
WO1998023788A1 (en) * 1996-11-27 1998-06-04 Emcore Corporation Chemical vapor deposition apparatus
US6149760A (en) * 1997-10-20 2000-11-21 Tokyo Electron Yamanashi Limited Plasma processing apparatus
US6200388B1 (en) * 1998-02-11 2001-03-13 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6080241A (en) 1998-09-02 2000-06-27 Emcore Corporation Chemical vapor deposition chamber having an adjustable flow flange
US6234788B1 (en) * 1998-11-05 2001-05-22 Applied Science And Technology, Inc. Disk furnace for thermal processing
US6264467B1 (en) * 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
US6500266B1 (en) * 2000-01-18 2002-12-31 Applied Materials, Inc. Heater temperature uniformity qualification tool
JP3564038B2 (ja) * 2000-04-17 2004-09-08 株式会社リガク 軸封装置
JP2002164685A (ja) * 2000-11-29 2002-06-07 Matsushita Electric Ind Co Ltd 真空処理装置と電磁シールド装置及び傾斜コイルばね
JP2002212729A (ja) * 2001-01-17 2002-07-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6506252B2 (en) * 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
JP4204466B2 (ja) 2001-08-14 2009-01-07 株式会社パウデック 化学気相成長装置
JP2003109907A (ja) * 2001-09-28 2003-04-11 Hitachi Kokusai Electric Inc 基板処理装置
CN100338734C (zh) 2001-11-30 2007-09-19 信越半导体株式会社 基座、气相生长装置、外延晶片的制造装置、外延晶片的制造方法和外延晶片
US7070660B2 (en) * 2002-05-03 2006-07-04 Asm America, Inc. Wafer holder with stiffening rib
US7122844B2 (en) 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
JP4296263B2 (ja) 2003-09-11 2009-07-15 独立行政法人産業技術総合研究所 基板加熱用清浄超高温ヒータ
KR101109912B1 (ko) * 2004-07-09 2012-06-27 세키스이가가쿠 고교가부시키가이샤 베이스 외주 처리 방법 및 장치
KR100825356B1 (ko) * 2004-09-16 2008-04-28 가부시키가이샤 히다치 고쿠사이 덴키 열처리장치 및 기판의 제조방법
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
JP2007042844A (ja) * 2005-08-03 2007-02-15 Furukawa Co Ltd 気相成長装置及びサセプタ
US7484782B2 (en) * 2005-08-26 2009-02-03 Intellepro, Inc. Multi-axis pick and place assembly
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
KR20080013686A (ko) * 2006-08-08 2008-02-13 순천향대학교 산학협력단 대면적 기판에 박막을 적층하기 위한 장치
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
DE102007027704A1 (de) 2007-06-15 2008-12-18 Aixtron Ag Vorrichtung zum Beschichten von auf einem Suszeptor angeordneten Substraten
JP2009088088A (ja) * 2007-09-28 2009-04-23 Sharp Corp 基板処理装置および基板処理方法
US8324063B2 (en) 2007-11-08 2012-12-04 Sumco Corporation Epitaxial film growing method, wafer supporting structure and susceptor
US20090280248A1 (en) * 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
JP2010129764A (ja) * 2008-11-27 2010-06-10 Nuflare Technology Inc サセプタ、半導体製造装置および半導体製造方法
JP5267262B2 (ja) 2009-03-25 2013-08-21 豊田合成株式会社 化合物半導体の製造方法、化合物半導体発光素子の製造方法、化合物半導体製造装置
US9656386B2 (en) * 2010-10-08 2017-05-23 Brooks Automation, Inc. Coaxial drive vacuum robot
US8893345B2 (en) 2012-03-09 2014-11-25 The Procter & Gamble Company Cleaning article with apertured sheet and tow fibers
US20130291795A1 (en) * 2012-05-04 2013-11-07 Pinecone Energies, Inc. Thin film deposition system with cooling module
US20150075431A1 (en) 2012-05-18 2015-03-19 Veeco Instruments Inc. Rotating Disk Reactor With Ferrofluid Seal For Chemical Vapor Deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201145446A (en) * 2010-03-03 2011-12-16 Veeco Instr Inc Wafer carrier with sloped edge

Also Published As

Publication number Publication date
JP6184479B2 (ja) 2017-08-23
JP2016174187A (ja) 2016-09-29
EP2850221B1 (en) 2022-07-06
KR20160022937A (ko) 2016-03-02
TW201619422A (zh) 2016-06-01
US10718052B2 (en) 2020-07-21
EP2850221A1 (en) 2015-03-25
US20170096734A1 (en) 2017-04-06
KR101891007B1 (ko) 2018-08-22
US20150075431A1 (en) 2015-03-19
CN105734532B (zh) 2019-04-30
JP2015519752A (ja) 2015-07-09
EP2850221A4 (en) 2016-04-20
SG11201406137VA (en) 2014-11-27
TWI537413B (zh) 2016-06-11
CN104302807B (zh) 2017-04-05
TW201350603A (zh) 2013-12-16
KR20150012252A (ko) 2015-02-03
WO2013173152A1 (en) 2013-11-21
CN105734532A (zh) 2016-07-06
KR101710770B1 (ko) 2017-02-27
CN104302807A (zh) 2015-01-21

Similar Documents

Publication Publication Date Title
TWI585229B (zh) 用於化學氣相沉積之基板載體
US10438795B2 (en) Self-centering wafer carrier system for chemical vapor deposition
KR101899417B1 (ko) 제위치에서의 파이로미터 교정을 위한 방법 및 시스템
JP5926730B2 (ja) 改良されたウェハキャリア
US9637822B2 (en) Multi-rotation epitaxial growth apparatus and reactors incorporating same
US7070660B2 (en) Wafer holder with stiffening rib
US7699604B2 (en) Manufacturing apparatus for semiconductor device and manufacturing method for semiconductor device
US20110073037A1 (en) Epitaxial growth susceptor
US20200248307A1 (en) Rotating Disk Reactor with Self-Locking Carrier-to-Support Interface for Chemical Vapor Deposition
US20220243325A1 (en) Rotating Disk Reactor with Split Substrate Carrier
US20220068700A1 (en) Reactor with Centering Pin for Epitaxial Deposition
US20210095374A1 (en) CVD Reactor Single Substrate Carrier and Rotating Tube for Stable Rotation
JP2018522401A (ja) 化学蒸着のための自己心合ウエハキャリアシステム
JP2018522401A5 (zh)
US20140133950A1 (en) Insertion/Extraction Tool For Components In Housing
TW202302939A (zh) 一種用於晶圓外延生長的晶圓支撐桿裝置、設備及方法