TWI584371B - 用於電漿切割半導體晶圓之方法和設備 - Google Patents

用於電漿切割半導體晶圓之方法和設備 Download PDF

Info

Publication number
TWI584371B
TWI584371B TW105120752A TW105120752A TWI584371B TW I584371 B TWI584371 B TW I584371B TW 105120752 A TW105120752 A TW 105120752A TW 105120752 A TW105120752 A TW 105120752A TW I584371 B TWI584371 B TW I584371B
Authority
TW
Taiwan
Prior art keywords
substrate
workpiece
plasma
mechanical
processing chamber
Prior art date
Application number
TW105120752A
Other languages
English (en)
Other versions
TW201637094A (zh
Inventor
林內爾 馬丁內斯
伏樂得 大衛 培
克里斯 強生
大衛 強生
羅素 威斯特曼
高登M 葛瑞弗納
Original Assignee
帕斯馬舍門有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/787,032 external-priority patent/US9070760B2/en
Application filed by 帕斯馬舍門有限責任公司 filed Critical 帕斯馬舍門有限責任公司
Publication of TW201637094A publication Critical patent/TW201637094A/zh
Application granted granted Critical
Publication of TWI584371B publication Critical patent/TWI584371B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Dicing (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

用於電漿切割半導體晶圓之方法和設備
本發明係有關於一種用於從一半導體晶圓形成個別的裝置晶片之設備的使用,並且尤其是有關於一種使用電漿蝕刻以分開該晶圓成為個別的晶粒之設備。
相關申請案的交互參照
此申請案係主張優先權並且相關於共同擁有的2011年3月14日申請的名稱為:用於電漿切割半導體晶圓的設備之美國臨時專利申請案序號61/452,450,此臨時專利申請案在此係被納入作為參考。此申請案是2012年3月5日申請的名稱為:用於電漿切割半導體晶圓之方法和設備的共同申請中之專利申請案序號13/412,119之一部分接續案,該申請案的內容在此係被納入。此申請案也是2013年2月14日申請的,名稱為:用於電漿切割半導體晶圓之方法和設備的共同申請中之專利申請案序號13/767,459之一部分接續案,該申請案的內容在此係被納入。
半導體裝置係在具有薄晶圓的形式之基板上加以製造。矽是普遍被使用作為該基板的材料,但是例如III-V族化合物(例如GaAs及InP)的其它材料亦被使用。在某些實例(例如,LED的製造)中,該基板是一其上 沉積一薄層的一種半導電材料之藍寶石或是矽碳化物晶圓。此種基板的直徑範圍從2吋及3吋到高達200mm、300mm及450mm,並且存在許多標準(例如,SEMI)來描述此種基板尺寸。
電漿蝕刻設備係廣泛被使用在這些基板的處理中,以產生半導體裝置。此種設備通常包含一安裝有一例如是感應耦合電漿(ICP)的高密度電漿源之真空室,該高密度電漿源係被用來確保高蝕刻速率,而且是符合成本效益的製造所必要的。為了移除在該處理期間所產生的熱,該基板通常是被夾箝到一溫度受到控制的支撐件。一通常是一種例如為氦的氣體之加壓的流體係被維持在該基板與該支撐件之間,以提供一用於傳熱的導熱路徑。一種其中一向下的力被施加至該基板的頂端側之機械式夾箝機構可被使用,儘管此可能會因為在該夾箝與該基板之間的接觸而造成污染。工件彎曲亦可能會發生在利用一機械式夾箝時,因為接觸通常是做成在該工件的邊緣處,並且一加壓的流體係在該工件的背面施加一力。一種靜電夾頭(ESC)是更經常被用來提供該夾箝力。
許多適合於待被蝕刻的材料之氣體的化學成分已經被開發出來。這些氣體的化學成分經常是利用一種鹵素(氟、氯、溴或碘)或是含鹵素的氣體和額外添加的氣體一起,以改善該蝕刻的品質(例如,蝕刻各向異性、遮罩選擇性以及蝕刻均勻度)。例如是SF6、F2或是NF3之含氟的氣體係被用來以高的速率蝕刻矽。尤其,一種交替在一高速率的矽蝕刻步驟以及一保護步驟之間以控制該蝕刻側壁之製程(Bosch或是TDM)是普遍被用來蝕刻深的特點到矽中。含氯及溴的氣體通常是被用來蝕刻III-V族的材料。
電漿蝕刻並不限於半導的基板及裝置。該技術可被應用到任 何其中用以蝕刻該基板之一適當的氣體化學性質是可供利用的基板類型。其它的基板類型可包括含碳的基板(其包含聚合基板)、陶瓷基板(例如,AlTiC及藍寶石)、金屬基板、玻璃基板以及晶粒附接膜。
為了確保一致性的結果、低損壞以及操作便利性,機器人的晶圓傳輸(handling)是典型用在該製程中。機器手(handler)係被設計以最小的接觸來支承該晶圓、最小化可能的污染以及減少微粒的產生。只有邊緣的接觸或是只有在幾個靠近該晶圓邊緣(通常是在該晶圓邊緣的3-6mm內)的位置之底面接觸是一般被採用的。包含晶圓盒、機器手臂以及包括該晶圓支撐件及ESC的製程室內的夾具之傳輸方案係被設計以傳輸如同先前所指出之標準的晶圓尺寸。
在製造於該基板上之後,該些個別的裝置(晶粒或晶片)係在封裝或是被採用在其它電子電路中之前先彼此分開。許多年來,機械式裝置已經被用來將該些晶粒彼此分開。此種機械式裝置已經包含沿著與該基板晶軸對準的切割線來切斷該晶圓、或是藉由利用一高速的鑽石鋸片以在該些晶粒之間的一區域(切割道)中鋸入或是鋸穿該基板。近來,雷射已經被用來促進該切割製程。
此種機械式晶圓切割技術有一些影響到此種方法的成本效益之限制。沿著該些晶粒邊緣的碎屑(chipping)及損壞可能會降低製造出良好晶粒的數目,並且隨著晶圓厚度的減小變成是更有問題的。由該鋸片(切口(kerf))所消耗的區域可能是大於100微米,此係不能用於晶粒製造之珍貴的區域。對於包含小晶粒(例如,具有一500微米×500微米的晶粒尺寸之個別的半導體裝置)的晶圓而言,此可能代表一大於20%的損失。再者,對於 具有許多小晶粒並且因此具有許多的切割道之晶圓而言,切割時間係增長,因而生產率係降低,這是因為每個切割道都是個別切割的。機械式裝置亦被限制為沿著直線的分開,因而被限制為方形或長方形晶片的製造。此可能不代表在下面的裝置拓撲(例如,一高功率的二極體是圓形的),因而直線的晶粒格式係導致有用的基板區域之顯著的損失。雷射切割亦因為在晶粒表面上留下殘留的材料或是引發應力到晶粒中而有所限制。
重要的是注意到鋸開以及雷射切割技術都是實質串列的操作。因此,隨著裝置尺寸減小,切割該晶圓的時間係成比例於該晶圓上的總切割道長度而增長。
近來電漿蝕刻技術已經被提出作為一種分開晶粒的手段,並且克服這些限制中的某些限制。在裝置製造之後,該基板係被遮蔽一適當的遮罩材料,其係在該些晶粒之間留下開放的區域。該被遮蔽的基板係接著利用一反應性氣體電漿來加以處理,該電漿係蝕刻在該些晶粒之間露出的基板材料。該基板的電漿蝕刻可以進行部分或完全地穿過該基板。在部分穿過的電漿蝕刻的情形中,該些晶粒係藉由一後續的劈開步驟來加以分開,此係讓該些個別的晶粒成為分開的。該技術相對於機械式切割係提供一些益處:1)損壞及碎屑係被減低;2)該些切口尺寸可被縮小到遠低於20微米以下;3)處理時間並不隨著晶粒數目的增加而顯著地增長;4)對於較薄的晶圓而言處理時間係被縮短;以及5)晶粒拓撲並不限於直線的格式。
在裝置製造之後,但是在晶粒分開之前,該基板可藉由機械式研磨或是類似的製程而被薄化低到一個數百微米或甚至是小於一百微米的厚度。
在該切割製程之前,該基板通常是安裝在一切割夾具之上。此夾具通常是由一剛性框架所構成的,該框架係支承一黏著薄膜。待被切割的基板係黏著至該薄膜。此夾具係保持該些分開的晶粒以用於後續的下游操作。大多數用於晶圓切割的工具(鋸或雷射為基礎的工具)係被設計以此種配置傳輸基板,並且一些標準的夾具已經被建立;然而,此種夾具係非常不同於它們所支承的基板。儘管此種夾具係針對現有的晶圓切割設備而被最佳化,但是它們無法在已經被設計來處理標準的基板之設備中加以處理。因此,現有的自動化電漿蝕刻設備並不適合用於處理被夾持用於切割的基板,並且要實現電漿蝕刻技術用於晶粒分開之應有的益處是困難的。
一些團體已經思及利用電漿以從晶圓基板單粒化(singulate)晶粒。美國專利6,642,127係描述一種電漿切割技術,其中在被設計用於處理矽晶圓的設備中的電漿處理之前,該基板晶圓首先係經由一種黏著劑材料而附接至一載體晶圓。此技術係提出將待被切割的基板的形狀因數調適成和標準的晶圓處理設備相容的。儘管此技術係容許標準的電漿設備能夠切割該晶圓,但是所提出的技術將不會和該切割操作的下游之標準的設備相容的。將會需要額外的步驟以調適該下游設備、或是恢復用於標準的下游設備之基板的形狀因數。
美國專利申請案2010/0048001係思及使用一晶圓黏著至一薄膜並且被支承在一框架內。然而,在該2010/0048001申請案中,該遮罩 製程係藉由在電漿處理之前附著一遮罩材料至該晶圓的背面並且利用一雷射來界定該些蝕刻切割道而被達成。相對於從正面側單粒化該基板之標準的切割技術,此技術係帶來額外的複雜且昂貴的步驟,其可能否定掉電漿切割的某些優點。其亦需要對準該背面遮罩與正面側的裝置圖案之額外的要求。
因此,所需的是一種電漿蝕刻裝置,其可被利用於切割一半導體基板成為個別的晶粒,並且其係和處理一安裝在膠帶上並且被支承在一框架中的基板之已制定的晶圓切割技術相容的,並且其亦和標準的正面側遮罩技術相容的。
在習知技術中並無提供伴隨本發明的益處之技術。
因此,本發明之一目的是提供一種改良,其係克服習知技術之裝置的不足,並且其係一項對於進步到利用一電漿蝕刻裝置來切割半導體基板之重要的貢獻。
本發明之另一目的是提供一種用於電漿切割一基板之方法,該方法係包括:提供一具有一壁的處理室;提供一相鄰該處理室的該壁之電漿源;在該處理室內提供一工件支撐件;在該工件支撐件內提供一靜電夾頭,該靜電夾頭係具有一密封帶以及至少一夾箝電極;將一工件設置到該工件支撐件之上,該工件係具有一支撐膜、一框架以及該基板,其中該基板並不重疊到該密封帶;利用該靜電夾箝來將該工件靜電地夾箝至該工件支撐件;利用該電漿源以產生一電漿;以及利用該產生的電漿以蝕刻該工件。
本發明之又一目的是提供一種用於電漿切割一基板之方 法,該方法係包括:提供一具有一壁的處理室;提供一相鄰該處理室的該壁之電漿源;在該處理室內提供一工件支撐件;在該工件支撐件內提供一靜電夾頭,該靜電夾頭係具有至少一夾箝電極;將一工件設置到該工件支撐件之上,該工件係具有一支撐膜、一框架以及該基板,其中該夾箝電極係重疊到該基板的一部分;利用該靜電夾箝來將該工件靜電地夾箝至該工件支撐件;利用該電漿源以產生一電漿;以及利用該產生的電漿以蝕刻該工件。
仍然是本發明之又一目的是提供一種用於電漿切割一基板之方法,該方法係包括:提供一具有一壁的處理室;提供一相鄰該處理室的該壁之電漿源;在該處理室內提供一工件支撐件;在該工件支撐件內提供一靜電夾頭,該靜電夾頭係具有一密封帶、一流體入口以及至少一夾箝電極,該流體入口係被設置在該密封帶的一內直徑之內;將一工件設置到該工件支撐件之上,該工件係具有一支撐膜、一框架以及該基板;利用該靜電夾箝來將該工件靜電地夾箝至該工件支撐件;利用該電漿源以產生一電漿;以及利用該產生的電漿以蝕刻該工件。
本發明之另一目的是提供一種用於電漿切割一基板之方法,該方法係包括:提供一具有一壁的處理室;提供一相鄰該處理室的該壁之電漿源;在該處理室內提供一工件支撐件;在該工件支撐件之內提供一靜電夾頭;在該處理室之內提供一升降機構;利用該升降機構以將一工件載入到該工件支撐件之上,該工件係具有一支撐膜、一框架以及該基板,該升降機構係在該工件的該基板的一外直徑之外嚙合該工件;利用該靜電夾箝來將該工件靜電地夾箝至該工件支撐件;利用該電漿源以產生一電 漿;以及利用該產生的電漿以蝕刻該工件。
本發明之又一目的是提供一種用於電漿切割一基板之方法,該方法係包括:提供一具有一壁的處理室;提供一相鄰該處理室的該壁之電漿源;在該處理室內提供一工件支撐件;在該工件支撐件之內提供一靜電夾頭;將一工件設置到該工件支撐件之上,該工件係具有一支撐膜、一框架以及該基板;施加一RF偏壓至該工件支撐件的一第一區域,該工件支撐件的該第一區域在尺寸上係大於該基板的一第二區域;利用該靜電夾箝來將該工件靜電地夾箝至該工件支撐件;利用該電漿源以產生一電漿;以及利用該產生的電漿以蝕刻該工件。
仍然是本發明之又一目的是提供一種用於電漿切割一基板之方法,該方法係包括:提供一具有一壁的處理室;提供一相鄰該處理室的該壁之電漿源;在該處理室內提供一工件支撐件;將一工件設置到該工件支撐件之上,該工件係具有一支撐膜、一框架以及該基板;在該處理室之內提供一機械隔板,該機械隔板係設置在該電漿源以及該工件之間;利用該電漿源以產生一電漿;以及利用該產生的電漿以蝕刻該工件。
本發明之另一目的是提供一種用於電漿蝕刻一基板之方法,該方法係包括:提供一具有一壁的處理室;提供一相鄰該處理室的該壁之電漿源;在該處理室之內提供一基板支撐件;將該基板設置在該基板支撐件上;在該處理室之內提供一機械隔板,該機械隔板係設置在該電漿源以及該基板之間;施加一RF偏壓功率至該基板支撐件,該RF偏壓功率係具有一RF偏壓頻率大於離子電漿頻率;利用該電漿源以產生一電漿;利用該產生的電漿以蝕刻該基板;並且利用該蝕刻步驟以露出一絕緣層。
本發明之又一目的是提供一種用於電漿切割一基板之方法,該方法係包括:提供一具有一壁的處理室;提供一相鄰該處理室的該壁之電漿源;在該處理室內提供一工件支撐件;將一工件設置到該工件支撐件之上,該工件係具有一支撐膜、一框架以及該基板;在該處理室之內提供複數個機械隔板,該複數個機械隔板係設置在該電漿源以及該工件之間;利用該電漿源以產生一電漿;以及利用該產生的電漿以蝕刻該工件。
仍然是本發明之又一目的是提供一種用於電漿切割一基板之方法,該方法係包括:提供一具有一壁的處理室;提供一相鄰該處理室的該壁之電漿源;在該處理室內提供一工件支撐件;將一工件設置到該工件支撐件之上,該工件係具有一支撐膜、一框架以及該基板;在該處理室之內提供一機械隔板,該機械隔板係設置在該電漿源以及該工件之間;利用該電漿源以產生一電漿;利用該產生的電漿以蝕刻該工件;量測一性質的均勻度;以及根據該量測步驟來調整該機械隔板的定位。
前述的內容已經概述本發明之相關目的中的某些個。這些目的應該被解釋為僅僅舉例說明所要的發明之較重要的特點及應用中的某些個。許多其它有利的結果可以藉由以一不同的方式來應用所揭露的發明或是在該揭露內容的範疇內修改本發明來加以達到。於是,可以藉由結合所附的圖式來參考到除了由申請專利範圍所界定的本發明的範疇之外的發明內容以及實施方式而得到本發明之其它目的以及更完整的理解。
本發明係描述一種電漿處理裝置,其係容許有一半導體基板的電漿切割。在裝置製造以及晶圓薄化之後,該基板的正面側(電路側)係利 用習知的遮罩技術而被遮蔽,其係保護該些電路構件,並且在該些晶粒之間留下未被保護的區域。該基板係安裝在一薄的膠帶之上,該薄的膠帶係在一剛性框架內加以支承。該基板/膠帶/框架的組件係被轉移到一真空處理室中,並且被曝露到反應性氣體電漿,其中在該些晶粒之間的未被保護的區域係被蝕去。在此製程期間,該框架以及膠帶係受到保護而免於因為該反應性氣體電漿而損壞。該處理係讓該些晶粒完全分開的。在蝕刻之後,該基板/膠帶/框架的組件係另外被曝露到電漿,該電漿係從該基板表面移除可能會造成損壞的殘留物。在該基板/膠帶/框架的組件傳輸出該處理室之後,該些晶粒係利用眾所週知的技術以從該膠帶加以移除,並且接著視需要地進一步加以處理,例如,封裝。
本發明的另一特點是提供一種用於電漿切割一基板之方法。該基板可具有一例如是矽之半導的層,且/或該基板可具有一例如是GaAs之III-V族的層。該基板可具有一例如是一光阻層的保護層,該光阻層係在該基板的一電路側上被圖案化。一具有一壁的處理室係被設置,其中一電漿源係相鄰該處理室的該壁。該電漿源可以是一高密度的電漿源。一和該處理室流體連通的真空泵以及一和該處理室流體連通的氣體入口可被設置。一在該處理室內的工件支撐件係被設置。一靜電夾頭係被納入到該工件支撐件。該靜電夾頭係具有一密封帶以及至少一夾箝電極。一工件係藉由將該基板設置在一載體支撐件上來形成的。該工件可藉由將該基板附著至一支撐膜並且接著將該基板以及該支撐膜安裝到一框架來加以形成。該支撐膜可具有一聚合物層及/或一導電層。該支撐膜可以是標準的切割膠帶。該框架可具有一導電層及/或一金屬層。一RF電源可以耦接至該工件 支撐件以在該工件周圍產生一電漿。該工件係接著被載入到該工件支撐件之上以用於電漿處理,其中該基板並未重疊到該密封帶。該夾箝電極可以重疊到該基板的一部分或是完全地重疊到該基板。該夾箝電極可以重疊到該密封帶的一部分或是完全地重疊到該密封帶。該密封帶的一內直徑可以是大於該基板的一外直徑。該靜電夾頭係夾箝該工件至該工件支撐件。一在該工件以及該工件支撐件之間的熱連通可以藉由從該工件支撐件供應一例如是氦的加壓的氣體至該工件來加以提供。在該處理室內的壓力可以透過該真空泵而被降低,並且一製程氣體可以透過該氣體入口而被引入該處理室。一電漿係透過該電漿源而被產生,藉此該工件係藉由該產生的電漿而被蝕刻。一真空相容的傳輸模組可被提供,其係和該處理室連通。該工件可被載入到該真空相容的傳輸模組中的一傳輸臂之上,藉此該處理室係在該工件從該真空相容的傳輸模組至該處理室的一傳輸期間被維持在真空下。
本發明的又一特點是提供一種用於電漿切割一基板之方法。該基板可具有一例如是矽之半導的層,且/或該基板可具有一例如是GaAs之III-V族的層。該基板可具有一例如是一光阻層的保護層,該光阻層係在該基板的一電路側上被圖案化。一具有一壁的處理室係被設置,其中一電漿源係相鄰該處理室的該壁。該電漿源可以是一高密度的電漿源。一和該處理室流體連通的真空泵以及一和該處理室流體連通的氣體入口可被設置。一在該處理室內的工件支撐件係被設置。一靜電夾頭係被納入到該工件支撐件。該靜電夾頭係具有至少一夾箝電極。一工件係藉由設置該基板在一載體支撐件上來加以形成。該工件可藉由將該基板附著至一支撐膜 並且接著安裝該基板以及該支撐膜至一框架來加以形成。該支撐膜可具有一聚合物層及/或一導電層。該支撐膜可以是標準的切割膠帶。該框架可具有一導電層及/或一金屬層。一RF電源可以耦接至該工件支撐件以在該工件周圍產生一電漿。該工件係接著被載入到該工件支撐件之上以用於電漿處理,其中該夾箝電極係重疊到該基板的一部分。該夾箝電極可以完全地重疊到該基板。該夾箝電極的一第一直徑可以是大於該基板的一第二直徑。該夾箝電極可以延伸超出該基板的一周邊約2mm。該夾箝電極可以被RF偏壓。該靜電夾頭係夾箝該工件至該工件支撐件。一在該工件以及該工件支撐件之間的熱連通可以藉由從該工件支撐件供應一例如是氦的加壓的氣體至該工件來加以提供。在該處理室內的壓力可以透過該真空泵而被降低,並且一製程氣體可以透過該氣體入口而被引入該處理室。一電漿係透過該電漿源而被產生,藉此該工件係藉由該產生的電漿而被蝕刻。一真空相容的傳輸模組可被提供,其係和該處理室連通。該工件可被載入到該真空相容的傳輸模組中的一傳輸臂之上,藉此該處理室係在該工件從該真空相容的傳輸模組至該處理室的一傳輸期間被維持在真空下。
仍然是本發明的又一特點是提供一種用於電漿切割一基板之方法。該基板可具有一例如是矽之半導的層,且/或該基板可具有一例如是GaAs之III-V族的層。該基板可具有一例如是一光阻層的保護層,該光阻層係在該基板的一電路側上被圖案化。一具有一壁的處理室係被設置,其中一電漿源係相鄰該處理室的該壁。該電漿源可以是一高密度的電漿源。一和該處理室流體連通的真空泵以及一和該處理室流體連通的氣體入口可被設置。一在該處理室內的工件支撐件係被設置。一靜電夾頭係被納 入到該工件支撐件。該靜電夾頭係具有一密封帶、一流體入口以及至少一夾箝電極。該流體入口係被設置在該密封帶的一內直徑之內。一工件係藉由設置該基板在一載體支撐件上來加以形成。該工件可藉由將該基板附著至一支撐膜並且接著安裝該基板以及該支撐膜至一框架來加以形成。該支撐膜可具有一聚合物層及/或一導電層。該支撐膜可以是標準的切割膠帶。該框架可具有一導電層及/或一金屬層。一RF電源可以耦接至該工件支撐件以在該工件周圍產生一電漿。該工件係接著被載入到該工件支撐件之上以用於電漿處理,其中該基板並未重疊到該密封帶。該夾箝電極可以重疊到該基板的一部分或是完全地重疊到該基板。該夾箝電極可以被RF偏壓。該密封帶可以完全地圍繞該基板。該流體入口可被設置在該基板的一周邊之外。該靜電夾頭係夾箝該工件至該工件支撐件。一在該工件以及該工件支撐件之間的熱連通可以藉由從該工件支撐件供應一例如是氦的加壓的氣體至該工件來加以提供。在該處理室內的壓力可以透過該真空泵而被降低,並且一製程氣體可以透過該氣體入口而被引入該處理室。一電漿係透過該電漿源而被產生,藉此該工件係藉由該產生的電漿而被蝕刻。一真空相容的傳輸模組可被提供,其係和該處理室連通。該工件可被載入到該真空相容的傳輸模組中的一傳輸臂之上,藉此該處理室係在該工件從該真空相容的傳輸模組至該處理室的一傳輸期間被維持在真空下。
本發明的另一特點是提供一種用於電漿切割一基板之方法。該基板可具有一例如是矽之半導的層,且/或該基板可具有一例如是GaAs之III-V族的層。該基板可具有一例如是一光阻層的保護層,該光阻層係在該基板的一電路側上被圖案化。一具有一壁的處理室係被設置,其中 一電漿源係相鄰該處理室的該壁。該電漿源可以是一高密度的電漿源。一和該處理室流體連通的真空泵以及一和該處理室流體連通的氣體入口可被設置。一在該處理室內的工件支撐件係被設置。一靜電夾頭係被納入到該工件支撐件。一工件係藉由設置該基板在一載體支撐件上來加以形成。該工件可藉由將該基板附著至一支撐膜並且接著安裝該基板以及該支撐膜至一框架來加以形成。該支撐膜可具有一聚合物層及/或一導電層。該支撐膜可以是標準的切割膠帶。該框架可具有一導電層及/或一金屬層。一RF電源可以耦接至該工件支撐件以在該工件周圍產生一電漿。一升降機構係設置在該處理室之內。該升降機構係將該工件載入到該工件支撐件之上以用於電漿處理。該升降機構係在該工件的該基板的一外直徑之外嚙合該工件。該升降機構可以嚙合該工件的該框架。該升降機構可以在該工件的該基板的該外直徑之外至少五毫米處嚙合該工件。該升降機構可以在該工件的該基板的該外直徑之外穿過該靜電夾頭。或者是,該升降機構無法穿過該靜電夾頭。該升降機構可以是在該工件支撐件的外部。該靜電夾頭係夾箝該工件至該工件支撐件。一在該工件以及該工件支撐件之間的熱連通可以藉由從該工件支撐件供應一例如是氦的加壓的氣體至該工件來加以提供。在該處理室內的壓力可以透過該真空泵而被降低,並且一製程氣體可以透過該氣體入口而被引入該處理室。一電漿係透過該電漿源而被產生,藉此該工件係藉由該產生的電漿而被蝕刻。一真空相容的傳輸模組可被提供,其係和該處理室連通。該工件可被載入到該真空相容的傳輸模組中的一傳輸臂之上,藉此該處理室係在該工件從該真空相容的傳輸模組至該處理室的一傳輸期間被維持在真空下。
本發明的又一特點是提供一種用於電漿切割一基板之方法。該基板可具有一例如是矽之半導的層,且/或該基板可具有一例如是GaAs之III-V族的層。該基板可具有一例如是一光阻層的保護層,該光阻層係在該基板的一電路側上被圖案化。一具有一壁的處理室係被設置,其中一電漿源係相鄰該處理室的該壁。該電漿源可以是一高密度的電漿源。一和該處理室流體連通的真空泵以及一和該處理室流體連通的氣體入口可被設置。一在該處理室內的工件支撐件係被設置。一靜電夾頭係被納入到該工件支撐件。該靜電夾頭可進一步包括至少一被RF供電的夾箝電極。該夾箝電極可以完全地重疊到該基板。一工件係藉由設置該基板在一載體支撐件上來加以形成。該工件可藉由將該基板附著至一支撐膜並且接著安裝該基板以及該支撐膜至一框架來加以形成。該支撐膜可具有一聚合物層及/或一導電層。該支撐膜可以是標準的切割膠帶。該框架可具有一導電層及/或一金屬層。該工件係被載入到該工件支撐件之上以用於電漿處理。一RF電源係耦接至該工件支撐件。一RF偏壓係被施加至該工件支撐件的一第一區域。該工件支撐件的該第一區域在尺寸上係大於該基板的一第二區域。該工件支撐件的該第一區域在尺寸上可以是大於該基板的該第二區域百分之五。或者是,該工件支撐件的該第一區域在尺寸上可以是大於該基板的該第二區域百分之四十。該RF偏壓在一被該基板重疊的區域中可以是連續的。該靜電夾頭係夾箝該工件至該工件支撐件。一在該工件以及該工件支撐件之間的熱連通可以藉由從該工件支撐件供應一例如是氦的加壓的氣體至該工件來加以提供。在該處理室內的壓力可以透過該真空泵而被降低,並且一製程氣體可以透過該氣體入口而被引入該處理室。一電漿係透過該 電漿源而被產生,藉此該工件係藉由該產生的電漿而被蝕刻。一真空相容的傳輸模組可被提供,其係和該處理室連通。該工件可被載入到該真空相容的傳輸模組中的一傳輸臂之上,藉此該處理室係在該工件從該真空相容的傳輸模組至該處理室的一傳輸期間被維持在真空下。
仍然是本發明的又一特點是提供一種用於電漿切割一基板之方法。該基板可具有一例如是矽之半導的層,且/或該基板可具有一例如是GaAs之III-V族的層。該基板可具有一例如是一光阻層的保護層,該光阻層係在該基板的一電路側上被圖案化。一具有一壁的處理室係被設置,其中一電漿源係相鄰該處理室的該壁。該電漿源可以是一高密度的電漿源。一和該處理室流體連通的真空泵以及一和該處理室流體連通的氣體入口可被設置。一在該處理室內的工件支撐件係被設置。一工件係藉由設置該基板在一載體支撐件上來加以形成。該工件可藉由將該基板附著至一支撐膜並且接著安裝該基板以及該支撐膜至一框架來加以形成。該支撐膜可具有一聚合物層及/或一導電層。該支撐膜可以是標準的切割膠帶。該框架可具有一導電層及/或一金屬層。該工件係被載入到該工件支撐件之上以用於電漿處理。一RF電源可以耦接至該工件支撐件。一機械隔板係被設置在該處理室之內。該機械隔板係被設置在該電漿源以及該工件之間。該機械隔板可以隔離該源與該處理室。該機械隔板可被設置在與該工件相隔一第一距離處,藉此該第一距離可以是大於一電漿鞘的一第二距離。該機械隔板可被設置在該基板之上至少一毫米處。該機械隔板可以是導電的。該機械隔板可以降低到達該工件的離子密度。該機械隔板可以重疊到該基板的一部分、或是該機械隔板可以完全地重疊到該基板。該機械隔板可進一步 包括複數個穿孔,該複數個穿孔可以橫跨該機械隔板而均勻地或是非均勻地分布。在該處理室內的壓力可以透過該真空泵而被降低,並且一製程氣體可以透過該氣體入口而被引入該處理室。一電漿係透過該電漿源而被產生,藉此該工件係藉由該產生的電漿而被蝕刻。在該工件以及該機械隔板之間的該電漿可以藉由RF偏壓功率來加以維持。該機械隔板可以降低到達該工件的電漿發射強度。該機械隔板的溫度可被維持在一0℃到350℃的範圍中。一真空相容的傳輸模組可被提供,其係和該處理室連通。該工件可被載入到該真空相容的傳輸模組中的一傳輸臂之上,藉此該處理室係在該工件從該真空相容的傳輸模組至該處理室的一傳輸期間被維持在真空下。
本發明的另一特點是提供一種用於電漿蝕刻一基板之方法。該基板可具有一例如是矽之半導的層,且/或該基板可具有一例如是GaAs之III-V族的層。該基板可具有一例如是一光阻層的保護層,該光阻層係在該基板的一電路側上被圖案化。一具有一壁的處理室係被設置,其中一電漿源係相鄰該處理室的該壁。該電漿源可以是一高密度的電漿源。一和該處理室流體連通的真空泵以及一和該處理室流體連通的氣體入口可被設置。一在該處理室內的基板支撐件係被設置。一工件可藉由將該基板設置在一載體支撐件上來加以形成。該工件可藉由將該基板附著至一支撐膜並且接著安裝該基板以及該支撐膜至一框架來加以形成。該支撐膜可具有一聚合物層及/或一導電層。該支撐膜可以是標準的切割膠帶。該框架可具有一導電層及/或一金屬層。該基板係被載入到該基板支撐件之上,以用於電漿處理。一機械隔板係被設置在該處理室之內。該機械隔板係被設置在該電漿源以及該基板之間。一RF電源係耦接至該工件支撐件。一具有一 RF偏壓頻率大於該離子電漿頻率的RF偏壓功率係被施加至該基板。該RF偏壓功率可以被脈波化。該離子電漿頻率可以大約是4MHz。該RF偏壓頻率可具有一從4MHz到160MHz的範圍。在該處理室內的壓力可以透過該真空泵而被降低,並且一製程氣體可以透過該氣體入口而被引入該處理室。一電漿係透過該電漿源而被產生,藉此該基板係藉由該產生的電漿而被蝕刻。一在該基板上的絕緣層係藉由該電漿蝕刻步驟而被露出。該絕緣層可以是一基板載體。該絕緣層可以是一在該基板內之層。該絕緣層可以是膠帶。一真空相容的傳輸模組可被提供,其係和該處理室連通。該基板可被載入到該真空相容的傳輸模組中的一傳輸臂之上,藉此該處理室係在該基板從該真空相容的傳輸模組至該處理室的一傳輸期間被維持在真空下。
本發明的又一特點是提供一種用於電漿切割一基板之方法。該基板可具有一例如是矽之半導的層,且/或該基板可具有一例如是GaAs之III-V族的層。該基板可具有一例如是一光阻層的保護層,該光阻層係在該基板的一電路側上被圖案化。一具有一壁的處理室係被設置,其中一電漿源係相鄰該處理室的該壁。該電漿源可以是一高密度的電漿源。一和該處理室流體連通的真空泵以及一和該處理室流體連通的氣體入口可被設置。一在該處理室內的工件支撐件係被設置。一工件係藉由設置該基板在一載體支撐件上來加以形成。該工件可藉由將該基板附著至一支撐膜並且接著安裝該基板以及該支撐膜至一框架來加以形成。該支撐膜可具有一聚合物層及/或一導電層。該支撐膜可以是標準的切割膠帶。該框架可具有一導電層及/或一金屬層。該工件係被載入到該工件支撐件之上,以用於電漿處理。一RF電源可以耦接至該工件支撐件。複數個機械隔板係被設置在 該處理室之內。該複數個機械隔板係被設置在該電漿源以及該工件之間。該複數個機械隔板可以隔離該源與該室。該複數個機械隔板中的至少一個可以重疊到該複數個機械隔板的另一個的至少一部分。該複數個機械隔板可以重疊到該基板的一部分、或是該複數個機械隔板可以完全地重疊到該基板。該複數個機械隔板可進一步包括複數個穿孔,該複數個穿孔可以橫跨該複數個機械隔板而均勻地或是非均勻地分布。在該處理室內的壓力可以透過該真空泵而被降低,並且一製程氣體可以透過該氣體入口而被引入該處理室。一電漿係透過該電漿源而被產生,藉此該工件係藉由該產生的電漿而被蝕刻。該複數個機械隔板可被設置在與該工件相隔一第一距離處,藉此該第一距離可以是大於一電漿鞘的一第二距離。該複數個機械隔板可以是導電的。該複數個機械隔板可以降低到達該工件的離子通量。在該工件以及該複數個機械隔板之間的電漿可以藉由RF偏壓功率來加以維持。該複數個機械隔板可以降低到達該工件的電漿發射強度。該複數個機械隔板的溫度可被維持在一0℃到350℃的範圍中。一真空相容的傳輸模組可被提供,其係和該處理室連通。該工件可被載入到該真空相容的傳輸模組中的一傳輸臂之上,藉此該處理室係在該工件從該真空相容的傳輸模組至該處理室的一傳輸期間被維持在真空下。
仍然是本發明的又一特點是提供一種用於電漿切割一基板之方法。該基板可具有一例如是矽之半導的層,且/或該基板可具有一例如是GaAs之III-V族的層。該基板可具有一例如是一光阻層的保護層,該光阻層係在該基板的一電路側上被圖案化。一具有一壁的處理室係被設置,其中一電漿源係相鄰該處理室的該壁。該電漿源可以是一高密度的電漿 源。一和該處理室流體連通的真空泵以及一和該處理室流體連通的氣體入口可被設置。一在該處理室內的工件支撐件係被設置。一工件係藉由設置該基板在一載體支撐件上來加以形成。該工件可藉由將該基板附著至一支撐膜並且接著安裝該基板以及該支撐膜至一框架來加以形成。該支撐膜可具有一聚合物層及/或一導電層。該支撐膜可以是標準的切割膠帶。該框架可具有一導電層及/或一金屬層。該工件係被載入到該工件支撐件之上,以用於電漿處理。一RF電源可以耦接至該工件支撐件。一機械隔板係被設置在該處理室之內。該機械隔板係被設置在該電漿源以及該工件之間。該機械隔板可具有複數個可具有一穿孔尺寸的穿孔。該機械隔板可具有複數個可具有一穿孔間隔的穿孔。該機械隔板可具有複數個可具有一穿孔形狀的穿孔。該機械隔板可具有複數個可具有一穿孔寬高比(aspect ratio)的穿孔。在該處理室內的壓力可以透過該真空泵而被降低,並且一製程氣體可以透過該氣體入口而被引入該處理室。一電漿係透過該電漿源而被產生,藉此該工件係藉由該產生的電漿而被蝕刻。一性質均勻度係被量測。該性質均勻度可以是一蝕刻速率。該性質均勻度可以是一特點輪廓。該性質均勻度可以是一蝕刻選擇性。該性質均勻度可以是一臨界尺寸。根據在該性質均勻度上的量測步驟的結果,該機械隔板的定位係被調整。在該機械隔板上的穿孔尺寸可被調整。在該機械隔板上的穿孔間隔可被調整。在該機械隔板上的穿孔形狀可被調整。在該機械隔板上的穿孔寬高比可被調整。該機械隔板的隔板厚度可被調整。一真空相容的傳輸模組可被提供,其係和該處理室連通。該工件可被載入到該真空相容的傳輸模組中的一傳輸臂之上,藉此該處理室係在該工件從該真空相容的傳輸模組至該處理室的一傳 輸期間被維持在真空下。
前述的內容已經相當廣泛地概述本發明之較相關且重要的特點,以便於本發明之後續的詳細說明可以更佳的理解,因而本案對於該技術的貢獻可以更完全地體會出。本發明之額外的特點將會在以下加以描述,其係構成本發明的申請專利範圍的標的。熟習此項技術者應該體認到所揭露的概念以及特定實施例可以輕易地被利用作為一基礎以用於修改或設計其它用於實現和本發明相同目的之結構。熟習此項技術者亦應該體認到此種等同的結構並不脫離如同在所附的申請專利範圍中闡述的本發明的精神與範疇。
100‧‧‧基板
110‧‧‧裝置結構
120‧‧‧切割道區域
200‧‧‧保護材料
300‧‧‧膠帶
310‧‧‧剛性框架
320‧‧‧工件(基板/膠帶/框架組件)
400‧‧‧電漿(反應性電漿蝕刻製程)
500‧‧‧晶粒
600‧‧‧真空處理室
610‧‧‧氣體入口
620‧‧‧高密度的電漿源
630‧‧‧工件支撐件
640‧‧‧RF電源
650‧‧‧真空泵
660‧‧‧保護覆蓋環
670‧‧‧靜電夾頭(ESC)
680‧‧‧升降機構
690‧‧‧機械隔板
695‧‧‧穿孔
700‧‧‧墊圈
800‧‧‧覆蓋環到框架的距離
810‧‧‧基板到框架的尺寸
820‧‧‧覆蓋環到基板的距離
830‧‧‧覆蓋環的內直徑到框架的內直徑之距離
1000‧‧‧散熱器
1010‧‧‧孔洞(埠)
1100‧‧‧傳輸臂
1110‧‧‧對準夾具
1700‧‧‧密封區域(密封帶)
1710‧‧‧氦入口孔洞
1720‧‧‧頂升銷孔洞
1730‧‧‧圖案化的表面(區域)
1800‧‧‧體積(區域)
1810‧‧‧體積(區域)
2000‧‧‧間隙
2010‧‧‧夾箝電極
2015‧‧‧間隙(距離)
2020‧‧‧表面
2025‧‧‧頂升銷
2030‧‧‧ESC的基板接觸表面
2200‧‧‧重疊
2210‧‧‧圖案間隔
2220‧‧‧圖案特點尺寸
2230‧‧‧圖案深度
2240‧‧‧重疊
2250‧‧‧未屏蔽的密封帶區域
2300‧‧‧電性絕緣層
2310‧‧‧上方的電性絕緣層
2320‧‧‧被夾箝的材料
2330‧‧‧ESC
2340‧‧‧夾箝電極
2600‧‧‧穿孔的孔洞直徑
2610‧‧‧穿孔之間的間隔
2620‧‧‧機械隔板的厚度
2700‧‧‧缺口
2720‧‧‧材料
2730‧‧‧材料
圖1是一半導體基板的俯視圖,其係描繪藉由切割道分開的個別的裝置;圖2是一半導體基板的橫截面圖,其係描繪藉由切割道分開的個別的裝置;圖3是一安裝到膠帶以及一框架的半導體基板之橫截面圖;圖4是一安裝到膠帶以及一框架的半導體基板藉由一電漿製程而被蝕刻的橫截面圖;圖5是安裝到膠帶以及一框架之分開的半導體裝置的橫截面圖;圖6是一真空處理室的橫截面圖;圖7是一在製程位置中的晶圓/框架的橫截面;圖8是在一真空處理室中的一框架以及一覆蓋環之放大的橫截面圖; 圖9是其中該覆蓋環安裝到一室壁的該室內的一區段的橫截面圖;圖10是其中該覆蓋環安裝到一內部的散熱器的該室內的一區段的橫截面圖;圖11是一安裝到膠帶以及一框架且藉由一傳輸臂加以支承的半導體基板的俯視圖;圖12是一安裝到膠帶以及一框架且藉由一傳輸臂加以支承的半導體基板的橫截面圖;圖13是一晶圓/框架在一傳輸位置中的橫截面圖;圖14是一屏蔽的俯視圖;圖15是根據習知技術的一靜電夾頭的俯視圖;圖16是根據習知技術的一個多區域的靜電夾頭的俯視圖;圖17是根據本發明的一實施例的一靜電夾頭的俯視圖;圖18是一基板在根據習知技術的一靜電夾頭上的橫截面圖;圖19是一工件在根據本發明的一實施例的一靜電夾頭上的橫截面圖;圖20是根據本發明的一實施例的一靜電夾頭的橫截面圖;圖21是根據本發明的一實施例的一靜電夾頭的橫截面圖;圖22是根據本發明的一實施例的一具有多個基板的工件的俯視圖;圖23a-23c是根據本發明的機械隔板的變化的橫截面圖;圖24是根據本發明的一實施例的蝕刻的特點的橫截面圖;圖25是根據本發明的一種調整一機械隔板之方法的流程圖;圖26是根據本發明的一實施例的一靜電夾頭的橫截面;以及圖27是根據本發明的一實施例的一靜電夾頭的橫截面。 類似的參考符號係在整個圖式的數個視圖中指出類似的部件。
一在裝置製造後之典型的半導體基板係被描繪在圖1中。該基板(100)係在其表面上具有一些包含裝置結構(110)的區域,該些裝置結構(110)係藉由切割道區域(120)來加以分開,該些切割道區域(120)係容許該些裝置結構能夠分開成為個別的晶粒。儘管通常是矽被使用作為一基板材料,但是其它因為其特別的特徵而選擇的材料經常是被採用的。此種基板材料係包含砷化鎵以及其它III-V族的材料、或是其上已經沉積一半導層的非半導體基板。進一步的基板類型亦可包含安裝在載體之上的絕緣體上矽(SOI)晶圓以及半導體晶圓。儘管以上的例子係描述藉由切割道分開的晶粒,但是本發明的特點可以有利地應用至一基板上的其它圖案配置。
在本發明中,如同在圖2的橫截面圖中所示,該些裝置結構(110)係接著被覆蓋一保護材料(200),而該些切割道區域(120)係保持未被保護的。此保護材料(200)可以是一光阻,其係藉由眾所周知的技術而被施加及圖案化。某些裝置係被塗覆一例如是二氧化矽或PSG之保護的介電層以作為一最後的製程步驟,該保護的介電層係被施加到整個基板上。如同在產業中眾所週知的,此可以藉由利用光阻來圖案化並且蝕刻該介電材料以選擇性地從該些切割道區域(120)移除。此係留下該些藉由該介電材料保護的裝置結構(110)以及在該些切割道區域(120)中實質未被保護的基板(100)。注意到的是在某些情形中,用以檢查該晶圓品質的測試特點可以位在該些切割道區域(120)中。根據特定的晶圓製程流程,這些測試特點在該晶圓切割製程期間可以受到保護、或是可以不被保護。儘管所描繪的裝置圖案係 展示長方形晶粒,但是此並非必要的,並且該些個別的裝置結構(110)可以是最適合該基板(100)之最佳利用之例如是六邊形的任何其它形狀。重要的是注意到儘管先前的例子將介電材料視為該保護膜,但是本發明可以利用廣泛範圍的保護膜來加以實施,其包含半導的保護膜以及導電的保護膜。再者,該保護層可以由多種材料所組成。重要的是亦注意到該保護膜的某些部分可以是最終的裝置結構(例如,一保護介電質、金屬銲墊、等等)之一個一體的部分。再者,本發明亦可以有利地利用於基體晶圓,而不必具有裝置或是裝置結構。一個此種例子可以是一安裝在一載體之上或是未被安裝在其上的半導體基板(矽、III-V族化合物、等等),其係藉由一界定待被蝕刻的結構之遮罩材料所覆蓋。該基板亦可包含至少一具有不同材料性質之額外的層,例如是一絕緣層。
該基板(100)可被薄化,此通常是藉由一研磨製程,其係縮小該基板厚度成為數百微米至薄到約30微米或是較小。如同在圖3中所展示的,該被薄化的基板(100)係接著黏著至一膠帶(300),該膠帶(300)接著被安裝在一剛性框架(310)中以形成一工件(320)。該框架通常是金屬或是塑膠,儘管其它的框架材料也是可行的。該膠帶(300)通常是由一種含碳的聚合物材料所做成的,並且可以額外具有一施加至其表面之薄的導電層。該膠帶(300)係提供支承給該被薄化的基板(100),否則該被薄化的基板(100)將會過於脆弱而無法在不損壞下加以傳輸。應注意到的是,圖案化、薄化以及接著的安裝之順列不是重要的,而是該些步驟可被調整以最佳適合特定的裝置與基板以及所用的處理設備。重要的是注意到,儘管先前的例子是論述由安裝一基板(100)在一黏著膠帶(300)上,其接著附接至一框架(310)所 構成的一工件(320),但是本發明並不限於該晶圓及載體的配置。該晶圓載體可以是由各種材料所構成的。該載體係在該電漿切割製程期間支承該基板。再者,該晶圓並不需要利用黏著劑來附接至該載體,任何將該晶圓保持到該載體並且容許一裝置能夠將該基板熱連通至該陰極之方法(例如,一靜電式夾箝的載體、一具有一機械式夾箝機構的載體、等等)都是足夠的。
在將該基板(100)以及該膠帶(300)安裝在該切割框架(310)中之後,該工件(320)係被傳輸到一真空處理室中。理想上,該傳輸模組同樣是在真空下,此係容許該處理室能夠在傳輸期間保持在真空,其係縮短處理時間並且防止該處理室曝露到大氣以及可能的污染。如同在圖6中所示,該真空處理室(600)係配備有一氣體入口(610)、一用以產生一例如是感應耦合電漿(ICP)的高密度電漿之高密度的電漿源(620)、一用以支承該工件(320)的工件支撐件(630)、一用以透過該工件支撐件(630)以將RF功率耦合至該工件(320)之RF電源(640)、以及一用於從該處理室(600)泵送氣體的真空泵(650)。在處理期間,基板(100)之未被保護的區域(120)係利用一如同在圖4中所示的反應性電漿蝕刻製程(400)而被蝕去。此係留下該些分開成為個別的晶粒(500)之裝置(110),即如同在圖5中所示者。在本發明的另一實施例中,該基板(100)之未被保護的區域(120)係利用一反應性電漿蝕刻製程(400)而部分地被蝕去。在此例中,一例如是機械式的斷裂操作的下游操作可被利用來完成該些晶粒的分開。這些下游方法在此項技術中是眾所週知的。
儘管先前的例子係描述本發明利用一真空室結合一高密度電漿(例如,ECR、ICP、螺旋、以及磁性強化的電漿源),但是利用廣範圍的電漿製程來蝕刻該基板之未被保護的區域也是可能的。例如,熟習此項 技術者可以想像出在一真空室中利用一低密度電漿源或甚至是使用在大氣壓力或接近大氣壓力的電漿之本發明的變化。
當該工件(基板/膠帶/框架組件)(320)是在用於電漿處理的位置中時,該框架(310)可以受到保護而免於曝露到該電漿(400)。曝露到該電漿(400)將會造成該框架(310)的加熱,此於是將會造成該安裝膠帶(300)之局部的加熱。在超過約100℃的溫度下,該膠帶(300)的物理性質以及其黏著能力可能會劣化,因而其將不再黏著至該框架(310)。此外,該框架(310)曝露到該反應性電漿氣體可能會造成該框架(310)的劣化。由於該框架(310)通常是在晶圓切割後被重複使用,因而此可能會限制一框架(310)之可用的使用壽命。該框架(310)曝露到該電漿(400)亦可能會不利地影響到該蝕刻製程:例如該框架材料可能會和該製程氣體產生反應,此係實際降低該製程氣體在該電漿中的濃度,而可能會降低該基板材料的蝕刻速率,因此增長製程時間。如同在圖6、7及8中所示,為了保護該框架(310),一保護覆蓋環(660)係被設置在該框架(310)之上。在一實施例中,該覆蓋環(660)並未觸及該框架(310),因為和該框架(310)接觸(此將會在傳輸到該處理室(600)中的期間發生)可能會產生非所要的微粒。
在圖8中,尺寸(800)係代表介於該覆蓋環(660)以及該框架(310)之間的距離。此尺寸範圍可以從大於約0.1mm到小於約20mm,其中一最佳值是4mm。若該距離(800)過大,則電漿將會接觸到該框架(310),因而將會失去該覆蓋環(660)的益處。
在一實施例中,該覆蓋環(660)是受到溫度控制的。在無冷卻下,該覆蓋環(660)的溫度可能會由於曝露至該電漿而增高,因而於是經 由熱輻射來加熱該膠帶(300)以及該框架(310),此係造成如同以上所指出的劣化。對於其中該覆蓋環(660)被冷卻的情形而言,該覆蓋環(660)的冷卻係藉由使得其直接和一冷卻的主體接觸來加以達成,該冷卻的主體例如是圖9中所示的處理室(600)的壁或是圖10中所示的一位在該處理室(600)內之散熱器(1000)。為了確保熱充分地從該覆蓋環(660)被移除到該散熱器(1000),該覆蓋環(660)應該是由一種具有良好的導熱度之材料所做成的。此種材料係包含例如是鋁的許多種金屬,但是其它例如是鋁氮化物及其它陶瓷的導熱材料亦可被利用。該覆蓋環材料的選擇是被選擇成與所用的電漿製程氣體相容的。儘管鋁係滿足氟基製程所需的,但是當氯基製程被使用時,一種例如是鋁氮化物之替代的材料、或是添加一例如是鋁氧化物的保護塗層可能是必要的。該覆蓋環(660)在電漿處理期間的操作溫度範圍從約25℃到大約350℃。較佳的是,該覆蓋環(660)的溫度係被保持在50℃到90℃的範圍中,此係最小化熱輻射至該膠帶(300)以及該框架(310),並且確保該膠帶(300)維持其機械的完整性。或者是,該覆蓋環(660)可藉由使得該覆蓋環(660)和一受溫度控制的流體接觸來控制溫度。此流體可以是一種液體或是氣體。在其中該覆蓋環(660)的溫度係藉由一流體控制的狀況中,該覆蓋環(660)可以包含一些流體通道以促進傳熱。這些流體通道可以是在該覆蓋環(660)的內部、外部附接的、或是該兩者的某種組合。
在一實施例中,該覆蓋環(660)可以從該基板的直徑連續延伸至該內部室的直徑。為了避免在泵送傳導性的損失,此可能會不利地影響到在該處理室(600)內之壓力控制,複數個孔洞(1010)可以被加到該覆蓋環(660),其係容許該製程氣體之充分的傳導性,同時仍然提供一用於從該覆 蓋環(660)移除熱的路徑。在圖9及10中,以一特定幾何加以配置的複數個孔洞(1010)係被展示,但是該些孔洞(1010)的形狀、密度、尺寸、圖案以及對稱性可以根據所需的處理室(10)的尺寸以及該泵送傳導性來變化。較佳的是,該些孔洞(1010)並未重疊到該膠帶(300)。在另一實施例中,該些孔洞(1010)並未重疊到該工件(320)。
該工件(基板/膠帶/框架的組件)(320)係藉由一支承該框架(310)及基板(100)的傳輸臂(1100)而被傳輸進出該處理室(600),因而它們係如同在圖11及12中所示被維持為幾乎共面的。該傳輸臂(1100)可以支承該膠帶(300)及框架(310)兩者或是只有支承該框架(310),但重要的是因為被薄化的基板(100)的脆弱本質,所以該組件(320)並非只是被支承在該基板(100)區域的下面。該傳輸臂(1100)係具有一附接至其的對準夾具(1110),該對準夾具(1110)係在被傳輸到該處理室(600)中之前將該框架(310)對準在一可重複的位置中。該框架(310)亦可藉由其它在半導體處理中眾所周知的技術(例如,光學對準)來加以對準。該對準亦可藉由此種眾所周知的技術而在該基板(100)上加以執行。重要的是該工件(基板/膠帶/框架組件)(320)在被設置於該處理室(600)內之前先加以對準,以避免如以下所解說的誤處理。
在圖8中,該基板到框架的尺寸(810)係代表介於該基板(100)的外直徑以及該框架(310)的內直徑之間的距離。此可以是20mm到30mm(例如,Disco公司的用於200mm基板之切割框架係具有一約250mm的內直徑,因而該基板到框架的尺寸(810)標稱是25mm)。在該晶圓(100)於該框架(310)內的膠帶(300)上的安裝期間,晶圓(100)設置的偏差可能是多達2mm,因而該覆蓋環到基板的距離(820)(此係介於該基板(100)的外直徑以及該覆蓋環 (660)的內直徑之間的距離)亦可能隨著組件不同而變化高達2mm。若該覆蓋環到基板的距離(820)在某個點是小於零,則該覆蓋環(660)將會覆蓋到該基板(100)的邊緣。該基板的此區域將會被遮蔽而妨礙到蝕刻,其可能會妨礙到晶粒分開,因而在後續的處理步驟中造成問題。較佳的是,該覆蓋環(660)並未重疊到該基板(100)。該基板/膠帶/框架組件(320)在傳輸前之對準是必須的,以避免此種問題。再者,為了額外確保覆蓋環到基板的距離(820)不小於零,該覆蓋環的內直徑應該大於該基板(100)的直徑。較佳的是,該覆蓋環的內直徑是大於該基板的直徑5mm(例如,對於200mm基板而言為205mm的覆蓋環的內直徑)。在圖8中的覆蓋環的突出尺寸(830)係代表從該覆蓋環(660)的內直徑至該框架(310)的內直徑之距離。該框架(310)在傳輸到該處理室(600)中之前的對準係確保覆蓋環的突出尺寸(830)對於該基板(100)的整個周邊都維持為實質固定的,並且任何未接觸到該靜電夾頭(ESC)(670)的膠帶(300)的部分係被實質遮蔽而避開該電漿。在一較佳實施例中,任何並未與該ESC(670)熱接觸的膠帶(300)係被該覆蓋環(660)所重疊。
當該工件(例如,基板/膠帶/框架組件)(320)被傳輸到該處理室(600)中時,其係被設置到該升降機構(680)之上並且從該傳輸臂(1100)加以移除。相反的過程係發生在該工件(例如,基板/膠帶/框架組件)(320)從該處理室(600)傳輸出的期間。該升降機構(680)係接觸該框架(310)的區域,並且沒有提供任何接觸至該基板(100)的點。接觸至該基板(100)的點可能會對該基板(100)造成損壞,尤其是在晶粒分開以及上載工件(320)之後,因為該膠帶(300)的彈性可能會使得該些晶粒彼此接觸因而發生損壞。圖13係展示該升降機構(680)從底面舉起該框架(310);然而,該框架(310)亦可以利用一夾 箝裝置藉由與該框架(310)的頂表面、底表面、外直徑、或是這些的任意組合接觸,以從該傳輸臂(1100)加以移除。為了具有足夠的間隙以將該工件(320)置放在該工件支撐件(630)上來處理該基板(100),該框架(310)、工件支撐件(630)以及覆蓋環(660)可以相對於彼此來移動。此可藉由移動該覆蓋環(660)、工件支撐件(630)、或升降機構(680)、或是該三個的任意組合而被達成。
在電漿處理期間,熱係被轉移到該電漿接觸到的所有表面,其包含該基板(100)、膠帶(300)以及框架(310)。該覆蓋環(660)將會最小化傳熱至該膠帶(300)以及該框架(310)的區域,但是該基板(100)必須曝露到該電漿(400)以用於處理。
如在圖6中所示,一穿孔的機械隔板(690)可被插置在該電漿源(620)以及該工件支撐件(630)之間。該機械隔板(690)可以是導電的(例如,由金屬所做成的、或是被塗覆金屬的)。該機械隔板(690)較佳的是由鋁所做成的。該機械隔板(690)可以幫助降低到達該工件的離子密度以及電漿發射強度,同時容許一高位準的不帶電物質能夠到達該工件。本發明係提供對於到達該工件的離子密度以及電漿發射強度之控制。對於相關本發明的應用而言較佳的是,從該電漿源(620)到達該工件的離子密度以及電漿發射強度藉由該機械隔板而被衰減在10%到50%的範圍中。在一較佳實施例中,藉由該機械隔板的衰減可以是10%。在一較佳實施例中,藉由該機械隔板的衰減可以是30%。在又一較佳實施例中,藉由該機械隔板的衰減可以是50%。
在一實施例中,在該機械隔板(690)之下的電漿係藉由RF偏 壓功率(640)穿過該工件支撐件(630)及/或ESC(670)的施加來加以維持。在此例中,作用在該基板(100)上的電漿係藉由該RF偏壓功率穿過該工件支撐件(630)及/或ESC(670)來加以維持。
在本發明中,該機械隔板(690)的溫度範圍可以是在0℃到350℃之間。較佳的是,維持該機械隔板(690)在一大於60℃的溫度。該機械隔板可以完全或部分地隔開該工件與該電漿源。較佳的是,該隔板係重疊到待被蝕刻的基板。在一較佳實施例中,該基板(100)係完全被該機械隔板(690)所重疊。在又一實施例中,該機械隔板(690)的直徑是大於該基板(100)的直徑至少10%。
該機械隔板(690)應該被設置在該基板(100)以及該電漿源(620)之間。該機械隔板(690)可以完全地隔離在該電漿源(620)中的區域與該室(600)的其餘部分。為了避免在該機械隔板(690)中的穿孔圖案印刷在該基板(100)上,較佳的是從該基板的頂端至重疊到的機械隔板(690)的底表面之距離至少和在該基板(100)的頂表面處之電漿鞘一樣大。該電漿鞘的厚度是除了其它參數之外的壓力、氣體成分以及電漿密度的一函數。通常,電漿鞘的厚度範圍是從約100微米到約2公分。在一實施例中,該機械隔板(690)係和該基板(100)的頂表面相隔至少0.1mm。較佳的是,該機械隔板(690)是和該基板(100)的頂表面相隔至少1cm。
在該機械隔板(690)中的穿孔(695)係容許該電漿能夠擴散通過並且作用在該基板上。該些穿孔(695)可以是具有任意形狀及尺寸(例如,圓形、六角形、橢圓形、任何多邊形的形狀、等等)。該機械隔板的厚度(2620)可被設計以影響作用在該基板的表面上的電漿物種成分。如同在圖23c中所 示,該機械隔板(690)的厚度(2620)可以橫跨該隔板來變化。該厚度變化可以是連續的、離散的、或是兩者的一組合。較佳的是,該機械隔板的厚度(2620)是小於約2.5cm。該穿孔的孔洞直徑(2600)範圍可以從大約0.1mm到高達約1cm。該些穿孔(695)之典型的寬高比可以是在0.5:1到高達100:1之間,但較佳的是在0.5:1到10:1之間。在一實施例中,該機械隔板(690)係降低電漿離子密度,從在該電漿源內的大於約1011cm-3到接近該基板表面的小於約1010cm-3
在該機械隔板(690)中的穿孔(695)可以用一些方式來加以配置。圖14係展示一機械隔板(690)的俯視圖,其具有一以直線的圖案均勻分布的穿孔(695)之圖案。儘管圖14係展示穿孔(695)的一直線的圖案,包含六角形、蜂巢狀或是圓形的穿孔圖案之替代的配置亦可被使用。該穿孔的尺寸(2600)可以橫跨該機械隔板(690)來變化(例如,圖23b及23c)。
在一替代實施例中,在該機械隔板(690)中的穿孔圖案可被設計成使得在穿孔之間的間隔(2610)是可變的(例如,圖23b及23c)。在又一實施例中,該些穿孔的尺寸及/或形狀可以橫跨該機械隔板(690)而變化。該機械隔板(690)可具有一穿孔圖案是使得該穿孔尺寸(2600)以及間隔(2610)兩者都橫跨該隔板而變化。
儘管在圖6中的概要圖係展示一具有一機械隔板(690)的處理室(600),但是具有超過一個的機械隔板(690)設置在該電漿源(620)以及該基板(100)之間可能是有利的。該些機械隔板(690)可以是相同的尺寸及形狀、或者可以是不同的尺寸及/或形狀。該多個機械隔板(690)可被配置在相同的平面或是不同的平面中(例如,重疊或是堆疊的隔板)。該多個機械隔板 (690)可具有彼此相同或不同的穿孔形狀、尺寸及圖案。
高密度的電漿源(620)可以產生高位準的UV輻射。此UV輻射可能會造成非所要的副作用或是損壞該基板(100)。在某些應用中,屏蔽該基板以隔開來自該電漿源(620)的UV發射是所期望的。一種降低此發射的方式是限制從該電漿源至該基板的UV發射之直接的路徑(例如,限制從該電漿源至該基板的"視線")。在隔板是處於不同的平面並且重疊的情形中,確保在該些隔板的重疊的區域中的穿孔(695)並不重合(例如,該些隔板具有一些重疊的區域,其中該些隔板的穿孔並不彼此重疊)可能是有利的。在一重疊的隔板(695)的實施例中,在一隔板的一重疊的區域中之至少一穿孔(695)並不重疊到在另一隔板中的一穿孔。在重疊的隔板的又一實施例中,在該些隔板(695)中並無穿孔彼此重疊。在此配置中,並沒有從該電漿源發射的光透過該些隔板的重疊的區域來到達該基板之直接的路徑。
在該機械隔板(690)中的穿孔(695)的圖案可被用來調整在該基板(100)上的蝕刻均勻度。此調整可以透過改變該隔板的厚度(2620)、該穿孔尺寸(2600)、穿孔形狀、穿孔間隔(2610)或是這些因素的任意組合來加以達成。
為了決定用於一機械隔板(690)之正確的穿孔(695)的配置,以下的步驟可以針對於一給定的隔板配置來加以遵循(見於圖25):處理一基板、量測至少一晶圓性質(例如,材料蝕刻速率、選擇性比例、特點輪廓、等等)、根據至少一量測到的性質來調整該機械隔板(例如,隔板間隔、從隔板到基板的距離、隔板厚度及/或穿孔尺寸、間隔、形狀、及/或寬高比、等等)。另一晶圓係被處理,並且若必要的話,該機械隔板(690)係被重複以達 成所要的一或多個晶圓性質。
通常,在一化學驅動的電漿蝕刻製程中,所期望的是最大化該基板蝕刻速率與該遮罩材料蝕刻速率的比例(蝕刻選擇性),同時維持一所要的特點輪廓。在利用一時分多工的製程(例如,Bosch製程或是DRIE)的矽蝕刻的情形中,此係藉由透過該基板支撐件來施加某個最小的RF偏壓功率至該基板以便於維持一所要的特點輪廓來加以達成。此RF偏壓功率通常是小於約50W。在較高的RF偏壓功率下,該蝕刻選擇性(材料蝕刻速率/遮罩蝕刻速率)可能會被非所要地降低。當一機械隔板被設置在該高密度的電漿源與該基板之間時,可供利用來蝕刻該基板的離子密度係顯著地被降低。相較於該習知技術,此係容許較高的RF偏壓功率能夠有利地施加至該基板。在該機械隔板介於該電漿源與該基板之間的情形下,有利的時分多工的(例如,Bosch、DRIE)製程結果可以在被施加至該基板的RF偏壓功率是在一50W到150W的範圍中而被達成。在一較佳實施例中,施加至該基板的RF偏壓功率是大於約50W。在另一較佳實施例中,施加至該基板的RF偏壓功率是大於約100W。在另一較佳實施例中,施加至該基板的RF偏壓功率是大於約150W。
在電漿處理期間,該基板(100)之額外的冷卻通常是透過一靜電夾頭(ESC)(670)的使用來加以提供的。圖15-17係展示普遍用在半導體處理中的ESC(670)的例子,其係在一通常是一種例如氦的氣體之加壓的流體被維持在該基板(100)與該ESC之間的間隙(2000)中時施加一吸引力至一基板(100)。此係確保有效率的傳熱可以發生在該基板(100)與該工件支撐件(630)之間,其可以受到溫度控制的。在圖15及16中注意到的是,為了舉 例之目的,該虛線係代表該晶圓(100)重疊該ESC的區域。在處理期間,該晶圓(100)係位在該ESC(670)的頂表面上。
圖15係展示此項技術中已知的一靜電夾頭的俯視圖。一ESC(670)通常將會有一或多個密封區域(1700)以限制該加壓的流體在該ESC以及被夾箝的基板(100)之間。該些密封區域(1700)通常是被採用於靠近該ESC的周邊以及在任何原本會使得該加壓的流體洩漏並且劣化該傳熱之特點的周圍處。如同在圖16中所示,某些ESC係利用多個同心的密封帶(1700)以產生離散的體積或區域(1800、1810),此係容許獨立的控制在該個別的區域內之流體壓力。這些ESC通常是被敘述為多壓力區域的ESC。該些壓力區域(1800、1810)不是離散的,並且一些加壓的流體係洩漏在區域之間也是可能的。寬的密封區域(1700)通常不是較佳的。通常,橫跨重疊到該寬的密封區域的工件區域的熱梯度可能會負面地影響到該蝕刻的某些特徵。相反地,若一密封區域不夠寬,則該加壓的流體可能會洩漏,並且傳熱可能會劣化。如同在圖15中所示,在該習知技術中,上述的該些密封區域或帶(1700)並未延伸超出該基板(100),因為如此做將會使得該密封帶(1700)的密封表面曝露到潛在腐蝕性的電漿氣體,此可能會減小該ESC的使用壽命。圖18係展示如同此項技術中已知的一剛性基板(100)在一靜電夾頭上的橫截面圖。注意到的是,該密封帶(1700)係被該基板(100)所重疊。再者,在此項技術中典型的是使得該基板(100)延伸超出該密封表面(1700)的邊緣,以便於考量在該晶圓於該ESC(670)上的設置期間的任何設置誤差。在該習知技術中注意到以下也是重要的,被用來將該基板頂離該ESC的頂升銷孔洞(1720)以及頂升銷(2025)也是位在該基板(100)之下,而且在最外側的密封帶(1700)的內部 或是之內。最後,此項技術中已知的ESC係使得該夾箝電極(2010)侷限到在該基板(100)下面的區域。因此,該夾箝電極(2010)是在藉由該外部的密封帶(1700)所界定的區域的內部,而兩者都是在該晶圓周邊的內部。
圖19係展示本發明的一實施例之橫截面圖。當夾箝一撓性的工件(例如,一包含膠帶(300)、等等的工件(320))時,較佳的是使得至少一夾箝電極(2010)重疊到該密封區域(1700),即如同在圖19中所繪者。此在該工件的一撓性的區域重疊到該密封區域(1700)時是特別重要的。該夾箝電極(2010)與該撓性的工件(300)的重疊係有助於最小化氦氣的洩漏。較佳的是,此重疊(2200)係大於1mm寬的。該重疊(2200)可以是沿著內側密封帶周邊、外側密封帶周邊、在該密封帶之內、或是該三者的某種組合。
在本發明的一實施例中,藉由該夾箝電極(2010)與該密封帶(1700)的重疊所界定的區域係構成一圈起該基板(100)之連續的邊界。在本發明的另一實施例中,該密封帶(1700)可以完全被該夾箝電極(2010)所重疊。
在本發明的又一實施例中,該夾箝電極(2010)可以重疊到該覆蓋環(660)。該重疊(2240)通常是在約1mm到小於約10mm的範圍中。在一較佳實施例中,該重疊(2240)是小於約1mm。在另一較佳實施例中,該重疊(2240)是小於約10mm。該重疊(2240)可以是零。
在另一實施例中,該密封帶(1700)的一些部分並未和該覆蓋環(660)重疊的,此未屏蔽的密封帶區域(2250)係被展示在圖19中。在此配置中,較佳的是該夾箝電極(2010)係重疊到該未屏蔽的密封帶區域(2250)的某些部分(例如,該密封帶(1700)並未被該覆蓋環(660)所覆蓋)。較佳的是,該夾箝電極(2010)以及該未屏蔽的密封帶區域(2250)的重疊是大於約1mm寬 的。同樣較佳的是,該夾箝電極(2010)以及該未屏蔽的密封帶區域(2250)的重疊係圈起該基板(100)。在一實施例中,該夾箝電極(2010)係重疊到該未被覆蓋環(660)重疊的密封帶(1700)之整個未屏蔽的密封帶區域(2250)。
該密封區域(1700)通常是介於1mm到15mm寬的,但較佳的是小於10mm。在一工件(基板/膠帶/框架組件)(320)的情形下,在該基板(100)的直徑之外並且在該框架(310)的內直徑之內的區域是膠帶(300)。
儘管先前的例子是針對於一種具有單一區域ESC以及一密封帶之ESC被描述,但是這些實施例亦可以有利地應用至具有多個壓力區域(以及多個密封帶)之靜電夾頭。
利用一典型的ESC,因為該覆蓋環(660)是大於該基板(100)的直徑,所以將會有膠帶(300)的一區域曝露到該電漿製程,該區域並未被該ESC(670)夾箝並且受到溫度控制、或是被該覆蓋環(660)屏蔽以隔開該電漿(400)。膠帶(300)的此一區域將會到達一高溫並且可能會失效。因此,圖8係展示一ESC(670)的使用,該ESC(670)係刻意被做成大於該基板直徑,因而任何在區域(例如,藉由該覆蓋環到基板的距離(820)所界定的區域)中被曝露至該電漿的膠帶(300)亦被夾箝並且受到溫度控制的。該ESC的直徑可以向外擴大至該框架(310)的外部周邊,但是該ESC直徑較佳的是小於該框架(310)的內直徑至少0.2mm。對於其它的框架形狀因素,該ESC的直徑較佳的是小於在該框架中之最大的開口。
如同在圖15中所示,如同此項技術中已知並且用在半導體處理之典型的ESC係在其表面上具有一圖案(1730)。該圖案化的表面(1730)係完全被該基板(100)所重疊並且在該密封帶(1700)的內部。該些氦入口孔洞 (1710)是在該圖案化的區域(1730)中。該圖案通常是被調適以控制某些ESC特徵,例如但不限於傳熱、溫度均勻度、氦氣擴散、以及夾箝力。該圖案亦可被調適以最小化粒子的產生。如同在圖18中所示,該圖案化係產生至少一位在該ESC的基板接觸表面(2030)之下大部分為平的表面(2020),因此在一基板被夾箝時形成至少一間隙(2000)。此間隙(2000)通常是被填入一種例如是氦之加壓的流體以促進傳熱。
圖19係展示本發明的另一實施例。對於一像是電漿切割的應用而言,其中該工件係包含一撓性的薄膜(300),較佳的是,該圖案間隔(2210)被選擇以最小化該薄膜(300)的變形。對於電漿切割而言,此在該些晶粒(110)已經被分開(被單粒化)之後並且實質只藉由該撓性的膠帶(300)加以支承是特別重要的。當該圖案間隔(2210)大於一個別的晶粒之至少一尺寸(長度及/或寬度,但不是厚度)時,晶粒在分開之後可能會傾斜並且彼此接觸,此係潛在地可能造成對於晶粒的損壞。在一實施例中,在該ESC表面上的圖案係具有一圖案間隔(2210)小於最小的晶粒尺寸(長度及/或寬度)。該圖案深度係具有一小於約50μm到小於約100μm的較佳範圍。在一較佳實施例中,該圖案深度(2230)較佳的是小於100μm。在另一較佳實施例中,該圖案深度(2230)較佳的是小於50μm。該圖案深度(2230)可以是小於15μm。晶粒的尺寸範圍可以從約數十微米到高達數公分。
在另一實施例中,在平行於該密封表面的平面中的圖案特點尺寸(2220)可以是在0.1mm到30mm之間,但較佳的是該圖案特點尺寸(2220)是在0.5mm到10mm之間。在平行於該密封表面的平面中的圖案間隔(2210)通常至少是該圖案特點尺寸(2220),但較佳的是該圖案特點尺寸(2220)的至 少1.5倍。儘管一尺寸係被用來描述該些圖案特點的尺寸,但是具有類似尺寸之不同的形狀亦可被利用。該些圖案特點(2220)可以在尺寸及形狀上變化。同樣地,在該些圖案特點(2220)之間的圖案間隔(2210)亦可以在尺寸、形狀及深度上變化。
在另一實施例中,為了避免晶粒在分開之後彼此接觸,該重疊到基板的ESC區域可被設計成具有圖案特點尺寸(2220)以及圖案特點間隔(2210),以使得該圖案特點尺寸(2220)以及圖案特點間隔(2210)小於待被單粒化的晶粒。該基板(100)可以完全被該ESC之圖案化的區域所重疊。晶粒的尺寸範圍可以從約數十微米到高達數公分。在一實施例中,該ESC(670)被該基板(100)重疊的表面係被粗糙化。該粗糙化可透過物理(例如,噴珠處理(bead blasting)、噴砂處理(sand blasting)、等等)或化學的手段、或是兩者的一組合來加以達成。該粗糙化的表面係容許背面冷卻氣體(例如,氦)能夠填入在該ESC(670)以及該工件(320)之間的空洞。較佳的是,該ESC在工件(320)的下面之表面的粗糙度係大於該密封環(1700)的粗糙度。密封環區域通常具有一小於約10微吋(Ra)的表面粗糙度。進一步較佳的是,該ESC(670)被該基板重疊的表面的粗糙度是大於約12微吋(Ra)。該ESC(670)被該基板重疊的表面的粗糙度可以是大於約30微吋(Ra)。同樣較佳的是,該粗糙化的ESC表面延伸超出該基板(100)的周邊在一約1mm到約10mm的範圍中。進一步較佳的是,該粗糙化的表面延伸超出該基板(100)的周邊至少約1mm。在另一較佳實施例中,該ESC之粗糙化的表面可以從該基板的周邊延伸超出約10mm。
如圖22中所示,在其中工件(320)包含超過一基板(100)的狀 況中,該ESC(670)較佳的是延伸超出至少一基板(100)的邊緣,較佳的是延伸超出所有基板(100)的邊緣。為了限制該冷卻氣體(通常是氦)在該基板的背後,該膠帶(300)必須在該靜電夾頭(670)以及該膠帶(300)之間形成一密封表面。此密封表面通常被稱為一密封帶(1700)。在一實施例中,該密封表面(1700)是連續的並且形成一圈起所有基板(100)的區域。在另一實施例中,該密封帶(1700)可以是斷續的並且圈起至少一基板。在又一實施例中,每個基板(100)係被一個別的密封帶(1700)所圈起。在另一實施例中,該基板(100)可覆蓋該一或多個密封帶、或者是該一或多個密封帶可以位於在該一或多個基板(100)之外。
在其中該工件(320)包含多個基板的狀況中,該ESC(670)可包含單一夾箝電極(2010)(例如,單極的)或是多個夾箝電極(2010)(例如,多極的)。當多個基板(100)存在於一工件(320)上時,一夾箝電極(2010)較佳的是延伸超出在該工件(320)上的至少一基板(100)的周邊。較佳的是,一夾箝電極係延伸超出在該工件(320)上的所有基板(100)的周邊在一約1mm到約10mm的較佳範圍中。較佳的是,一夾箝電極係延伸超出每個基板(100)的周邊至少1mm。在另一實施例中,一夾箝電極(2010)可以延伸超出在該工件(320)上的每個基板(100)的周邊至少10mm。在另一實施例中,一夾箝電極(2010)係重疊到全部的基板(100)。在另一實施例中,每個基板(100)係完全被一夾箝電極(2010)所重疊。較佳的是,一夾箝電極(2010)是連續的(例如,沒有截斷),其中該夾箝電極(2010)係重疊到一基板(100)。同樣較佳的是,氦入口孔洞(1710)並未被任何基板(100)重疊到。該些氦入口孔洞可以距離任何基板的周邊至少1mm。
在如同圖15及17所示的習知技術中,該ESC(670)未被該晶圓(100)所覆蓋的區域係被一墊圈(700)所覆蓋及保護以避開該電漿。此係相對於本發明其中該ESC(670)的頂表面係藉由該膠帶(300)而受到保護以避免曝露到電漿。該墊圈(700)可被配置成使得該墊圈(700)並未曝露到該電漿。在多個基板(100)位於一工件(320)上的情形中,該頂表面係藉由該撓性的膠帶(300)在該工件(320)中的存在而受到保護。此係對照於習知技術的配置是在該ESC之上提供一保護覆蓋以保護在該些基板之間的ESC的表面以避免曝露到電漿。
對於所有其中該ESC(670)包含超過一夾箝電極(單一基板或是多個基板在一工件(320)上)的情形而言,任何夾箝電極的邊緣較佳的是並不交叉到一基板(100)。進一步較佳的是,一夾箝電極的邊緣係與一基板(100)的周邊相隔至少1mm。
當夾箝一其中接觸該ESC的表面是一電性絕緣體的工件時,該電性絕緣體的相對介電係數(通常以其相對介電常數著稱)較佳的是大於2。同樣較佳的是,覆蓋該ESC的夾箝電極的電性絕緣層係具有一大於6的相對介電常數,但通常可以是大於2。填入該間隙(2000)中的加壓的流體之相對介電常數較佳的是小於任何邊界的電性絕緣體之最低的相對介電常數。該加壓的流體的相對介電常數理想上是小於2。在該間隙內之強電場係導致一強的夾箝力施加在該工件的底表面上。在該間隙(2000)中的流體之壓力通常是介於1托耳到100托耳之間,但較佳的是介於1托耳到40托耳之間。
如同在圖18中所示,此項技術中已知的是該加壓的流體可 以藉由貫穿該ESC的孔洞(1710)或特點而被引入到在該工件以及該ESC之間的間隙。此項技術中已知的是,該夾箝電極(2010)的任何位在此貫穿該電極的孔洞或是任何其它特點的附近之部分都被切掉。通常,這些孔洞(1710)或是貫穿的特點實質上是圓的;因此,在該電極內切掉的部分通常是具有一類似的形狀。一介於該孔洞(1710)或貫穿的特點以及該夾箝電極(2010)之切掉的部分之間的間隙(2015)係通常被採用,以避免該加壓的流體在操作期間的電弧或離子化。圖15進一步展示對於此項技術中已知的ESC而言,該些氣體引入孔洞(1710)通常是被該基板(100)所重疊的。再者,如同在圖18中所示,在一習知技術的ESC中,該夾箝電極(2010)係具有在該孔洞(1710)或貫穿的特點周圍相隔某個距離(2015)之切掉的部分。由於該些孔洞(1710)或貫穿的特點是被該基板所重疊的,因此在該夾箝電極中之切掉的部分亦被該基板所重疊的。
對於離子驅動(例如,RF功率係被施加至該工件支撐件及/或ESC夾箝電極)的蝕刻製程而言,在電場中起因於一夾箝電極及/或工件支撐件中的不連續性所造成的局部扭曲可能會造成電漿鞘的非均勻性。在該電漿鞘中的非均勻性可能會使得離子以各種的角度撞擊在該晶圓上。該些撞擊的離子將會具有一角分布,其係受到數個將會在以下論述的參數(例如,該工件支撐件的RF頻率)所影響。由於以上論述的不連續性所造成的鞘扭曲可能會使得角分布歪斜、變窄、或是變寬。當蝕刻特點時,這些影響可能會轉變成可能被傾斜、歪斜、彎曲或是具有側壁劣化的輪廓。
圖17係展示本發明的另一實施例。當利用一例如是描繪在圖3中的工件或是一安裝到一過大的載體之基板結合一延伸超出該基板 (100)的ESC(670)時,較佳的是將該些孔洞(例如,氦氣入口埠)(1710)設置在該基板(100)的周邊之外。類似地,該些頂升銷孔洞(1720)較佳的是位在該晶圓(100)的周邊之外。在一實施例(如同圖9所示者)中,該ESC並無包含任何用於該升降機構(680)的貫穿。該升降機構(680)可以是在該工件支撐件(630)的外部。注意到在圖17中,為了舉例之目的,該虛線係代表該晶圓(100)所重疊的ESC的區域。在處理期間,該工件(320)(以及因此該基板(100))係位在該ESC(670)的頂表面上。
如同在圖19中所示,該些孔洞(例如,He氣入口埠)(1710)以及頂升銷孔洞(1720)位在該晶圓周邊之外的設置係容許被該基板(100)所重疊的夾箝電極(2010)能夠是連續的而無切掉的部分(對照在圖18中所示的習知技術)。在一較佳實施例中,該夾箝電極(2010)係完全重疊到該基板。在又一實施例中,該夾箝電極(2010)係完全重疊到該基板,並且重疊到一大於或等於該基板(100)的直徑的1.02倍的區域。在又一實施例中,該夾箝電極(2010)係重疊到該整個基板(100),並且延伸超出該基板(100)的邊緣至少2mm。較佳的是,該夾箝電極(2010)係大於該基板(100)的直徑至少約40%。
儘管對於典型的ESC而言,使得孔洞(1710)以及頂升銷孔洞(1720)是位在該基板之下是普遍的(見於習知技術的圖15及16),但當至少一夾箝電極(2010)及/或該工件支撐件(630)被RF供電時,較佳的是不使得其位在該晶圓之下。當利用一例如是圖19中所描繪的工件(320)及ESC時,該被RF供電的區域(例如,工件支撐件(630)或是夾箝電極(2010))的直徑較佳的是大於被蝕刻的基板(100)。如同在以上所提及的,發生於該被RF供電的區域的邊緣處之鞘非均勻性可能會對於該蝕刻輪廓有不利的影響,並且因此該 被RF供電的一或多個區域較佳的是大於該基板(100)的直徑至少5%。理想上,若該厚度以及相對介電常數在該被RF供電的一或多個區域上大部分都被保持不變的,則該被RF供電的區域的直徑應該是大於該基板(100)約40%。該被RF供電的區域可以是大於超出該基板(100)的周邊10mm。
圖26係展示本發明的另一實施例。在此實施例中,該ESC(670)係重疊到該框架(310)。在此配置中,在一密封帶(1700)以及該框架(310)之間有一重疊區域。該框架(310)可以完全重疊到一密封帶(1700)。圖26係描繪其中該框架(310)的內直徑小於最外側的密封帶(1700)的內直徑的情形,但重要的是注意到最外側的密封帶(1700)的內直徑可以是小於或等於該框架(310)的內直徑。再者,圖26係展示一ESC被配置以用於單一氦背面冷卻區域以及單一密封帶(1700),本發明亦可以有利地應用至具有多個氦冷卻區域及/或密封帶的ESC。
圖26亦展示一工件配置,其中該撓性的膠帶(300)(例如,切割膠帶)並不完全重疊到該框架(310)。為了保護該密封帶表面免於來自反應物或副產物的劣化,一密封帶(1700)較佳的是並不延伸超出該撓性的膠帶(300)的周邊。為了提供一夾箝力至該框架(310),同樣較佳的是一夾箝電極(2010)的某個部分重疊到該框架(310)的一部分。在此配置中,該框架(310)係和該溫度受到控制的工件支撐件(630)熱連通的,因此,該框架(310)可以曝露到該電漿。
在圖26中所示的實施例亦可以受益於在先前的實施例中所述的特點,除了該覆蓋環(660)以外。對於其中一RF偏壓電壓是所需的製程而言,為了最小化在該基板(100)的表面之電漿鞘中的可能會導致蝕刻非均 勻性的擾動,該ESC(670)被該基板(100)重疊的區域較佳的是均勻的,而無穿過該ESC(670)的貫穿(例如,氦氣入口孔洞(1710)或是頂升銷孔洞(1720))。較佳的是,該些氦氣入口(1710)並未被該基板所重疊(例如,氦氣入口(1710)是位在該基板(100)的周邊之外)。該些氦氣入口(1710)必須是位在最外側的密封帶(1700)的內部。較佳的是,任何密封帶(1700)都未被該基板(100)所重疊。同樣較佳的是,該夾箝電極(2010)在其中該夾箝電極被該基板(100)所重疊的區域中是連續的。較佳的是,該夾箝電極(2010)係完全重疊到該基板(100)。該夾箝電極(2010)可以延伸超出該基板(100)的周邊。進一步較佳的是,該些頂升銷(2025)以及頂升銷孔洞(1720)是位在該基板(100)的周邊之外。該些頂升銷可以觸及該框架(310)及/或該膠帶(300),其中該膠帶(300)係重疊到該框架(310)。在一替代實施例中,該升降機構可以位在該工件支撐件(630)之外。該升降機構可以從該框架(310)的底部、頂端、或是側邊、或是該三者的某種組合來接觸該框架(310)。
圖27係展示本發明的又一實施例。此實施例可包含在圖26中所述的特點並且添加一覆蓋環(660)。在此配置中,該覆蓋環可以重疊並且保護該工件(320)的未夾箝的部分而避開該電漿。該覆蓋環(660)係位在該電漿源(620)以及該工件(320)之間。該覆蓋環(660)的內直徑可以是大於該框架(310)的內直徑。該覆蓋環(660)可具有埠(1010)以容許增大的泵送效率。較佳的是,該些埠(1010)係位在該工件支撐件(630)的周邊之外。
注意到圖26及27係描繪本發明針對於一包含單一基板(100)的工件(320)的特點也是重要的。本發明亦可以有利地應用至一包含多個基板的工件(320)(例如,在圖22中所示的工件)。
儘管圖26及27係展示一具有位在相同的平面中(例如,該撓性的薄膜(300)的相同側上)的框架(310)以及基板(100)之工件,但是該工件(320)可被配置成使得該基板(100)以及該框架(310)是位在該薄膜(300)的相反側上(例如,該基板的底部係黏著到該膠帶的頂表面,而該框架的頂表面係黏著到該膠帶的底表面)。所述的發明之概念可以有利地應用至此工件配置。如同在圖19中所示,一ESC(2330)係由一或多個電極(2340)所組成,一高電壓係被施加至該電極(2340)。該電位差可被施加在至少一夾箝電極(2340)以及一接觸該電漿的導電表面(例如,室壁(600))之間、或是直接在兩個或多個夾箝電極之間。典型被施加的夾箝電位範圍是在1V到10kV之間,但是該被施加的夾箝電位較佳的是在1kV到5kV之間。對於一被曝露到低於2kV的電漿感應的自生偏壓之被夾箝的材料(2320)而言,該被施加的夾箝電位差較佳的是大於在該被夾箝的材料(2320)上之電漿感應的自生偏壓。
對於上述的本發明之所有的實施例而言,該基板(100)的背側(例如,該基板的相對於包含裝置(110)的表面之表面)較佳的是面對該撓性的薄膜(300)(例如,該基板(100)的背面可以接觸到該撓性的薄膜(300))。在本發明的一替代實施例中,該基板(100)可被安裝在該撓性的薄膜(300)之上,使得該基板(100)的包含裝置(110)的表面是面對該撓性的薄膜(300)(例如,該基板(100)的裝置側可以接觸到該撓性的薄膜(300))。
如同在圖19中所示,該些夾箝電極(2340)係藉由一電性絕緣層(2300)以和該工件支撐件(630)分開,並且藉由一上方的電性絕緣層(2310)以和該被夾箝的材料(2320)分開。在該ESC的夾箝電極之上的上方電性絕緣層(2310)的厚度以及相對介電常數較佳的是被選擇成最小化一被夾箝的絕 緣材料(2320)對於該夾箝效能(例如,夾箝力)將會有的影響。在本發明中,該介電質(2310)的厚度以及該層(2310)的介電常數係被選擇成都是高於被夾箝的材料(2320)。例如,該ESC上方的介電質(2310)的厚度以及上方的介電質(2310)的相對介電常數都是高於被夾箝的材料(2320)是不必要的,任一個參數都可加以操縱,使得該ESC的上方的介電層(2310)的相對介電常數及厚度的乘積係大於被夾箝的材料(2320)之厚度及介電常數的乘積。上方的介電絕緣體(2310)的相對介電常數與該上方的介電絕緣體(2310)的厚度之乘積相對該被夾箝的材料(2320)之同樣的乘積的比例較佳的是大於1:1,但理想上是大於5:1。
圖20係展示另一實施例,其中該夾箝電極(2340)並沒有電性絕緣體插置在該夾箝電極(2340)與該被夾箝的材料(2320)之間。在其中該ESC夾箝電極(2340)被露出(未被一電性絕緣體所覆蓋)並且該夾箝電極(2340)是至少部分接觸到該被夾箝的材料(2320)的狀況中,該被夾箝的材料(2320)的接觸該ESC電極(2340)的底表面必須是電性絕緣的。
此項技術中已知的典型的ESC係主要由雙極或單極的電極配置所組成,但是其它多極的配置也是可行的。該電極配置可以根據應用來加以選擇。在夾箝絕緣體的情形中,多極的夾箝電極配置是典型的;然而,該雙極或是多極的電極配置可能會導致在該工件的底表面上的電荷分開。此種在該表面上的電荷分開可能會導致強的殘餘力,其可能使得解除夾箝的例行工作變得更長且更複雜的。
在本發明中,該ESC係針對於電性絕緣材料的夾箝以及解除夾箝而被最佳化,其中接觸該ESC的頂表面之工件表面係由一電性絕緣 體所組成的。一單極類型的ESC係被使用以促進該解除夾箝的例行工作。在一單極ESC的情形中,並沒有橫向的電荷分開發生在該底表面上;而是該底表面大部分都均勻地帶電。由於該工件的底表面具有一大部分都均勻的電荷分布,因此該殘餘力亦將會是大部分均勻的。此大部分均勻的殘餘的夾箝力可以輕易地加以抵銷。通常,一例行工作係被採用以抵銷該殘餘的夾箝力並且解除夾箝該工件。在一例行工作中,該被施加的夾箝電壓可以藉由設定該電壓成為該電漿感應的自生偏壓來加以操縱。在某些情形中,該夾箝電壓可被設定為0V、或是一具有和該夾箝電壓極性相反的極性之最佳化的設定點被用來夾箝該工件。該解除夾箝的例行工作通常是在該工件已經處理後加以執行的。
圖8係展示一從該ESC(310)的外直徑延伸至該升降機構(680)之墊圈(700)。此墊圈(700)係被用來避免任何露出的膠帶(300)的背表面和該電漿接觸。儘管一個別的墊圈(700)係被展示,但是該ESC(670)的一延伸亦將會避免電漿曝露到該膠帶(300)的背面。該墊圈(700)可以是由一種例如是陶瓷(例如,鋁氧化物)的介電材料、或是一種塑膠材料(例如,聚四氟乙烯(PTFE、鐵弗龍))所做成的,此係因為其低導熱度以及其低導電度而被選出的。儘管較佳的是該未被夾箝的膠帶不直接曝露到電漿,但是某種間接的曝露可被容忍。
通常在電漿處理期間,去耦合(decouple)該離子能量以及離子通量以達成某些蝕刻特徵是所期望的。藉由採用一被供電的工件支撐件以及一例如是ICP的高密度源,可以達成離子能量及通量之大部分獨立的控制。該工件支撐件可藉由一DC或AC電源而被供電(例如,被偏壓)。該 AC偏壓頻率範圍可以從幾kHz到數百MHz。低頻通常是指那些在該離子電漿頻率或是低於其的偏壓頻率,而高的偏壓頻率係指高於該離子電漿頻率的頻率。該離子電漿頻率被瞭解是依據該離子的原子數而定,因此該離子電漿頻率將會受到該電漿的化學性質影響。此種化學成分可以是含Cl、HBr、I或是F。在含SF6的電漿的情形中,該離子電漿頻率大約是4MHz。如同在圖23中所示,當向下蝕刻一基板到一由兩種具有不同的相對介電常數(例如,絕緣體上矽、SOI結構)的材料(例如,在圖23中的2720及2730)的接觸所界定的介面時,和在該介面處之充電相關的蝕刻問題是眾所週知的。此種問題可以是電性或物理性的,並且通常以缺口(例如,見於圖23中的2700)、溝槽、特點輪廓的劣化著稱的。其中這些問題通常會發生的介面之例子是絕緣體上矽(SOI)、安裝在絕緣載體上之半導體基板、安裝在膠帶上之半導體晶圓(例如,GaAs、Si)、以及包含至少一電性絕緣層的基板。對於裝置良率及效能而言,這些問題是非所要的。例如,當利用一時分多工的(例如,TDM、DRIE或是Bosch)製程來蝕刻矽而停止在一絕緣體(例如,SiO2)上時,此項技術中已知的是底切(或缺口)將會發生在該矽/絕緣體的介面處。如同在此項技術中眾所週知的,此種充電的問題可藉由操作在低RF偏壓頻率(低於該離子電漿頻率)並且額外脈波化或調變該RF偏壓功率而被降低,即如同在美國專利6,187,685中所解說者。注意到的是,該'685專利係對於具有一絕緣蝕刻停止的蝕刻矽做出相反教示,而不使用高於該離子電漿頻率(大約4MHz)的RF偏壓頻率。
當該高頻RF偏壓結合介於該高密度的電漿源(620)與該基板(100)之間的機械隔板(690)被使用時,本發明係容許這些結構(例如,SOI) 利用一高於該離子電漿頻率的RF偏壓頻率(例如,大於約4MHz)的蝕刻。此配置係容許該基板(100)的處理(例如,蝕刻)能夠進行,同時仍然能夠消除或降低發生在該介面處的損壞(例如,最小化在一矽/露出的絕緣體介面處之缺口(2700))。較佳的是,該RF偏壓頻率是13.56MHz(ISM頻帶)。
在本發明的一實施例中,該機械隔板(690)係結合一高頻RF偏壓而被使用,該高頻RF偏壓係在該製程期間的某個點被脈波化。該RF偏壓可以在該整個製程期間被脈波化。該脈波化的RF偏壓在該脈波系列中可具有至少兩個功率位準,一個高的值以及一個低的值。該脈波化的RF偏壓可具有超過兩個RF偏壓功率位準。該低的值可以是零(沒有RF偏壓功率)。該脈波化的RF偏壓位準可以連續地、離散地或是兩者來加以改變。該RF偏壓頻率亦可以是大於約6MHz到高達約160MHz。
設備製造商必須產生其蝕刻系統的獨特的配置來支援應用,例如但不限於低損壞的電漿蝕刻及SOI應用,其通常無法在不增加多個電源及/或匹配網路以及有時需要的靜電夾頭的花費下被使用於其它的製程。在一13.56MHz的頻率之電源因為其可利用性以及低成本而在該產業中是普遍的。本發明係使得此種電源供應器被使用於以上所述的應用之使用成為可能的,此係消除對於增加的硬體及/或精細的硬體配置的需求。
由於在此種低頻的RF耦合透過一厚的介電材料不是有效率的,因此至該基板(100)的RF耦合可以是經由該一或多個ESC夾箝電極(2010),例如是經由一耦合電容器而不是經由該被RF供電的工件支撐件(630)。為了維持均勻的至該基板(100)的RF耦合,該一或多個ESC電極亦應該被均勻地設置在該基板(100)的背後。若多個電極被使用,則此係難以 達成的,因為在該些電極之間必要的間隙係導致在該RF耦合上之一局部的變化,此係不利地影響該蝕刻的品質,特別是在該基板/膠帶的介面處之底切。因此,該ESC設計的一較佳實施例係納入一種所謂的單極的設計,其中單一電極係被用來提供該夾箝力。
該基板可利用在半導體產業中眾所週知的技術來加以處理。矽基板一般是利用一例如是SF6的氟基化學成分來加以處理。SF6/O2的化學成分因為其高的速率以及非等向性輪廓,因此通常是被用來蝕刻矽。此化學成分的一缺點是其對於遮罩材料之相當低的選擇性,例如對於光阻的選擇性是15-20:1。或者是,一時分多工處理(TDM)製程可被利用,其係交替在沉積及蝕刻之間以產生高度非等向性深的輪廓。例如,一用以蝕刻矽之交替的製程係使用一C4F8步驟以沉積聚合物在該矽基板之所有露出的表面上(亦即,遮罩表面、蝕刻側壁以及蝕刻地板),並且接著一SF6步驟係被用來選擇性地從該蝕刻地板移除該聚合物並且接著等向性蝕刻一小量的矽。該些步驟係重複直到被終止為止。此種TDM製程可以深入矽產生非等向性的特點,其具有對於該遮罩層之大於200:1的選擇性。此於是使得一TDM製程成為用於矽基板的電漿分開之所要的方法。注意到的是,本發明並不限於含氟的化學成分或是一時分多工處理(TDM)製程的使用。例如,如同此項技術中已知的,矽基板亦可以利用含Cl、HBr或I的化學成分而被蝕刻。
對於例如是GaAs的III-V族的基板而言,一種氯基化學成分係廣泛用在半導體產業。在RF無線裝置的製造中,薄化的GaAs基板係以裝置側向下地被安裝到一載體之上,其係在該載體處接著被薄化並且利 用光阻圖案化。該GaAs係被蝕去以露出連到該正面側電路的電性接點。此眾所周知的製程亦可藉由在上述的發明中所敘述的正面側處理而被利用來分開該些裝置。其它的半導體基板及適當的電漿製程亦可在上述的發明中被利用於晶粒的分開。
為了進一步減少和在該基板/膠帶的介面處之充電相關的問題,該製程可以在該介面被曝露到一第二製程的時點加以改變,該第二製程係具有較小底切的傾向並且通常是一較低蝕刻速率的製程。該改變發生所在的時點係依據基板厚度而定,而該厚度是很可能會變化的。為了補償此可變性,到達該基板/膠帶的介面的時間係利用一終點技術來加以偵測。監視該電漿發射的光學技術是通常被用來偵測終點,並且美國專利6,982,175及7,101,805係描述此種終點技術,其係適合於一TDM製程。
在該半導體基板的單粒化之後,可能有非所要的殘留物存在於該些裝置上。鋁通常是被使用作為一用於半導體裝置的電性接點,並且當曝露到氟基電漿時,一層AlF3係形成在其表面上。AlF3在正常的電漿處理條件下是非揮發性的,並且在處理後未被抽離該基板而離開該系統,而是仍然在該表面上。在鋁上面的AlF3是裝置失效的一常見的原因,因為導線至該電性接點的接合強度係大為降低。因此,在電漿處理後,該AlF3從該電性接點的表面的移除是重要的。濕式方法可被利用;然而,此係因為該些分開的晶粒的脆弱本質以及可能對於該膠帶的損壞而造成晶粒鬆脫而變成困難的。因此,當該基板仍然是在該真空室內時,該製程可加以改變至一第三製程,此係為一被設計以移除任何所形成的AlF3的製程。美國專利7,150,796係描述一種用於利用一氫基電漿在原處移除AlF3之方法。同樣 地,當其它含鹵素的氣體被用來蝕刻該基板時,一種在原處的處理亦可被利用以移除其它含鹵素的殘留物。
儘管以上的例子係討論電漿使用於分開晶粒(切割),但是本發明的特點對於例如是藉由電漿蝕刻的基板薄化的相關應用亦可能是有用的。在此申請案中,該基板(100)可以是在該表面上具有某些待被蝕刻的特點、或者是該待被蝕刻的表面可以是無特點的(例如,薄化該基體基板)。
本揭露內容係包含內含在所附的申請專利範圍的內容以及先前的說明之內容。儘管本發明已經以其具有一定程度的特定性之較佳型式來加以敘述,但所了解的是,該較佳型式的本揭露內容只是藉由舉例而完成而已,並且可以在結構的細節以及部件的組合及配置上訴諸許多改變,而不脫離本發明的精神與範疇。
600‧‧‧真空處理室
610‧‧‧氣體入口
620‧‧‧高密度的電漿源
630‧‧‧工件支撐件
640‧‧‧RF電源
650‧‧‧真空泵
660‧‧‧保護覆蓋環
670‧‧‧靜電夾頭(ESC)
680‧‧‧升降機構
690‧‧‧機械隔板
695‧‧‧穿孔
1010‧‧‧孔洞(埠)

Claims (26)

  1. 一種用於電漿切割一基板之方法,該方法係包括:提供具有一壁之一處理室;提供相鄰該處理室的該壁之一電漿源;在該處理室內提供一工件支撐件,該工件支撐件具有一靜電夾頭,該靜電夾頭具有一外直徑;將一工件設置到該工件支撐件之上,該工件係具有一支撐膜、一框架以及該基板;提供一RF電源操作性地連接至該工件的該基板上;在該處理室內提供一機械隔板,該機械隔板係定位於該電漿源與該工件之間;在該處理室內提供一覆蓋環,該覆蓋環係定位於該機械隔板與該工件之間;利用該電漿源以產生一電漿;以及利用產生的該電漿蝕刻該工件,其中,在該蝕刻期間,該覆蓋環沒有接觸該工件;並且在該蝕刻期間,自該RF電源施加大於50W的一RF偏壓功率至該基板。
  2. 根據申請專利範圍第1項之方法,其中,該機械隔板係將該電漿源與該處理室隔離。
  3. 根據申請專利範圍第1項之方法,其中,該機械隔板係定位於該基板之上至少一釐米處。
  4. 根據申請專利範圍第1項之方法,其中,該機械隔板係導電的。
  5. 根據申請專利範圍第1項之方法,其中,該機械隔板降低了到達該工件的離子密度。
  6. 根據申請專利範圍第5項之方法,其中,在該工件與該機械隔板之間的該電漿係藉由RF偏壓功率來維持。
  7. 根據申請專利範圍第1項之方法,其中,該機械隔板降低了到達該工件的電漿發射強度。
  8. 根據申請專利範圍第1項之方法,其進一步包括:維持該機械隔板的溫度在攝氏0度至攝氏350度的範圍中。
  9. 根據申請專利範圍第1項之方法,其中,該機械隔板與該基板的一部分重疊。
  10. 根據申請專利範圍第1項之方法,其中,該機械隔板與該基板完全重疊。
  11. 根據申請專利範圍第1項之方法,其中,該機械隔板進一步包括複數個穿孔。
  12. 根據申請專利範圍第11項之方法,其中,該複數個穿孔係橫跨該機械隔板而均勻地分布。
  13. 根據申請專利範圍第11項之方法,其中,該複數個穿孔係橫跨該機械隔板而非均勻地分布。
  14. 一種用於電漿切割一基板之方法,該方法係包括:提供具有一壁之一處理室;提供相鄰該處理室的該壁之一電漿源;在該處理室內提供一工件支撐件,該工件支撐件具有一靜電夾頭,該 靜電夾頭具有一外直徑;在該處理室內提供相鄰於該工件支撐件之一升降機構,該靜電夾頭的該外直徑係延伸至該升降機構;將一工件設置到該工件支撐件之上,該工件係具有一支撐膜、一框架以及該基板;提供一RF電源操作性地連接至該工件的該基板上;在該處理室內提供複數個機械隔板,該複數個機械隔板係定位於該電漿源與該工件之間;在該處理室內提供一覆蓋環,該覆蓋環係定位於該複數個機械隔板與該工件之間;利用該電漿源以產生一電漿;以及利用產生的該電漿蝕刻該工件,其中,在該蝕刻期間,該覆蓋環沒有接觸該工件;並且在該蝕刻期間,自該RF電源施加大於50W的一RF偏壓功率至該基板。
  15. 根據申請專利範圍第14項之方法,其中,該複數個機械隔板係將該電漿源與該處理室隔離。
  16. 根據申請專利範圍第14項之方法,其中,該複數個機械隔板中的至少一者係與該複數個機械隔板中的一者之至少一部分重疊。
  17. 根據申請專利範圍第14項之方法,其中,該複數個機械隔板係導電的。
  18. 根據申請專利範圍第14項之方法,其中,該複數個機械隔板降低了到達該工件的離子通量。
  19. 根據申請專利範圍第18項之方法,其中,在該工件與該複數個機械隔板之間的該電漿係藉由RF偏壓功率來維持。
  20. 根據申請專利範圍第14項之方法,其中,該複數個機械隔板降低了到達該工件的電漿發射強度。
  21. 根據申請專利範圍第14項之方法,其進一步包括:維持該複數個機械隔板的溫度在攝氏0度至攝氏350度的範圍中。
  22. 根據申請專利範圍第14項之方法,其中,該複數個機械隔板與該基板的一部分重疊。
  23. 根據申請專利範圍第14項之方法,其中,該複數個機械隔板與該基板完全重疊。
  24. 根據申請專利範圍第14項之方法,其中,該複數個機械隔板進一步包括複數個穿孔。
  25. 根據申請專利範圍第24項之方法,其中,該複數個穿孔係橫跨該複數個機械隔板而均勻地分布。
  26. 根據申請專利範圍第24項之方法,其中,該複數個穿孔係橫跨該複數個機械隔板而非均勻地分布。
TW105120752A 2013-03-06 2014-03-04 用於電漿切割半導體晶圓之方法和設備 TWI584371B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/787,032 US9070760B2 (en) 2011-03-14 2013-03-06 Method and apparatus for plasma dicing a semi-conductor wafer

Publications (2)

Publication Number Publication Date
TW201637094A TW201637094A (zh) 2016-10-16
TWI584371B true TWI584371B (zh) 2017-05-21

Family

ID=50349895

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105120752A TWI584371B (zh) 2013-03-06 2014-03-04 用於電漿切割半導體晶圓之方法和設備
TW103107189A TWI579915B (zh) 2013-03-06 2014-03-04 用於電漿切割半導體晶圓之方法和設備

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW103107189A TWI579915B (zh) 2013-03-06 2014-03-04 用於電漿切割半導體晶圓之方法和設備

Country Status (5)

Country Link
EP (2) EP3594998B1 (zh)
JP (1) JP6388886B2 (zh)
CN (1) CN105190862B (zh)
TW (2) TWI584371B (zh)
WO (1) WO2014137905A2 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6555656B2 (ja) * 2015-02-17 2019-08-07 パナソニックIpマネジメント株式会社 プラズマ処理装置および電子部品の製造方法
JP6516125B2 (ja) * 2015-09-07 2019-05-22 パナソニックIpマネジメント株式会社 プラズマ処理方法および電子部品の製造方法
JP6473974B2 (ja) * 2016-09-30 2019-02-27 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
KR20210027503A (ko) * 2016-10-18 2021-03-10 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 워크피스 처리를 위한 시스템 및 방법
US11217434B2 (en) * 2016-12-27 2022-01-04 Evatec Ag RF capacitive coupled dual frequency etch reactor
JP6818351B2 (ja) * 2017-04-14 2021-01-20 サムコ株式会社 ウエハ処理装置
JP6861570B2 (ja) * 2017-04-27 2021-04-21 東京エレクトロン株式会社 基板処理装置
GB201708927D0 (en) * 2017-06-05 2017-07-19 Spts Technologies Ltd Methods of plasma etching and plasma dicing
US11239060B2 (en) * 2018-05-29 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Ion beam etching chamber with etching by-product redistributor
KR102590963B1 (ko) * 2018-07-27 2023-10-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 이온 블로커를 갖는 원격 용량성 결합 플라즈마 소스

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158589A (en) * 1977-12-30 1979-06-19 International Business Machines Corporation Negative ion extractor for a plasma etching apparatus
US20100216313A1 (en) * 2007-10-12 2010-08-26 Panasonic Corproation Plasma processing apparatus
TW201246335A (en) * 2011-03-14 2012-11-16 Plasma Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
TW201310518A (zh) * 2011-07-11 2013-03-01 Applied Materials Inc 使用混合式分裂射束雷射劃線製程及電漿蝕刻的晶圓切割

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US48001A (en) 1865-05-30 Improvement in sawing-mach ines
US5310453A (en) * 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5870271A (en) * 1997-02-19 1999-02-09 Applied Materials, Inc. Pressure actuated sealing diaphragm for chucks
CN1057855C (zh) * 1997-06-28 2000-10-25 丁开纪 计算机汉字笔画组合输入方法
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
JP3475887B2 (ja) * 2000-01-11 2003-12-10 株式会社村田製作所 スイッチング電源装置
US20030062064A1 (en) 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US6642127B2 (en) * 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
US6982175B2 (en) 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
WO2004102642A2 (en) 2003-05-09 2004-11-25 Unaxis Usa Inc. Envelope follower end point detection in time division multiplexed processes
JP4227865B2 (ja) * 2003-08-12 2009-02-18 株式会社ディスコ プラズマエッチング方法及びプラズマエッチング装置
JP4515171B2 (ja) * 2004-06-30 2010-07-28 株式会社アルバック 真空処理方法
JP4288252B2 (ja) * 2005-04-19 2009-07-01 パナソニック株式会社 半導体チップの製造方法
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
JP2009065079A (ja) * 2007-09-10 2009-03-26 Toyota Motor Corp 半導体ウェハを保持する方法とそのために用いられる支持部材
JP5222442B2 (ja) * 2008-02-06 2013-06-26 東京エレクトロン株式会社 基板載置台、基板処理装置及び被処理基板の温度制御方法
US9343365B2 (en) * 2011-03-14 2016-05-17 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
JP5732941B2 (ja) * 2011-03-16 2015-06-10 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP5528394B2 (ja) * 2011-05-30 2014-06-25 パナソニック株式会社 プラズマ処理装置、搬送キャリア、及びプラズマ処理方法
US8912077B2 (en) * 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158589A (en) * 1977-12-30 1979-06-19 International Business Machines Corporation Negative ion extractor for a plasma etching apparatus
US20100216313A1 (en) * 2007-10-12 2010-08-26 Panasonic Corproation Plasma processing apparatus
TW201246335A (en) * 2011-03-14 2012-11-16 Plasma Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
TW201310518A (zh) * 2011-07-11 2013-03-01 Applied Materials Inc 使用混合式分裂射束雷射劃線製程及電漿蝕刻的晶圓切割

Also Published As

Publication number Publication date
CN105190862B (zh) 2018-09-11
WO2014137905A3 (en) 2015-02-26
EP3594998B1 (en) 2022-01-05
CN105190862A (zh) 2015-12-23
JP6388886B2 (ja) 2018-09-12
TWI579915B (zh) 2017-04-21
WO2014137905A2 (en) 2014-09-12
EP2965349A2 (en) 2016-01-13
TW201637094A (zh) 2016-10-16
EP3594998A1 (en) 2020-01-15
JP2016517624A (ja) 2016-06-16
TW201438104A (zh) 2014-10-01

Similar Documents

Publication Publication Date Title
US11488865B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
TWI587388B (zh) 用於電漿切割半導體晶圓的方法和設備
US9711406B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
TWI584371B (zh) 用於電漿切割半導體晶圓之方法和設備
US9202721B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
JP6320505B2 (ja) 半導体ウエハをプラズマ・ダイシングするための方法及び装置
US9202737B2 (en) Method and apparatus for plasma dicing a semi-conductor wafer
EP3114703B1 (en) Method for plasma dicing a semi-conductor wafer
US20230343647A1 (en) Method and apparatus for plasma dicing a semi-conductor wafer
US20230020438A1 (en) Method and apparatus for plasma dicing a semi-conductor wafer