TWI579350B - 拋光組成物及用於拋光鈷膜之方法 - Google Patents

拋光組成物及用於拋光鈷膜之方法 Download PDF

Info

Publication number
TWI579350B
TWI579350B TW104122736A TW104122736A TWI579350B TW I579350 B TWI579350 B TW I579350B TW 104122736 A TW104122736 A TW 104122736A TW 104122736 A TW104122736 A TW 104122736A TW I579350 B TWI579350 B TW I579350B
Authority
TW
Taiwan
Prior art keywords
acid
polishing
composition
polishing composition
corrosion
Prior art date
Application number
TW104122736A
Other languages
English (en)
Other versions
TW201615777A (zh
Inventor
璐玲 汪
阿布達雅 米斯拉
狄帕克 馬休理克
理查 溫
Original Assignee
富士軟片平面解決方案有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 富士軟片平面解決方案有限責任公司 filed Critical 富士軟片平面解決方案有限責任公司
Publication of TW201615777A publication Critical patent/TW201615777A/zh
Application granted granted Critical
Publication of TWI579350B publication Critical patent/TWI579350B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents

Description

拋光組成物及用於拋光鈷膜之方法 發明領域
本揭示內容有關拋光組成物,以及使用該拋光組成物拋光半導體基材之方法。更特別地,本揭示內容有關拋光組成物,以及以各種速率以及具選擇性地,拋光含有多種介電質以及金屬薄膜之半導體基材中之鈷膜之方法。
發明背景
半導體產業不斷地藉由製程、材料以及積體之創新進一步的小型化元件,推動改善晶片之性能。早期的材料創新包括導入銅,取代鋁,作為互連結構中之導電材料,以及使用鉭(Ta)(黏著)/氮化鉭(TaN)(阻隔),用以分開Cu導電材料與非導電/絕緣介電材料。選擇銅(Cu)作為互連材料,是因為其低電阻率以及優越的抗電遷移性。然而,當晶片之特徵縮小時,此等多層Cu/阻隔/介電之堆疊,必須更薄以及更均勻,以便維持後段製程(BEOL)中,有效的互連電阻率。然而,Cu以及Ta/TaN阻隔薄膜之組合,在沈積方面,存在著電阻率以及靈活性之問題。尺寸愈小以及先進的製造節點,電阻率會急劇地變得非常地糟,在電晶體電路速 度方面之改善(前段製程(FEOL)),因為來自導電Cu/阻隔佈線(BEOL)之延遲而減半。鈷(Co)出現成為阻隔層以及導電層二者之主要候選者。此外,經研究,鈷亦可在諸如鎢金屬接觸、塞、通道以及閘材料之多種應用方面,作為鎢(W)金屬的取代物。
化學機械拋光/平坦化(CMP)是一種半導體製造方法之技術,其在BEOL以及FEOL中,用於拋光以及整平金屬以及介電質。例如,在Cu之CMP方面,是將導電Cu層拋光以及整平至阻隔層或絕緣介電層露出來。CMP製程,係在夾持晶圓並將其壓抵拋光墊之拋光工具上,使用拋光組成物(漿料)進行。同時施用化學(漿料)以及機械力(壓力),可產生晶圓之“化學機械”拋光。CMP步驟之最終目的,是在拋光後,達到局部以及全部的平坦化,以及無缺點以及無腐蝕表面。一些CMP漿料/製程之關鍵指標,是材料磨削率(MRR)、拋光後之表面缺陷率以及拋光後之金屬腐蝕/蝕刻預防。
導入鈷(Co)作為阻隔層、導電層和/或W取代之情況下,市場上存在有可以高MRR拋光Co,以及在其它金屬與金屬氧化物(Cu、Ti、Ta2O5、TiO2、RuO2等等)以及介電薄膜(SiN、二氧化矽、P-Si、低k介電質)之拋光速率上,具有選擇性之Co CMP漿料的需求。因為Co比Cu以及其它貴金屬具更高的化學反應性,所以在先進節點的漿料設計上,於防止Co之腐蝕方面,非常具有挑戰性。目前的金屬拋光漿料之設備,不適合用於拋光Co薄膜,因為其等在CMP製 程中,會遇到Co腐蝕的問題。因此,非常的需要可移除Co薄膜,但又不會導致Co腐蝕之Co漿料。
圖1a以及1b顯示二種其中需要Co漿料之後段堆疊應用之例子。各顯示Co本體漿料(Co導電層)以及Co屏障漿料(Co屏障層)二者。圖1a中之應用,使用一額外的金屬氧化層,而在圖1b之應用中不需要。
發明概要
本揭示內容提供一種CMP拋光組成物,其係設計成可拋光以及磨削Co材料。此等拋光組成物展現出高Co材料磨削率(MRRs)、良好的介電質以及阻隔金屬薄膜之MRR選擇性以及優越的缺陷率以及Co表面防腐蝕作用。使用目前的拋光組成物,鈷層呈現無法令人滿意之腐蝕。
更特別地,本揭示內容有關一種拋光組成物,其包含一研磨劑、一作為磨削率增加劑(RRE)之弱酸、一含唑之Co腐蝕抑制劑(CI)、不同的唑腐蝕抑制劑之組合和/或一pH調整劑。該RRE、CI以及pH調整劑,全部具有pKa在1-18之範圍內(1<pKa<18),以及該拋光組成物具pH在7-12之範圍內(7<pH<12)。以該拋光組成物之總重量計,該整個拋光組成物具有小於約100部分/百萬部分(ppm)之硫酸根離子以及小於約100ppm之鹵素(氟、氯、溴或碘)離子。此揭示內容亦討論一種使用前述拋光組成物,拋光半導體基材之方法。
本揭示內容提供一種拋光組成物,其可拋光鈷, 對鈷之選擇性高於其它介電質(諸如氮化矽、二氧化矽以及聚矽薄膜)以及阻隔金屬薄膜。本揭示內容亦提供一種拋光組成物,其可以非常高的材料磨削率拋光鈷薄膜,且拋光後可產生具非常低缺陷率之表面。該CMP後之表面亦無腐蝕現象。
因此,在一具體例中,本揭示內容提供一種用於拋光含鈷材料之拋光組成物。該組成物包含一研磨劑、一弱酸磨削率增加劑、一含唑腐蝕抑制劑以及一pH調整劑。該磨削率增加劑、該腐蝕抑制劑以及該pH調整劑,各具有pKa介於1與18之間。該組成物之pH介於7與12之間。整個組成物亦具有小於100ppm之硫酸根以及小於100ppm之鹵素離子。本揭示內容亦提供一種用該組成物,拋光具鈷之基材表面之方法。
圖1a以及1b是描繪包含鈷(Co)之後端半導體堆疊示意圖;二者為導電層以及阻隔層。
圖2a-2f展示經過含不同酸添加物之拋光組成物(漿料)蝕刻之Co試樣片之影像。
圖3a-3k顯示由從拋光組成物中之原料或添加物而來,不同濃度之硫酸根離子引起之腐蝕影像。
圖4a-4k顯示由從拋光組成物中之原料或添加物而來,不同濃度之氯離子引起之腐蝕影像。
圖5a以及5b顯示,使用本揭示內容之含RRE以及CI之最佳化拋光組成物,獲得之材料磨削率(MRRs)。所插 入的影像是在40℃下,用漿料蝕刻5分鐘後之Co晶圓的光學影像(OM)。
圖6a以及6b顯示不同拋光組成物中之Cu以及Co之塔菲爾圖(Tafel plot):a)含600ppm硫酸根離子之拋光組成物;b)本揭示內容之具有小於100ppm硫酸根離子以及RREs、CI以及pH調整劑之拋光組成物。
圖7a以及7b顯示,使用本揭示內容之拋光組成物拋光後,(a)非常低的缺陷率以及(b)無腐蝕之Co薄膜表面。
較佳實施例之詳細說明
本揭示內容之組成物包含一研磨劑、一作為磨削率增加劑(RRE)之弱酸、至少一種含唑之鈷(Co)腐蝕抑制劑(CI)、水和/或一pH調整劑。該RRE、CI以及該pH調整劑,各具有pKa在1-18之範圍內(1<pKa<18)。該拋光組成物具有pH在7-12之範圍內(7<pH<12)。額外地,以該拋光組成物之總重量計,本揭示內容之組成物具有小於100部分/百分部分(ppm)之硫酸根離子以及小於100ppm之鹵素(氟、氯、溴或碘)離子。
該RRE、CI以及pH調整劑之pKa,以及其等相關之濃度,在決定漿料之pH值以及因此該拋光組成物之最終CMP特性(MRRs以及腐蝕)上,非常重要(將於下文中更詳細的討論)。因此,漿料中酸以及腐蝕抑制劑組份之pKa,可左右該組成物之pH,如此該漿料之pH(pH漿料),與RRE以及CI之pKa具下列方程式之關係: pKa最小+6<pH漿料<pKa最大-6 (I)
如上以所述,該RRE、CI以及pH調整劑中,每一個之pKa是從1至18或其間之任一子範圍。該pKa亦可從2至12或其間之任一子範圍,或從2至10或其間之任一子範圍。該組成物之pH可在7至12之範圍內或其間之任一子範圍,從7至10或其間之任一子範圍,或從7至9或其間之任一子範圍。
當該弱酸以及含唑腐蝕抑制劑具有pKa在1至18之範圍內(盡可能最廣的範圍內)時,所產生之含該等RRE以及CI之拋光組成物,具有操作pH在7至12之pH範圍內。該pKa是個別分子之酸解離常數(Ka)之對數測量值,而該漿料之pH是該漿料/溶液中氫離子[H+]濃度之對數測量值。該RRE指的是弱酸分子,其作用為增加Co之材料磨削率/拋光率,所以稱作磨削率增加劑(RRE)。此外,該腐蝕抑制劑指的是該含唑分子,其作用為防止拋光後在Co薄膜表面上之腐蝕(或抑制腐蝕),所以稱作“腐蝕抑制劑”(CI)。
針對下文中更詳細討論之理由,發現具有所述之組份、在所述之pKa範圍內、受方程式(I)支配以及具所述的硫酸根以及鹵素離子雜質範圍之組成物,特別地適合用於拋光Co。
腐蝕可定義為,因與環境產生化學反應導致之材料的特性降低。Co薄膜在不同的pH範圍內,具有由鈷之波貝克斯圖(Pourbaix Diagram)所支配之不同的磨削以及後續的表面鈍化機制。Co金屬薄膜之表面上,具有天然的含鈷 Co(II)氧化物,CoO,其在當薄膜與任一種水溶液(如,CMP漿料)接觸時,會被轉換成Co(OH)2。此氫氧化鈷(II)(Co(OH)2)在不同的pH範圍內會有不同的反應。鈷薄膜之pH依賴性反應動力學以及熱動學,會支配CMP拋光組成物之薄膜的溶解、磨削、腐蝕以及拋光後之表面純化機制,且總結於以下表1中。
從上表可看到,在鹼性pH(pH為7-12)下,所形成之主要的鈍化薄膜,是Co(II)/Co(III)氧化物以及氫氧化鈷(Co(OH)2、Co3O4、CoOOH & Co(OH)3)。注意,在酸性以及近中性之pH下,Co(II)氧化物以及Co2+離子是主要的鈍化薄膜。Co(III)鈍化薄膜,比Co(II)鈍化薄膜更鈍,即,非反應性。因此,拋光後,Co(III)鈍化薄膜免於腐蝕以及蝕刻之保護作用,比Co(II)薄膜好很多。此意指,經拋光之Co表面,將更能對抗有害的作用,諸如以上所述之腐蝕。如表1所示,雖然Co薄膜表面之品質在鹼性pH下最好,但在CMP期間,此伴隨較低的材料磨削率(MRRs)之妥協。因此,本揭示內容判定,使用弱酸作為RREs,即使是整個MRR低 於較強的酸,但可提供較佳的表面保護作用。在目前可得的漿料中,似乎是因為較低的MRR,而不想使用弱酸。
該組成物之腐蝕特徵,亦因含有不會引起任何腐蝕或不具任何腐蝕引發劑之弱酸,而有非常大的改善。表2列出會引起Co薄膜腐蝕之強酸、其等之pKa以及其中所含之腐蝕引發劑。從表2中可看出,所有的強酸含有一些腐蝕引發劑,以及具有pKa<0。
本揭示內容判定,在Co漿料系統中,應避免使用pKa<0之強酸,因為其等會在拋光後的表面上引起腐蝕。因此,在本組成物中,係使用弱酸作為RRE,且此等全部之pKa>0,因為pKa決定漿料之pH,以及拋光後之表面鈍化/腐蝕特性。然而,所有的CMP漿料供應商面臨之一主要問題是,存在著從用於製造漿料之原料而來之微量金屬以及雜質離子。例如,雖然在組成物中沒有故意添加鹽酸(HCl,pKa<0),但用於製造漿料之RRE酸散裝化學品(例如,檸檬酸,pKa>0),可能具有微量的氯(Cl)離子雜質,其在使用RRE時,跟著被導入漿料中。此等被導入漿料中之微量 的腐蝕引發劑雜質(不是故意添加的),亦可能會導致Co薄膜腐蝕。這個有趣的行為,描述在範例1以及圖2中。此即為什麼本揭示內容之組成物,以該拋光組成物之總重量計,具有小於100部分/百萬部分(ppm)之硫酸根離子,以及小於100ppm之鹵素離子。此等範例容許意外導入的雜質,但排除故意導入pKa值小於0之強酸。由高位準之硫酸根以及鹵素離子引起之腐蝕,在以下範例2中討論,且可見於圖3。
含不同金屬之薄膜,具有不同類型的腐蝕行為以及腐蝕機制。在電子材料產業內,所遇到之主要的腐蝕形式,是全面腐蝕、孔蝕、伽凡尼腐蝕(galvanic corrosion)、裂縫腐蝕以及晶間腐蝕。此外,在CMP產業內,三種普遍形式之腐蝕/蝕刻,是全面腐蝕、孔蝕以及伽凡尼腐蝕。因此,大部分傳統的金屬拋光漿料(像是Cu、阻隔金屬等等)中,含有一些主要用以預防全面腐蝕之形式的腐蝕抑制劑。然而,於導電以及阻隔層中導入“較新”的金屬,諸如Co,在CMP產業上,會產生新的挑戰以及腐蝕問題。在Co薄膜之CMP方面,孔蝕是主要的腐蝕形式。雖然Co與Cu一樣,在周期表中是第一排的過渡金屬,但Co薄膜之化學性質,比Cu“脆弱”非常的很多。此從拋光之觀點而言是有利的,因為在針對Co薄膜,可獲得較高的材料磨削率(MRR)。然而,Co薄膜不是化學惰性之一大缺點,是拋光後之孔蝕。
不令人意外地,在集成Co作為導電以及阻隔層之最新的技術節點製造方面,CMP界所面臨之最大的問題,是CMP期間或之後之Co腐蝕。本揭示內容發現,磨削率增 加劑(RRE)以及腐蝕抑制劑(CI)之pKa,是決定漿料之操作pH以及漿料因此展現之腐蝕特性之關鍵。因此,需要具有pKa在1-18範圍內之RRE以及CI。一些具有pKa在1-18範圍內之弱酸RRE之例子,顯示在表3中。此等有利於Co漿料系統,且不會引起Co薄膜在拋光後腐蝕。
此外,一系列具pKa在1-18範圍內之含唑CI,示於表4中。此等CI藉由作為強力Co腐蝕抑制劑與結合至Co薄膜,以及在CMP後鈍化該薄膜,而防止Co薄膜表面在拋光後腐蝕。協同使用特別類型之RRE以及CI之組合,提供無腐蝕以及無缺陷之“經CMP的”Co薄膜,將於之後討論。
本揭示內容之組成物中之研磨劑的數量,可從約0.05至約15重量%或其間之任一子範圍,或從約0.05至約10重量%或其間之任一子範圍。該RRE之數量,可從約0.1至約20重量%或其間之任一子範圍,或從約10至約15重量%或其間之任一子範圍。CI之數量從約0.05至約10重量%或其間之任一子範圍、從約0.05至約6重量%或其間之任一子範圍,或從約1至約3重量%或其間之任一子範圍。當有存在pH調整劑時,其之數量可達約2重量%,或從0至2重量%間之任一子範圍。除非在本說明書中有特別指出,否則所有的重量之數量,是以組成物之總重量計之百分比數量。
本揭示內容之研磨劑,可擇自於由下列所構成之群組:氧化鋁、二氧化矽、二氧化鈦、氧化鈰、氧化鋯、其等共同形成之產物、塗佈研磨劑、表面修飾研磨劑以及其等之混合物。符合以上所述pKa要求之RRE之具體例子,可為葡萄糖酸、乳酸、檸檬酸、酒石酸、蘋果酸、乙醇酸、丙二酸、蟻酸、草酸、醋酸、丙酸、過醋酸、丁二酸、乳酸、醋酸鉀、檸檬酸鉀、甘胺酸、苯氧醋酸、二羥乙甘胺 酸、磷酸、二甘醇酸、甘油酸、二性離子緩衝劑(tricine)、丙胺酸、組織胺酸、纈胺酸、苯丙胺酸、脯胺酸、麩醯胺酸、天門冬胺酸、麩胺酸、精胺酸、離胺酸、酪胺酸、苯甲酸,其等之混合物或其等之鹽類。符合以上所述pKa要求之含唑CI之特別例子,可為具有三唑、四唑、苯并三唑、甲苯三唑、胺基三唑、胺基苯并咪唑、吡唑、咪唑、胺基四唑以及其等之任一混合物。符合以上所述pKa要求之pH調整劑之具體例子,可為諸如檸檬酸、酒石酸、蘋果酸、乙醇酸、丙二酸、草酸、醋酸、丙酸、醋酸鉀、檸檬酸鉀或其等之組合之弱酸。
如之前所述,CMP定義為,共同施與化學物質(漿料)以及機械力(壓力),產生“化學機械”拋光含金屬薄膜之晶圓。化學物質負責化學作用(蝕刻/腐蝕),而機械作用從研磨劑以及工具拋光壓力而來。在CMP金屬薄膜中之“腐蝕”,有負面的涵意,因為其一般指的是最終的缺失,諸如孔蝕、染色腐蝕、全面腐蝕和/或表面粗糙。然而,腐蝕亦為溫和蝕刻形式之金屬材料磨削製程中之一必要部分。沒有它,薄膜溶解之材料磨削不會發生,或會發生的極其緩慢以及以不合理的形式發生。腐蝕是一種氧化過程,其中金屬(零氧化態)增加其氧化態成更高的正數。例如,Co薄膜(Co0)一旦被腐蝕,會成為Co2+和/或Co3+。金屬表面之此腐蝕/氧化會引起壓力(根據皮林-貝德沃斯(Pilling-Bedworth)比率),而此壓力後續會導致金屬薄膜之溶解速率提高。然而,假如漿料系統中沒有藉由導入腐蝕 抑制劑(CI),來控制氧化的金屬薄膜之動態腐蝕/溶解,則“經CMP的”表面在拋光後不會鈍化,半導體元件無法進行後製作。
因此,協同結合有限數量之諸如硫酸根以及鹵素離子之腐蝕引發劑與研磨劑,以及正確的pKa速率增加劑以及腐蝕抑制劑,可產生可提供高鈷材料磨削率以及無腐蝕與無缺陷表面之拋光組成物。此可由以下所述之範例以及結果得到證明。
範例
範例是提供用以進一步例示說明本揭示內容之拋光組成物以及方法之性能。所提供之範例不是用於,且不應被解釋用於,限制本揭示內容之範疇。
範例1
此範例使用靜態蝕刻率(SER)測試,證明拋光組成物中之酸添加物,在Co腐蝕上之影響。此範例揭示,為了防止Co腐蝕,於該拋光組成物中之酸添加物,需具pKa在1<pKa<18之範圍內。含強酸添加物(pKa<0)之拋光組成物,會引起Co腐蝕。
在此範例中,拋光組成物主要包含0.02-3重量%之研磨劑、數種酸作為鈷RRE、含唑腐蝕抑制劑或其等之組合、弱酸作為pH調整劑以及水作為液態載體。利用40℃ SER,測試具不同酸添加物之拋光組成物,確定腐蝕性能。40℃ SER測試,是在浸在拋光組成物中5分鐘之~2X2公分Co試樣片上進行。SER後,立即用大量的DI水,清洗鈷試 樣片,然後用氮氣乾燥,清潔表面。用Nikon光學顯微鏡(Nikon Corporation,Japan製)拍攝蝕刻後之Co試樣片表面的影像,供Co腐蝕之研究。
表5列出含600ppm不同的酸添加物之拋光組成物之Co腐蝕結果。全新的(未經使用的)空白Co試樣片,作為比較對照組(圖2a)。圖2b-2f顯示,用含表2中詳述之不同的酸添加物之拋光組成物(漿料)蝕刻之Co試樣片之影像。從5E至5J之所有的樣本,均顯示出無Co腐蝕,因為此等RRE之pKa,在1-18之範圍內。然而,pKa<0之酸,在光學影像中顯示出大面積的腐蝕。圖2b(樣本5B:H2SO4)、圖2d(樣本5C:HCl)以及圖2c(樣本5D:HNO3)。樣本5B、5C以及以及5D(圖2b-2d)中之腐蝕缺陷孔/洞,直徑大如1微米。注意,雖然僅顯示樣本5E(圖2e:H3PO4)以及5F(圖2f:醋酸)之光學影像作為代表性範例,但從5E至5J之所有的樣本之拋光後的Co表面,均顯示出無Co腐蝕,以及具有相似的光學影像。
表5中之結果顯示出,含pKa<0之強酸添加物之拋光組成物產生Co腐蝕。至於含弱酸(1<pKa<18)之拋光組成物,經蝕刻的Co試樣片沒有顯示Co腐蝕。例如,含任一種諸如硫酸、硝酸或鹽酸之強酸之拋光組成物,會引起嚴重的Co腐蝕(圖2)。然而,含諸如磷酸、醋酸、葡萄糖酸、丙二酸、丙酸或檸檬酸之弱酸添加物之拋光組成物,沒有Co腐蝕之問題(圖2)。特別是,在此Co腐蝕之類型,指的是孔蝕。
範例2
此範例說明,Co腐蝕如何與拋光組成物中之硫酸根/氯(或任一其它鹵素)陰離子濃度有關。
使用從Thermo scientific Dionex購得之IC-5000模式之離子色層分析(IC),精準地測量硫酸根/氯陰離子之濃度。亦可使用其它相似的IC工具,定量拋光組成物中硫酸根/氯陰離子之濃度。雖然硫酸根或氯陰離子不是故意加至對照漿料中,但原料中之雜質,可能會使拋光組成物中,含有ppm位準之硫酸根/氯化物。在此範例/研究中,針對一些組成物,吾人故意添加硫酸或鹽酸至對照拋光組成物中,增加漿料中之硫酸根或氯離子,如此原位生成硫酸根或氯陰離子。所有列在表6中之硫酸根/氯離子之濃度,是利用Thermo Dionex IC-5000 IC工具測得。圖3a-3k以及圖4a-4k,顯示分別用含有不同數量之硫酸根或氯離子之漿料蝕刻後之Co試樣片的影像。
如圖3a-3k、圖4a-4k以及表6所示,以拋光組成物之總重量計,當硫酸根或氯離子濃度個別大於約100ppm時,發生嚴重的Co腐蝕。此100ppm之閾限值,是根據以上所述之組成物之總重量計。本揭示內容之組成物,可在使用點(POU)稀釋。例如,對於在POU稀釋10X之組成物,硫酸根或鹵素離子之個別的POU濃度閾限值,應為10ppm。當硫酸根或氯(鹵素)離子,在本揭示內容之組成物中之濃度低於100ppm時,在光學顯微鏡下沒有觀察到Co腐蝕。如之前所述的,硫酸根或鹵素離子可能從原料或某些添加物而來,但為防止Co腐蝕,存在組成物中之從所有來源而來之總數量,各組份個別需不能超過約100ppm。
因為其可在使用點(POU)稀釋,所以本揭示內容之組成物亦可稱為拋光“濃縮物”。產品典型地以“濃縮物”之形式販賣,而消費者可在使用點稀釋所供應的濃縮物。此意指,本揭示內容之組成物或濃縮物,可於不會改變CMP性能之情況下,在使用點稀釋(即,在進入拋光工具之前)。例如,濃縮拋光組成物可在使用點稀釋2倍或更多倍,形成使用點拋光組成物。在該使用點組成物中,所存在之研磨劑、RRE、CI以及pH調整劑之數量,是與稀釋比率成比例,但不會使該使用點組成物變質之數量。水和/或氧化劑(如,過氧化氫或過硫酸銨),可在使用點加入,以便達到所欲的稀釋比率。在Co漿料方面,氧化劑可在使用點加入,如此在使用點之位準,以該使用點拋光漿料之總重量計,該氧化劑之濃度為約0.0001重量%至約5重量%。
如下表6所示,具負的pKa值之強酸,在非常低的濃度下,不會引起Co腐蝕。然而,存在此等低濃度下之酸的數量,可能會低於有效地從欲拋光之基材上磨削材料所需要之數量。因此,此等強酸不適合用於本揭示內容之組成物,即使在不會引起Co腐蝕之非常低的濃度下亦如此。
範例3
此範例顯示一最佳拋光組成物之Co磨削率以及SER。該拋光組成物包含在1<pKa<18範圍內之二氧化矽粒子、RRE以及CI,以及pH在7-12之範圍內。經IC測量確認, 拋光組成物中之硫酸根或氯離子低於100ppm。二氧化矽粒子可使用溶膠-凝膠方法合成,或從商業粒子供應商購得,諸如德國的Evonik Industries、美國的Nalco Company或日本的Fuso Chemical Co.,Ltd。使用Applied Materials Mirra 200mm CMP拋光工具,使用本發明之拋光組成物,在1.5psi下,拋光Co薄膜。該拋光組成物具非常高的Co RR,~5000A/min以及非常低的SER,~10A/min,40℃下(圖5a-5b)。Co晶圓之光學影像(OM)(圖5b)顯示,使用最佳的拋光組成物,在CMP後,沒有發生Co腐蝕。此對常需要使用具高RR,但不具Co腐蝕問題之Co漿料之CMP中的Co平整化,非常重要。
範例4
此範例顯示,酸添加劑在Co與Cu間腐蝕電位差(△Ecorr)上的影響。眾所周知,當二個不相似的金屬在電解液之存在下電氣接觸時,會發生電偶腐蝕。較活躍的金屬將被腐蝕,而較貴金屬可免於電偶腐蝕。因為Co之化學反應性天生比Cu活躍,對於涉及Cu與Co金屬二者之積體,用於此等積體之CMP製程,會面臨Co之電偶腐蝕的挑戰。為最小化或避免電偶腐蝕,需將Co與Cu間之△Ecorr減低至小於30mV。此可藉由排除漿料配方中之強酸添加物(具pKa<1)而達致。拋光組成物中之Ecorr,可使用由諸如Princeton Applied Research之供應商提供之電化工具,利用Tafel圖測得。如圖6a以及6b所示,含硫酸根添加物之拋光組成物中,Co與Cu間的△Ecorr大於30mV,然而使用含硫酸根或氯離子 添加物小於100ppm以及RRE、CI與pH調整劑之pKa在1<pKa<18範圍內之拋光組成物,可獲得△Ecorr遠小於30mV。此範例表明,Cu與Co間之電偶腐蝕,可使用本發明具有硫酸根或氯離子小於100ppm,且RRE、CI與pH調整劑之pKa在1-18範圍內之拋光組成物避開。
範例5
在大部分的CMP製程中,一般需要拋光組成物(漿料)可同時且以不同的拋光速率,拋光多種薄膜(金屬、介電質等等)。漿料拋光不同材料之拋光率的比,稱作漿料之選擇性。例如,Cu漿料可能需要在高MRR下拋光Cu薄膜,而在低MRR下拋光Ta/TaN薄膜(接近0)。此一Cu漿料稱作Cu選擇性漿料(選擇對Cu磨削vs.Ta/TaN)。此範例顯示,在此揭示內容中討論之發明拋光組成物,亦可用於拋光其它金屬,諸如TiN、Ti、Ta、TaN、SiN、TEOS、多晶矽(poly-Si),對Co薄膜具不同的選擇性。在此之拋光組成物含二氧化矽研磨劑、RRE、唑為基礎的腐蝕抑制劑以及作為pH調整劑之酸添加劑,所有的組份具有pKa在1-18範圍內,且漿料之pH在7-12範圍內。額外地,經IC之分析,該漿料具小於100ppm之硫酸根以及鹵素離子。使用應用材料的Mirra 200mm CMP拋光工具,使漿料在如表7所示之不同的空白薄膜上拋光。在此之拋光組成物對Co具非常高的選擇性,與Ta、TaN、Ti、SiN、TEOS以及多晶矽之比大於300:1(表7),顯示出此等材料可用作為額外的停止層或Co應用之覆蓋材料。至於低/中度選擇性要求,TiN可作為Co應用之額 外的內櫬(Co:TiN為9.4),以及發明拋光組成物可用於此在不同速率下,同時磨削Co與TiN二者之應用。
範例6
缺陷率是晶片製造過程期間,一個重要的參數,在CMP之每一個步驟中,最好是具有非常低的缺陷率,如此可獲得高產率的晶粒。在金屬CMP漿料方面,缺陷率可能來自腐蝕、刮傷、拋光副產物/殘留物、高大粒子計數(LPCs)以及粒子。因為有許多的因素可能會產生缺陷,所有很難保持總缺陷率計數低於500。此範例證明,本發明拋光組成物展現極低的總缺陷計數。該拋光組成物含有二氧化矽研磨劑、以唑為基礎的CI以及RRE/弱酸,具pKa在1-18之範圍內以及沒有故意添加的硫酸根或氯離子(經IC測定,4ppm之硫酸根離子以及1ppm之氯離子是從原料而來)。在應用材料之Mirra 200mm CMP拋光工具上,使用該拋光組成物拋光Co晶圓。用鹼清洗經拋光的晶圓,然後在AIT(KLA-Tencor XUV)工具下掃描,以便產生總缺陷率計數以及缺陷地圖。在一個本發明拋光組成物方面,總缺陷率計數僅約300。缺陷地圖(圖7a)以及放大的“較小的聚焦區”模 型(圖7b)亦顯示出,在經拋光的晶圓表面上,沒有出現刮傷或嚴重腐蝕。本發明拋光組成物之低缺陷率結果,再次證明,在拋光組成物中排除諸如硫酸根或鹵素離子之腐蝕引發劑,以及使用最佳化的腐蝕抑制系統之Co保護,之協同作用的效益。
雖然本揭示內容根據一或多個例示具體例作說明,但該等熟悉此技藝之人士均了解,在不逸離本揭示內容之範疇之情況下,可做各種的改變,以及可用相等物取代其元素。熟悉此技藝之人士在閱讀前述說明書後,將很容易地明暸在此所提及之較佳具體例之各種變化。此外,在本揭示內容之教示下,在不逸離其範疇之情況下,可作許多的修飾,以便符合特定的情況或材料。因此,本揭示內容並不限於被預期是最佳模式所揭示之特定的具體例,且該揭示內容將包括所有落在所附之申請專利範圍之範疇內之具體例。此外,發明人預期熟悉此技藝之人士可使用適當的變化,以其它形式,而不是在此具體說明之形式,實施本揭示內容。此包括伴隨的申請專利範圍中,適用法律所允許之所述標的物之所有的改質以及相等物。

Claims (18)

  1. 一種用於拋光含鈷材料之拋光組成物,其包含:a)一研磨料;b)一弱酸的磨削率增加劑;c)一含唑腐蝕抑制劑;以及d)一pH調整劑;其中該磨削率增加劑、該腐蝕抑制劑以及該pH調整劑,各具有介於1與18之間的pKa,以及其中該組成物之pH介於7與12之間,以及其中各以該組成物之總重量計,該組成物具有小於約100部分每百萬部分(ppm)之硫酸根離子以及小於約100ppm之鹵素離子。
  2. 如請求項1之拋光組成物,其中該研磨料係擇自於由下列所構成之群組:氧化鋁、二氧化矽、二氧化鈦、氧化鈰、氧化鋯、其等共同形成之產物、經塗佈的研磨料、經表面修飾的研磨料以及其等之混合物。
  3. 如請求項1之拋光組成物,其中該磨削率增加劑係擇自於由下列所構成之群組:葡萄糖酸、乳酸、檸檬酸、酒石酸、蘋果酸、乙醇酸、丙二酸、蟻酸、草酸、醋酸、丙酸、過醋酸、丁二酸、乳酸、醋酸鉀、檸檬酸鉀、甘胺酸、苯氧醋酸、二羥乙甘胺酸、磷酸、二甘醇酸、甘油酸、三(羥甲基)甲基甘胺酸(tricine)、丙胺酸、組胺酸、纈胺酸、苯丙胺酸、脯胺酸、麩醯胺酸、天門冬胺酸、 麩胺酸、精胺酸、離胺酸、酪胺酸、苯甲酸、其等之鹽類以及其等之混合物。
  4. 如請求項1之拋光組成物,其中該含唑腐蝕抑制劑係擇自於由下列所構成之群組:三唑、四唑、苯并三唑、甲苯三唑、胺基三唑、胺基苯并咪唑、吡唑、咪唑、胺基四唑以及其等之混合物。
  5. 如請求項1之拋光組成物,其中以該組成物之總重量計,該研磨料存在之數量為約0.05至約15重量%。
  6. 如請求項1之拋光組成物,其中以該組成物之總重量計,該磨削率增加劑存在之數量為約0.1至約20重量%。
  7. 如請求項1之拋光組成物,其中以該組成物之總重量計,該腐蝕抑制劑存在之數量為約0.05至約10重量%。
  8. 如請求項1之拋光組成物,其中該磨削率增加劑、該腐蝕抑制劑以及該pH調整劑之pKa係介於2與10之間。
  9. 如請求項8之拋光組成物,其中該組成物具有小於約50ppm之硫酸根離子以及小於約50ppm之鹵素離子。
  10. 如請求項1之拋光組成物,其中該pH調整劑是擇自於由下列所構成之群組之弱酸:檸檬酸、酒石酸、蘋果酸、乙醇酸、丙二酸、草酸、醋酸、丙酸、過醋酸、丁二酸、乳酸、醋酸鉀、檸檬酸鉀或其等之組合。
  11. 如請求項1之拋光組成物,其中在電位動力塔菲爾(Tafel)圖中,Cu與Co間之腐蝕電位差(△Ecorr)係小於30mV(△Ecorr<30mV)。
  12. 一種包含如請求項1之拋光組成物之使用點(point of use) 拋光漿料,其中氧化劑在該使用點加入如請求項1之拋光組成物中。
  13. 如請求項12之拋光漿料,其中該氧化劑是過氧化氫、過硫酸銨或其等之組合。
  14. 如請求項12之拋光漿料,其中以該拋光漿料之總重量計,該氧化劑在該使用點拋光漿料中存在之數量為約0.0001重量%至約5重量%。
  15. 一種拋光方法,其包含:將拋光組成物施用於一具有鈷在其表面上之基材;以及藉由將一墊接觸該基材、以及相對於該基材移動該墊,以第一速率從該基材上磨削至少一部分的鈷,其中該組成物包含:a)一研磨料;b)一弱酸的磨削率增加劑;c)一含唑腐蝕抑制劑;以及d)一pH調整劑;其中該磨削率增加劑、該腐蝕抑制劑以及該pH調整劑,各具有介於1與18之間的pKa,以及其中該組成物之pH介於7與12之間,以及其中各以該組成物之總重量計,該組成物具有小於約100ppm之硫酸根離子以及小於約100ppm之鹵素離子。
  16. 如請求項15之方法,其中該基材之表面上進一步包含二 氧化矽、氮化矽、金屬氧化物、低/超低k介電質薄膜及/或多晶矽中之至少一者,以及該方法進一步包含:以第二速率磨削該介電質及/或金屬氧化物中之至少一者,其中該第一速率大於或等於該第二速率。
  17. 如請求項16之方法,其中該基材之表面上進一步包含至少一種其它的介電質薄膜,以及該方法進一步包含:以第三速率磨削該介電質薄膜中之至少一者,其中該第一以及第二速率大於該第三速率至少5倍,以及該第三速率小於100A/min。
  18. 一種用於產生半導體元件之方法,其包含如請求項15、16以及17之拋光方法。
TW104122736A 2014-09-05 2015-07-14 拋光組成物及用於拋光鈷膜之方法 TWI579350B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/478,508 US9735030B2 (en) 2014-09-05 2014-09-05 Polishing compositions and methods for polishing cobalt films

Publications (2)

Publication Number Publication Date
TW201615777A TW201615777A (zh) 2016-05-01
TWI579350B true TWI579350B (zh) 2017-04-21

Family

ID=53785411

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104122736A TWI579350B (zh) 2014-09-05 2015-07-14 拋光組成物及用於拋光鈷膜之方法

Country Status (7)

Country Link
US (2) US9735030B2 (zh)
EP (1) EP2995662B1 (zh)
JP (2) JP2016058730A (zh)
KR (1) KR101787541B1 (zh)
CN (1) CN105400434B (zh)
SG (1) SG10201505433YA (zh)
TW (1) TWI579350B (zh)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9834704B2 (en) * 2014-10-21 2017-12-05 Cabot Microelectronics Corporation Cobalt dishing control agents
JP6646051B2 (ja) * 2014-10-21 2020-02-14 キャボット マイクロエレクトロニクス コーポレイション コバルト研磨促進剤
US10619075B2 (en) 2015-07-13 2020-04-14 Cabot Microelectronics Corporation Self-stopping polishing composition and method for bulk oxide planarization
JP7294809B2 (ja) * 2016-03-22 2023-06-20 ビーエーエスエフ ソシエタス・ヨーロピア コバルト及び/又はコバルト合金含有基板を研磨するための化学機械研磨(cmp)組成物を使用する方法
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
TWI660017B (zh) * 2016-07-14 2019-05-21 卡博特微電子公司 用於鈷化學機械拋光(cmp)之替代氧化劑
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
WO2018089351A1 (en) 2016-11-08 2018-05-17 Applied Materials, Inc. Geometric control of bottom-up pillars for patterning applications
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10233356B2 (en) 2017-03-06 2019-03-19 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing slurry for cobalt-containing substrate
US10077382B1 (en) 2017-03-06 2018-09-18 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for polishing cobalt-containing substrate
TWI663231B (zh) * 2017-04-17 2019-06-21 Cabot Microelectronics Corporation 自停止性拋光組合物及用於大塊氧化物平坦化之方法
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
EP3631045A4 (en) * 2017-05-25 2021-01-27 Fujifilm Electronic Materials U.S.A., Inc. MECHANICAL-CHEMICAL POLISHING CONCENTRATE SUSPENSION FOR COBALT APPLICATIONS
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
WO2019046399A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10170335B1 (en) * 2017-09-21 2019-01-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method for cobalt
US10377921B2 (en) 2017-09-21 2019-08-13 Rohm and Haas Electronics Materials CMP Holdings, Inc. Chemical mechanical polishing method for cobalt
US10428241B2 (en) 2017-10-05 2019-10-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions containing charged abrasive
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
KR102533083B1 (ko) * 2017-12-18 2023-05-17 주식회사 케이씨텍 다결정실리콘을 함유하는 웨이퍼의 연마 슬러리 조성물
CN109971359B (zh) * 2017-12-27 2021-12-07 安集微电子(上海)有限公司 一种化学机械抛光液
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
TW202002219A (zh) 2018-05-08 2020-01-01 美商微材料有限責任公司 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
US20200102476A1 (en) * 2018-09-28 2020-04-02 Versum Materials Us, Llc Barrier Slurry Removal Rate Improvement
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
CN112840003A (zh) * 2019-09-24 2021-05-25 富士胶片电子材料美国有限公司 抛光组合物及其使用方法
KR20220083728A (ko) 2019-10-15 2022-06-20 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 연마 조성물 및 이의 사용 방법
KR20220133287A (ko) 2020-02-13 2022-10-04 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 연마 조성물 및 이의 사용 방법
US20210253904A1 (en) * 2020-02-13 2021-08-19 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of use thereof
JP7409899B2 (ja) * 2020-02-18 2024-01-09 株式会社フジミインコーポレーテッド 研磨用組成物、研磨方法、および半導体基板の製造方法
EP4189028A1 (en) * 2020-08-03 2023-06-07 CMC Materials, Inc. Titanium dioxide containing ruthenium chemical mechanical polishing slurry
EP4263734A1 (en) * 2020-12-21 2023-10-25 FUJIFILM Electronic Materials U.S.A, Inc. Chemical mechanical polishing compositions and methods of use thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014069139A1 (ja) * 2012-11-02 2014-05-08 株式会社フジミインコーポレーテッド 研磨用組成物

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4615875A (en) * 1986-02-03 1986-10-07 Allied Corporation Process for preparing high purity alpha-alumina
KR100400030B1 (ko) 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
US20040159050A1 (en) 2001-04-30 2004-08-19 Arch Specialty Chemicals, Inc. Chemical mechanical polishing slurry composition for polishing conductive and non-conductive layers on semiconductor wafers
US20030104770A1 (en) 2001-04-30 2003-06-05 Arch Specialty Chemicals, Inc. Chemical mechanical polishing slurry composition for polishing conductive and non-conductive layers on semiconductor wafers
CN1842577A (zh) * 2003-06-06 2006-10-04 应用材料公司 用于抛光导电材料的抛光组合物和方法
US7265055B2 (en) * 2005-10-26 2007-09-04 Cabot Microelectronics Corporation CMP of copper/ruthenium substrates
JP2007129167A (ja) * 2005-11-07 2007-05-24 Fujifilm Corp 金属用研磨液
JP5178121B2 (ja) * 2007-09-28 2013-04-10 富士フイルム株式会社 研磨液及び研磨方法
WO2009056491A1 (en) 2007-10-29 2009-05-07 Basf Se Cmp slurry composition and process for planarizing copper containing surfaces provided with a diffusion barrier layer
JP2011003665A (ja) 2009-06-17 2011-01-06 Jsr Corp 化学機械研磨用水系分散体およびそれを用いた化学機械研磨方法
CN101928520B (zh) 2009-06-19 2014-03-12 盟智科技股份有限公司 用于平坦化金属层的研磨组成物
KR101243331B1 (ko) * 2010-12-17 2013-03-13 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조 방법
CN102304327A (zh) * 2011-07-05 2012-01-04 复旦大学 一种基于金属Co的抛光工艺的抛光液
US20130186850A1 (en) 2012-01-24 2013-07-25 Applied Materials, Inc. Slurry for cobalt applications
US8545715B1 (en) 2012-10-09 2013-10-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method
JP6093846B2 (ja) * 2013-02-28 2017-03-08 株式会社フジミインコーポレーテッド コバルト除去のための研磨スラリー
JP6379764B2 (ja) 2014-07-10 2018-08-29 日立化成株式会社 研磨液及び研磨方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014069139A1 (ja) * 2012-11-02 2014-05-08 株式会社フジミインコーポレーテッド 研磨用組成物

Also Published As

Publication number Publication date
KR101787541B1 (ko) 2017-10-18
EP2995662A1 (en) 2016-03-16
TW201615777A (zh) 2016-05-01
CN105400434B (zh) 2019-03-29
SG10201505433YA (en) 2016-04-28
US9735030B2 (en) 2017-08-15
KR20160029652A (ko) 2016-03-15
JP2018093204A (ja) 2018-06-14
US20160068710A1 (en) 2016-03-10
JP2016058730A (ja) 2016-04-21
CN105400434A (zh) 2016-03-16
US20160189976A1 (en) 2016-06-30
EP2995662B1 (en) 2018-05-23
JP6543323B2 (ja) 2019-07-10
US9735031B2 (en) 2017-08-15

Similar Documents

Publication Publication Date Title
TWI579350B (zh) 拋光組成物及用於拋光鈷膜之方法
TWI628042B (zh) 含鈷之基材的化學機械硏磨
CN108929633B (zh) 用于钴应用的化学机械抛光浆料
JP2002075927A (ja) 研磨用組成物およびそれを用いた研磨方法
JP2000160141A (ja) 研磨用組成物およびそれを用いた研磨方法
JP2007318152A (ja) 銅/タンタル基体に有用な化学的機械研磨スラリー
JP2012004588A (ja) 銅基材に有益な化学機械的研磨スラリー
US20070293048A1 (en) Polishing slurry
TWI664280B (zh) 高溫cmp組成物及用於使用其之方法
KR102475142B1 (ko) 폴리싱 슬러리 내 큰 입자 수의 감소
TWI546353B (zh) 鹼性化學機械拋光液
JP2021041529A (ja) コバルト、酸化ジルコニウム、ポリ−シリコン及び二酸化ケイ素の膜の選択的化学機械研磨法
TWI647305B (zh) 化學機械研磨後洗滌用組合物
US10100225B2 (en) CMP slurry composition for metal wiring and polishing method using the same
JP7295236B2 (ja) 研磨液、及び、化学的機械的研磨方法
JP7331103B2 (ja) 研磨液、及び、化学的機械的研磨方法
KR100772929B1 (ko) 구리 다마신 공정용 화학-기계적 연마 슬러리 조성물
WO2020255581A1 (ja) 研磨液、及び、化学的機械的研磨方法
KR100762091B1 (ko) 구리 다마신 공정용 화학 기계적 연마 슬러리 조성물
US20210301405A1 (en) Barrier Chemical Mechanical Planarization Slurries For Cobalt Films