TWI550365B - 使用後處理方法以加速超紫外線微影之方法 - Google Patents

使用後處理方法以加速超紫外線微影之方法 Download PDF

Info

Publication number
TWI550365B
TWI550365B TW103138749A TW103138749A TWI550365B TW I550365 B TWI550365 B TW I550365B TW 103138749 A TW103138749 A TW 103138749A TW 103138749 A TW103138749 A TW 103138749A TW I550365 B TWI550365 B TW I550365B
Authority
TW
Taiwan
Prior art keywords
patterned
mask
image inversion
patterning
radiation
Prior art date
Application number
TW103138749A
Other languages
English (en)
Other versions
TW201527904A (zh
Inventor
安東J 德維利耶
寇西克 庫馬
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201527904A publication Critical patent/TW201527904A/zh
Application granted granted Critical
Publication of TWI550365B publication Critical patent/TWI550365B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/265Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Description

使用後處理方法以加速超紫外線微影之方法
本發明係關於在基板上圖案化薄膜及各種層體。此圖案化包括光微影圖案化架構中之製造半導體元件的圖案化。   〔相關申請案交互參照〕
本申請案主張申請於2013年11月9日、標題為「Method for Using Post-Processing Method for Accelerating EUV Lithography」之美國臨時專利申請案第61/902223號的權利,該美國臨時專利申請案在此全文併入作為參考文獻。本申請案亦主張申請於2013年11月8日、標題為「Method for Chemical Polishing and Planarization」之美國臨時專利申請案第61/901768號的權利,該美國臨時專利申請案在此全文併入作為參考文獻。
在材料處理方法(像是光微影術)中,產生圖案化的層體包括將輻射感光材料(像是可見光阻(光阻)) 的薄層體塗布於基板的上表面。此輻射感光材料可轉變為能夠用於透過蝕刻製程而在基板上轉印圖案至下方層體的圖案化遮罩。輻射感光材料的圖案化通常涉及使用例如像是掃描器或步進工具之光微影系統而藉由輻射源透過標線片(及相關光學元件)曝光於輻射感光材料上(not sure)。然後,此曝光可後接使用顯影溶劑移除輻射感光材料的受照射區域(像是正型光阻的狀況)或未受照射區域(像是負型光阻的狀況)。此遮罩層可包含多個子層體。
超紫外線(Extreme Ultraviolet, EUV)光微影術係設計為使用EUV輻射以圖案化各種光阻膜的新興技術。此圖案化可以像是那些用於製造積體電路之微製造製程的一部分。EUV輻射可包括波長自124nm向下延伸至約10nm的光,而EUV光微影意欲使用介於10-20nm之間的波長。
習知的EUV光阻膜趨向於具有相當緩慢的顯影時間。例如,此等光阻可能需要70毫焦耳(mJ)或更多的EUV曝光,這是個重大又成本高昂的挑戰。因此,高速EUV光阻(亦即具有明顯較短顯影時間的光阻)對於EUV微影而言極有幫助。已發展出一特殊高速光阻。此高速光阻使用鉿奈米粒子以減少曝光時間或需求能量,但使用此高速光阻是有問題的。例如其中一個問題在於線緣粗度(Line Edge Roughness,LER)。另一問題是某些奈米粒子(包含鉿氧化物)在蝕刻腔室內部是有害的,故而希望避免將基板與此材料一同置於電漿處理腔室的內部。因此,克服粗度問題及/或不利於蝕刻腔室的粒子對實現高速EUV光阻以供微製造製程使用是有所幫助的。
半導體產業通常高度關注於使EUV微影術與EUV設備得以作用。半導體規劃藍圖的成功可謂視EUV工具的成功而定。EUV顯影的速度與EUV的劑量是有部分連結的。
高速光阻具有將EUV之劑量降低為約十分之一的能力。範例的快速光阻已由Cornell大學的Christopher Ober的「Current Status of Inorganic Nanoparticle Photoresists」,02/12/12, Ithaca,NY所開發,並發表於International EUV Initiative,http://ieuvi.org/TWG/Resist/2012/021212/8-Ober-SEMATECH_TWG_2012_final.pdf ,其內容在此全部併入作為參考文獻。這種特殊光阻使用鉿奈米粒子以減少顯影該光阻前所需的EUV劑量。關於鉿氧化物奈米粒子光阻的挑戰在於目前沒有使用該光阻的可接受方法(因為與其相關的問題)。一問題在於這些粒子在蝕刻腔室內部極度有害。故而強烈希望將這些離子保持在蝕刻腔室之外。另一問題在於線緣粗度的精確度未能滿足需要的規格。
因此,EUV微影術的挑戰是使用可能具有不利於或不適於蝕刻腔室之添加物或奈米粒子的高速光阻。使用高速光阻的其他挑戰在於該等光阻無法提供可接受的粗度與解析度。此處的技術包括使用可能不利於蝕刻腔室之高速EUV光阻的創新方法。此技術包括使用反轉材料及/或反轉技術,以及受限擴散後移蝕刻(etch-back)與縮減技術。
例如,此處的實施例包括圖案化基板的方法。此方法可包括接收具有輻射感光層的基板。輻射感光層包括具有在曝光於超紫外線輻射微影時可提供微影解析度小於約40nm之材料性質的光阻成分。其次,藉由將透過超紫外線微影製程所轉印至輻射感光層的圖案予以顯影,以在基板上產生圖案化光阻遮罩。超紫外線微影製程包括基板之每平方公分小於約10毫焦耳之超紫外線輻射的曝光。超紫外線輻射具有介於約10 nm至124 nm之間的波長。接著將圖像反轉材料整面塗布(over-coated)在圖案化光阻遮罩上,使得圖像反轉材料填充並覆蓋圖案化光阻遮罩。移除圖像反轉材料的上部使得圖案化光阻遮罩的上方表面裸露。圖案化光阻遮罩亦予以移除使得圖像反轉材料留存而導致圖案化圖像反轉材料遮罩。接著圖案化圖像反轉材料遮罩受到縮減使得圖案化圖像反轉材料遮罩的初始尺寸縮小,並移除埋置在圖案化圖像反轉材料遮罩之裸露表面內的殘存光阻材料。
其他實施例可包括:透過乾式蝕刻製程而將由圖案化圖像反轉材料遮罩所界定的圖案轉印至下方層體,導致圖案化的下方層體。接著可移除圖案化圖像反轉材料遮罩,以使用圖案化的下方層體做為側壁圖像轉印製程的模蕊來執行側壁圖像轉印製程。
當然,於此說明之各種步驟的論述順序已經為了清楚明晰的目的而呈現。通常這些步驟可以用任何適當的順序進行。此外,儘管此處的各個不同特徵、技術、配置等等可能論述於本揭露書的不同處,但各個概念係欲互相獨立或互相結合地實施。因此,本發明可以諸多不同方式予以體現及看待。
注意,本發明內容部分並非具體指定每一實施例及/或本揭露書或所請發明所增加的創新態樣。本摘要反而僅是提供不同實施例的初步論述及相對於習知技術的對應創新處。對於本發明及實施例的額外細節及/或可能展望,讀者可轉而注意本揭露書的實施方式部分及對應圖式,如同以下進一步所論述。
此處的技術包括使用具有可能不利於蝕刻腔室之添加物或材料的高速EUV光阻的創新方法。此等技術包括使用反轉材料及/或反轉技術,還有受限擴散後移蝕刻與縮減技術。此等技術實現了各種高速光阻的使用。經由非限定的示例,使用此處技術,則EUV光阻可用於使下方的抗反射鍍膜(ARC)層及在其下方的層體圖案化,以及實施一或更多的雙圖案化製程。
實施例包括圖案化基板的方法。現參照圖1,剖面概要圖顯示了範例基板的片段。輻射感光層120係沉積於具有一或更多下方層體的基板上。塗布機/顯影機器具或徑跡器具(track tool)可用於沉積輻射感光層120。輻射感光層120可以是高速光阻,因為輻射感光層120可在曝光於給定基板的每平方公分小於約10mJ的超紫外線輻射後完全顯影。微影光的曝光劑量慣常以每平方公分所施加的能量表示。因此,輻射感光層120可具有較習知光阻快5~10倍的顯影速度。範例的高速光阻為含鉿氧化物的光阻(含有埋置或懸浮奈米粒子的光阻)。可建構/選定輻射感光層120以提供更快的顯影速度,但添加的奈米粒子可能會或可能無法提供在蝕刻腔室中無害於蝕刻的產物成分。換言之,輻射感光層120在受到蝕刻時會釋放有害於習知半導體蝕刻腔室的粒子。輻射感光層120的另一挑戰在於輻射感光層120可能無法提供可接受的線緣粗度(LER),因為其結果的粗度等級相較於特定半導體產品設計的規格粗度過於粗糙。
輻射感光層120的下方可以是不同材料與厚度的多層體。為便於解釋此處的實施例,範例主要針對基板105上的三層堆疊。位於輻射感光層120下方的是轉印層115,該轉印層按序位於圖案最終會轉印至其上的遮罩層110(標的層)上。
使用EUV光微影成像技術,可將圖案(光微影圖案)轉印至輻射感光層120。當成像執行在例如32nm的設定點時,用於成像的能量會是在每平方公分6.6mJ的數量級,導致約3.8奈米(nanometer)的線寬粗度(line width roughness, LWR)值。注意,這僅是設定點的一範例。另一範例中,若關注的標的是得到16nm的節點(取得規格臨界尺寸16nm),則習知的EUV光阻需要每平方公分30-60mJ的輻射。因此,輻射感光層120可在顯影速度方面提供顯著的增加。
輻射感光層120可塗布為膜層。此膜層可由習知技術(例如在塗布機/顯影機器具或徑跡器具上旋轉塗布)所塗布,然後予以烘烤並傳送至EUV微影設備。接著基板可回到熱處理系統並接受曝光後的烘烤,在其之後,已曝光的輻射感光層可予以顯影使得可溶解的部分(取決於光阻為正型或負型而為受曝光或未受曝光的部分)溶解與移除,並產生如圖2所示之顯影自輻射感光層120的圖案化光阻遮罩121。圖2顯示圖案化光阻遮罩121在此範例剖面圖中像是兩柱塞或直線。
與習知光阻及其他EUV光阻相比,輻射感光層120的不同之處在於輻射感光層120可包括奈米粒子(像是鉿氧化物)及/或其他成分。這些奈米粒子的溶解性可使得奈米粒子具有擴散特性或趨於擴散的傾向。這些奈米粒子可懸浮在光阻中,接著此光阻可慣常地受到分配、處理、曝光及旋轉澆注(spin cast)。因此,儘管高速光阻本身並非慣常受到使用,但輻射感光層120可以是在習知設備上受到處理的膜層。
顯影出潛在圖案而產生圖案化輻射感光層121之後,因為輻射感光層120可能釋放汙染蝕刻腔室的粒子,故可能不希望在蝕刻腔室中使用輻射感光層120作為遮罩來蝕刻下方層體。為實現包含有害或可能有害之粒子的高速光阻使用,此處的技術包括執行一或更多的反轉步驟。例如,可將圖像反轉的整面塗布(over-coat)實施至基板堆疊層。此技術可使用塗布機/顯影機器具來執行。參照圖3,圖像反轉材料125的整面塗布係實施在圖案化光阻遮罩121上。圖像反轉材料125可具有溶解性變化的可能性,使得圖像反轉材料125的上方部份可使其溶解性轉移或改變而變得可溶解。此整面塗布製程可包括後移蝕刻,或停止、填充再接著後移蝕刻的步驟。對於某些應用而言,選擇具有若干殘餘物溶解性的後移顯影(develop-back)有優點及缺點,其可取決於是否接續使用濕式蝕刻或乾式蝕刻製程。任何數量的反轉試劑都可供做圖像反轉材料125,像是具有殘餘物後移顯影性能的聚合物。另一可行的實施例中,可使用氧化物膜層,接著是化學機械平坦化(chemical-mechanical planarization, CMP)或使用乾式蝕刻的後移蝕刻。
可將圖像反轉材料選定為具有本質上的溶解可能性並且表現似於習知光阻,使得圖像反轉材料可對濕式縮減有所反應。另一可選的實施例則使用表現不似光阻的材料,而在此等實施例中,可進行等向性蝕刻以縮減及/或後移蝕刻圖像反轉材料來顯露圖案化光阻遮罩。因此,因應選定具有透過光酸(photo acid)擴散而變化其溶解性之功能的反轉材料,故使用濕式縮減。因應使用對光酸不敏感的反轉材料(含矽的抗反射塗布(Silicon-containing anti-reflection coating,SiARC) 反轉材料或可顯影的SiARC 材料),則使用乾式蝕刻的形式、原子層蝕刻或可控制蝕刻深度的其他蝕刻技術。
圖像反轉材料125可整面塗布、填充滿溢或以其他方式部分覆蓋圖案化光阻遮罩121的一部分。因為這樣,後移蝕刻製程就可用於顯露圖案化的光阻遮罩121。使用此處的技術,基板可留置在塗布機/顯影機系統供後移蝕刻製程用。一實施例中,可執行圖像反轉材料125的受限擴散酸修整。化學切除整面塗布(chemical trim overcoat)是所施加的酸從上方表面(該酸或改變溶解性之試劑施加之處)往下擴散進入膜層而改變膜層之溶解性的範例。此溶解性的改變可對應特定的顯影劑、溶劑或顯影劑群組。在圖像反轉材料125之上方部分的溶解性改變後,基板可再次由塗布機/顯影機處理以溶解並移除該上方部分,此處理可視為一種濕式蝕刻製程的類型。在使用蝕刻腔室的實施例中,可進行不完全蝕刻以避免來自輻射感光層121的粒子釋放在蝕刻腔室內而汙染蝕刻腔室。在塗布機/顯影機系統中實施後移蝕刻時,可進行像是由上至下之擴散以改變溶解性的濕式蝕刻。
在此揭露的一技術係以酸塗布(或整面塗布)堆疊層以提供由上至下的後移酸修整 (acid trim back)。由上至下的後移酸修整包含將酸性試劑塗布在基板堆疊層的上方。接著烘烤堆疊層使得該酸向下擴散進入膜層,亦即,擴散進入圖像反轉材料125的上方部份。結果係圖像反轉材料125的溶解性曲線受到由上至下的改變。現在既然圖像反轉材料125的上方部份為可溶解的,此膜層可予以清洗及顯影使得圖像反轉材料125的上方部分受到移除。圖4顯示因為由上至下之後移酸修整製程所致的範例。注意,圖案化光阻遮罩121可突出於圖像反轉材料125之上,以說明輻射感光層120中的材料現已裸露或顯露。可調整若干參數以控制酸擴散的程度或深度。例如,烘烤時間、整面塗布的濃度、光酸的分子量、烘烤溫度、驅動所添加之酸的額外整面塗布曝光、酸群組的類型等等。
在由上至下的後移修整之後,可使用烘烤硬化或凝固圖像反轉材料125。換言之,熱處理可用於消除「具有溶解性再度變動」的材料能力。接著可移除圖案化光阻遮罩121。圖5說明在該移除後所導致的堆疊層。因為輻射感光層受到移除,其結果即為圖案化圖像反轉材料遮罩126。因為希望將來自基板堆疊層之有害(或可能有害)的奈米粒子移除以防止在後續處理期間、蝕刻腔室中的汙染,故移除高速光阻是有幫助的。注意,因為部分的奈米粒子將變為埋置在由圖案化圖像反轉材料遮罩126所界定之圖像反轉材料125的表面裡 ,故並非所有奈米粒子都會被由上至下的後移修整步驟移除/溶解。部分奈米粒子可具有擴散態樣而移動進入毗連的材料,或以其他方式變得糾結於介面區域。區域127顯示奈米粒子已變為埋置在圖案化圖像反轉材料遮罩之側壁內的地方,也是實質上反轉試劑與輻射感光材料接觸的地方。
現在受限擴散縮減製程可用於移除這些殘存的奈米粒子。這可以是在奈米粒子為可能汙染物時特別有所助益的濕式縮減製程。在一實施例中,光酸可再次施加於堆疊層並向下烘烤穿透圖案化圖像反轉材料遮罩126的上方表面與側壁。此步驟係執行以提供受限擴散縮減,亦即,後續的材料移除將受限於光酸(或其他試劑)已經使之可溶解或已改變其溶解性的部分。因此,在酸施加與擴散後,可使堆疊層顯影而移除此新可溶解部分。注意,在圖案化光阻遮罩121移除後的任何烘烤步驟可不予行使,使得圖像反轉材料保持溶解性轉變的可能性以供移除殘餘粒子。此濕式縮減製程的更詳細說明可在申請於2013年11月8日、標題為「Method for Chemical Polishing and Planarization」的美國臨時申請案案號第61/901768號中得到。
與圖5相比,圖6所顯示的圖案化圖像反轉材料遮罩126具有受縮減的特徵部。此後移修整也可對圖案化圖像反轉材料遮罩提供平滑部分,導致符合規格的線寬粗度(LWR)並使所有可能在乾式蝕刻/電漿蝕刻腔室中引起汙染的有害奈米粒子(例如Hf奈米粒子)受到移除。因此,揭露於此的受限擴散縮減後移可具有多重應用,例如使用對穿透反轉試劑的整面塗布加以蝕刻來顯露高速光阻圖案,並用以移除反轉試劑內的殘存奈米粒子。受限擴散的縮減後移可做為CMP的另一選擇,還有平滑化/縮減的另一選擇。因此圖6顯示具有準備用於後續圖案轉印所需之LWR的較小且所有汙染物已移除的圖案。注意,某些奈米粒子可能不會在光材料內阻止擴散的濃度梯度,這也是為什麼在仍有改變埋置在奈米粒子母體內之保護基的光酸時,這些光材料仍能夠製作圖像且使得顯影劑如同奈米粒子不存在一般地穿透奈米粒子而顯影。
現參照圖7,圖案化圖像反轉材料遮罩126可轉印至像是下方轉印層115的一或更多下方層體。此時,基板堆疊層可在電漿蝕刻腔室內受到蝕刻而免於來自有害粒子的汙染威脅。另一優勢為該圖案上具有可接受的線寬粗度(LWR)及/或線緣粗度(LER)。使用習知的EUV光阻(非高速光阻),則在此階段之前通常有對於32奈米臨界尺寸設定點(not sure)及以下所無法接受的LWR。
圖8中,圖像反轉材料可受到剝除,留下模蕊115繼續額外的製造步驟,像是側壁圖像轉印(sidewall image transfer,SIT)製程。第一模蕊的LWR可能是最為關鍵的。如果模蕊粗糙,則可能產生具有一平滑邊與一粗糙邊的間隔物。然而此處的技術可產生具有兩平滑邊的間隔物。因此,此處揭露的濕式縮減/平滑可用於解決EUV處理的成像問題。因為LWR的問題,高速EUV光阻慣常地予以避免。然而,此處的技術透過這些製程流程減輕了LWR的挑戰,也使得透過SIT處理的解析度縮小。這些製程步驟的其中之一或更多者使EUV得以成功,否則高速光阻與EUV微影通常不相容於下游處理。
繼續SIT處理並參照圖9,保形膜130可透過例如原子層沉積而實施覆蓋於模蕊115上,以繼續自對準雙圖案化(self-aligned double patterning,SADP)方案。在圖10中,保形膜130可受到後移蝕刻以產生間隔物131。例如,執行非等向性蝕刻以將模蕊上部及遮罩層110上部的材料清除,除了在材料夠厚而足以避免被蝕去的側壁位置之外。圖11中,已移除模蕊115並留下間隔物131。這實質上是將來自圖8的圖案加倍。圖12中,該加倍的圖案轉印至遮罩層110。圖13中,可移除間隔物(例如藉由蝕刻或灰化或濕式潔淨)以產生具有需求的解析度、平滑度與臨界尺寸的圖案化遮罩或硬遮罩。取代硬遮罩,固定性結構可由此轉印所產生(not sure)。可繼續進行任何數量的後續製程步驟。例如,圖14與圖15顯示出可執行電鍍鑲嵌製程,接著是CMP與清理及/或遮罩層110的其他特定用途。
此等若干技術有助於實現EUV光阻在半導體製程的使用。目前,因為不佳的LWR、不佳的解析度及/或汙染物成分,高速光阻尚未用於商業化製程。然而,此處的製程實現了EUV微影術以及高速光阻的使用。這些製程藉著同時使用縮減試劑與反轉流程來對應LWR的問題。然而在移除所有有害奈米粒子的同時,這也消除了高速光阻對於電漿/乾式蝕刻的需要。換言之,LWR的問題以及蝕刻選擇性/汙染物的考量都受到解決。除了受限擴散後移蝕刻以及反轉流程製程外,可增加側壁圖像轉印(SIT)製程以解決解析度的考量並符合臨界尺寸的規格。某些實施例中,尤其在使用有害的高速光阻時,反轉流程可與受限擴散後移蝕刻結合。某些有害的高速光阻可在圖像反轉與殘餘材料移除後提供足夠的解析度。SIT的方案亦可在解析度不足時予以增加作為子製程。其他實施例中,特別是在高速光阻提供可接受的LWR但還需要更高解析度時,反轉流程可與SIT製程結合(排除縮減/後移蝕刻步驟)。其他實施例中,特別是使用不包含有害粒子的高速光阻時,可跳過反轉流程。此等實施例中,在EUV圖像轉印與顯影後,製程流程可包括受限擴散後移蝕刻以解決粗度問題,接著以SIT因應解析度問題。
此處的實施例包括圖案化基板的額外方法。額外方法可包含多步驟。接收或提供具有輻射感光層的基板。此輻射感光層亦可塗布於基板並作為本方法的一部分。輻射感光層包含具有在曝光於超紫外線輻射微影時可提供小於約40奈米之微影解析度之材料性質的光阻組成。圖案化光阻遮罩係藉由將透過超紫外線微影製程所轉印至輻射感光層的圖案予以顯影而在基板上產生。超紫外線微影製程可包括基板之每平方公分小於約10mJ之超紫外線輻射的曝光。此EUV輻射具有介於約10奈米至124奈米之間的波長,並較宜在約10~20奈米之間。使用此量級的能量,輻射感光層可完全顯影。換言之,即使用高速光阻。在此使用的高速光阻係指可使用小於約10mJ之EUV輻射而完全顯影、並提供解析度約小於40奈米之解析度以及小於3奈米之粗度(或3nm標準差的線粗度或寬粗度)的光阻。圖案化光阻遮罩以圖像反轉材料整面塗布,使得圖像反轉材料填充並至少部分覆蓋圖案化光阻遮罩。圖像反轉材料通常是旋轉塗布或以其他方式沉積,且在此製程期間圖案化光阻遮罩在實質上受到覆蓋。覆蓋圖案化光阻遮罩是典型地,但非必需。反轉材料可填充在圖案化光阻遮罩的側壁之間而不覆蓋圖案化光阻遮罩的上方,但是這樣的填充技術通常難以達成。接著,可移除圖像反轉材料的上層部分使得圖案化光阻遮罩的上方表面裸露(顯露或未受覆蓋)。接著移除圖案化光阻遮罩,使得圖像反轉材料得以留存(以一種可使圖像反轉材料留存的方法),導致圖案化圖像反轉材料遮罩。換言之,由圖像反轉材料所界定的圖案已使用第二材料而加以反轉。接著可縮減圖案化圖像反轉材料遮罩,使得圖案化圖像反轉材料遮罩的初始尺寸縮小,並移除埋置在圖案化圖像反轉材料遮罩之裸露表面的殘餘光阻材料。
輻射感光層(高速光阻)可包括能夠以每平方公分之基板表面區域小於10mJ以下的超紫外線輻射而使輻射感光層之溶解性得以調整的添加物。例如,添加物可包括像是鉿氧化物、鋯氧化物等奈米粒子的懸浮。圖案化光阻遮罩的特徵可在於具有約奈米或更低之標準差之小於約奈米的邊緣粗度。光阻遮罩的特徵還可在於小於約40奈米的臨界尺寸(CD)。
移除圖像反轉材料的上層部分可包括使用濕式蝕刻製程。濕式蝕刻製程可包括以酸塗布圖像反轉材料,接著烘烤該酸使得該酸擴散進入圖像反轉材料的上層部分,並改變圖像反轉材料之上層部分的溶解性,並接著顯影該圖像反轉材料使得圖像反轉材料的上層部分移除。酸擴散可限制在預先設定的厚度,該預先設定的厚度可由例如酸的用量、酸的種類、烘烤時間、壓力等多種因素控制。另一可選的實施例中,蝕刻圖像反轉材料的上層部分包括使用乾式蝕刻製程。
縮減圖案化圖像反轉材料遮罩可包括使用濕式蝕刻製程。例如,圖案化圖像反轉材料遮罩可用酸塗布之。接著將受塗布的基板燒固使得該酸擴散進入圖案化圖像反轉材料遮罩的裸露表面,並改變圖案化圖像反轉材料遮罩之裸露表面的溶解性。將圖案化圖像反轉材料遮罩顯影以移除圖案化圖像反轉材料遮罩之裸露表面至一深度,該深度足以使埋置在圖案化圖像反轉材料遮罩之裸露表面的殘存光阻材料受到移除。注意,此製程可重複一或更多次以確保所有的污染物已受到移除。
在額外的實施例中,由圖案化圖像反轉材料遮罩所界定的圖案可透過乾式蝕刻製程轉印至下方層體,導致圖案化的下方層體。將圖案化圖像反轉材料遮罩移除,接著使用圖案化的下方層體作為側壁圖像轉印製程的模蕊而執行側壁圖像轉印製程。這在特定的高速光阻與EUV微影製程不能達到規格所需的解析度時特別有幫助。側壁圖像轉印製程包括將保形模塗布至圖案化的下方層體。接著對保形模部分蝕刻以利用該保形模產生圖案化之下方層體的雙倍圖案。移除圖案化的下方層體,接著轉印該雙倍圖案至位於保形膜下方的遮罩層。
注意,根據所使用的高速光阻類型,存在有可包括或排除各步驟的若干其他可選的實施例。另一實施例中,圖案化基板的方法包括接收具有輻射感光層的基板。該輻射感光層包含具有曝光在E超紫外線輻射微影時可提供小於約40奈米之微影解析度之材料性質的光阻成分。圖案化光阻遮罩係藉由將透過超紫外線微影製程所轉印至輻射感光層的圖案予以顯影而在該基板上產生。超紫外線微影製程包括基板之每平方公分小於約10mJ之超紫外線輻射的曝光,該超紫外線輻射具有介於約10 奈米至124奈米之間的波長。光阻遮罩以圖像反轉材料整面塗布,使得該圖像反轉材料填充及覆蓋圖案化光阻遮罩。移除圖像反轉材料的上層部分使得圖案化光阻遮罩的上方表面裸露或未受覆蓋。移除圖案化光阻遮罩使得圖案反轉材料留存,導致圖案化圖像反轉材料遮罩。使用該圖案化圖像反轉材料遮罩作為側壁圖像轉印製程的模蕊以執行側壁圖像轉印製程。在執行側壁圖像轉印製程前,圖案化圖像反轉材料遮罩可選擇性地受到縮減,使得圖案化圖像反轉材料遮罩的初始尺寸縮小,並移除埋置在圖案化圖像反轉材料遮罩之裸露表面的殘存光阻材料。這可包括將酸擴散進入圖案化圖像反轉材料遮罩的裸露表面,使得擴散的酸改變圖案化圖像反轉材料遮罩之裸露表面的溶解性。
在另一圖案化的實施例中,反轉步驟予以省略並使用濕式蝕刻製程縮減圖案化光阻遮罩。濕式蝕刻製程可包括將酸擴散進入圖案化光阻遮罩的裸露表面,使得擴散的酸改變圖案化光阻遮罩之裸露表面的溶解性。與圖案化光阻遮罩之裸露表面的初始邊緣粗度相比,此縮減改善了圖案化光阻遮罩的邊緣粗度。接著可使用圖案化的光阻遮罩作為側壁圖像轉印製程的模蕊來執行側壁圖像轉印製程,經由乾式蝕刻製程,該側壁圖像轉印製程將圖案化光阻遮罩的雙倍圖案轉印至下方層體。
在前面的說明中,列舉例如用於該處之處理系統的特殊幾何形狀以及各種構成要素與製程之說明的特定細節。然應當理解,此處的技術可以用偏離這些特定細節的其他實施方式實施,而且該等細節係為解釋之目的而非限制。此處揭露的實施例已經參照隨附圖式加以說明。同樣地,為了解釋的目的而列舉出特定的數目、材料以及配置以提供完善理解。儘管如此,實施例可在無此等具體細節下實施。具有實質相同之功能性結構的構成要素以相似的參考符號表示,且因而省略所有多餘的說明。
各種技術以多個分離操作加以說明,以助於瞭解各實施例。不應將說明的次序認知為意指這些操作必須依賴該次序。這些操作甚至不需要以呈現的次序進行。所說明的操作可以用有別於所說明之實施例的次序進行。在額外的實施例中,各種額外的操作可予以進行及/或可所說明的操作可予以省略。
根據本發明,在此使用的「基板」或「標的基板」上位地意指受到處理的物體。該基板可包括元件的任何材料部分或結構,特別是半導體或其他電子元件,且可以是例如像是半導體晶圓、標線板的基底基板結構,或在基底基板上或覆蓋於基底基板上的一層體(例如薄膜)。因此,基板不限於任何特定基底結構、下方層體或覆蓋於其上的層體、圖案化的或未圖案化的,而且還應考慮為包括任何此等層體或基底結構,以及該等層體及/或基底結構的任何組合。說明書或許提及了基板的特定類型,但這也僅是為了說明的目的。
熟悉本技術領域者亦可了解到,只要仍可達到與本發明相同的目標,實存在對於上述所解釋之技術操作所做出的諸多變化。本揭露書之範疇意指包含此等變化。就此而論,本發明之實施例的前述說明並非意欲限制。反而,本發明之實施例的限制係呈現於下述的申請專利範圍中。
105‧‧‧基板
110‧‧‧遮罩層
115‧‧‧轉印層
120‧‧‧輻射感光層
121‧‧‧光阻遮罩(圖案化輻射感光層)
125‧‧‧圖像反轉材料
126‧‧‧圖案化圖像反轉材料遮罩
127‧‧‧區域
130‧‧‧保形膜
131‧‧‧間隔物
本發明之各種實施例的更完整察知及其諸多伴隨的優點將參照偕同所附圖式所考慮的下述詳細說明而變得顯而易知。圖式並非一定按照比例,反而是強調說明特徵、原理及概念。
圖1-15為顯示圖案化基板之製程次序的基板片段概要剖面圖。
105‧‧‧基板
110‧‧‧遮罩層
115‧‧‧轉印層
126‧‧‧圖案化的圖像反轉材料遮罩
127‧‧‧區域

Claims (20)

  1. 一種圖案化基板的方法,該方法包括: 接收具有一輻射感光層的一基板,該輻射感光層包括具有在曝光於超紫外線輻射微影時提供小於約40奈米(nanometer)之微影解析度之材料性質的光阻組成; 藉由將透過一超紫外線微影製程所轉印至該輻射感光層的一圖案予以顯影,而在該基板上產生一圖案化光阻遮罩,該超紫外線微影製程包括該基板之每平方公分小於約10毫焦耳(millijoules)之超紫外線輻射的曝光,該超紫外線具有介於約10奈米至124奈米之間的波長; 以一圖像反轉材料整面塗布該圖案化光阻遮罩,使得該圖像反轉材料填充並覆蓋該圖案化光阻遮罩; 移除該圖像反轉材料的上層部分,使得該圖案化光阻遮罩的上方表面裸露; 移除該圖案化光阻遮罩,使得該圖像反轉材料留存,導致一圖案化圖像反轉材料遮罩;以及 縮減該圖案化圖像反轉材料遮罩,使得該圖案化圖像反轉材料遮罩的初始尺寸縮小,並移除埋置在該圖案化圖像反轉材料遮罩之裸露表面的殘存光阻材料。
  2. 如申請專利範圍第1項所述之圖案化基板的方法,其中該輻射感光層包括添加物,該等添加物使該輻射感光層之溶解性得以利用該基板之表面區域每平方公分小於約10毫焦耳的超紫外線輻射加以改變。
  3. 如申請專利範圍第2項所述之圖案化基板的方法,其中該等添加物包括懸浮的奈米粒子,該等奈米粒子選自鉿氧化物與鋯氧化物組成的群組。
  4. 如申請專利範圍第1項所述之圖案化基板的方法,其中該圖案化光阻遮罩之特徵在於具有約3奈米或更低之標準差之小於約4奈米的邊緣粗度值,以及其中該圖案化光阻遮罩的特徵在於小於約40奈米的臨界尺寸(critical dimension,CD)。
  5. 如申請專利範圍第1項所述之圖案化基板的方法,其中移除該圖像反轉材料的上層部分包括使用一濕式蝕刻製程。
  6. 如申請專利範圍第5項所述之圖案化基板的方法,其中該濕式蝕刻製程包括: 以一酸塗布該圖像反轉材料; 烘烤該酸,使得該酸擴散進入該圖像反轉材料的上層部分,並改變該圖像反轉材料之上層部分的溶解性;以及 將該圖像反轉材料予以顯影,使得該圖像反轉材料的上層部分移除。
  7. 如申請專利範圍第1項所述之圖案化基板的方法,其中蝕刻該圖像反轉材料的上層部分包括使用一乾式蝕刻製程。
  8. 如申請專利範圍第1項所述之圖案化基板的方法,其中縮減該圖案化圖像反轉材料遮罩包括使用一濕式蝕刻製程。
  9. 如申請專利範圍第8項所述之圖案化基板的方法,其中該濕式蝕刻製程包括: 以一酸塗布該圖案化圖像反轉材料遮罩; 烘烤該酸,使得該酸擴散進入該圖案化圖像反轉材料遮罩的裸露表面,並改變該圖案化圖像反轉材料遮罩之裸露表面的溶解性;以及 將該圖案化圖像反轉材料遮罩顯影,以移除該圖案化圖像反轉材料遮罩之裸露表面至一深度,該深度足以使埋置在該圖案化圖像反轉材料遮罩之裸露表面的殘存光阻材料受到移除。
  10. 如申請專利範圍第1項所述之圖案化基板的方法,更包括: 透過一乾式蝕刻程序,轉印由該圖案化圖像反轉材料遮罩所界定的一圖案至一下方層體,導致一圖案化下方層體; 移除該圖案化圖像反轉材料遮罩;以及 使用該圖案化下方層體作為一側壁圖像轉印製程的一模蕊以執行該側壁圖像轉印製程。
  11. 如申請專利範圍第10項所述之圖案化基板的方法,其中執行該側壁圖像轉印製程包括: 施加一保形膜至該圖案化下方層體; 對該保形膜部分蝕刻,以利用該保形膜產生該圖案化下方層體的一雙倍圖案; 移除該圖案化的下方層體;以及 轉印該雙倍圖案至位於該保形膜下方的一遮罩層。
  12. 一種圖案化基板的方法,該方法包括: 接收具有一輻射感光層的一基板,該輻射感光層包含具有在曝光於超紫外線輻射微影時提供小於約40奈米以下之顯影解析度之材料性質的光阻成分; 藉由將透過一超紫外線微影製程所轉印至該輻射感光層的一圖案予以顯影,而在該基板上產生一圖案化光阻遮罩,該超紫外線微影製程包括該基板之每平方公分小於約10毫焦耳之超紫外線輻射的曝光,該超紫外線輻射具有介於約10奈米至124奈米之間的波長; 以一圖像反轉材料整面塗布該圖案化光阻遮罩,使得該圖像反轉材料填充並覆蓋該圖案化光阻遮罩; 移除該圖像反轉材料的上層部分,使得該圖案化光阻遮罩的上方表面裸露;以及 移除該圖案化光阻遮罩,使得該圖像反轉材料留存,導致一圖案化圖像反轉材料遮罩。
  13. 如申請專利範圍第12項所述之圖案化基板的方法,其中該輻射感光層包括添加物,該等添加物使該輻射感光層之溶解性得以利用該基板表面區域之每平方公分小於約10毫焦耳的超紫外線輻射加以改變;以及 其中該圖案化光阻遮罩之特徵在於具有小於約3nm之標準差之小於約4奈米的邊緣粗度值,以及其中該圖案化光阻遮罩之特徵在於小於約40nm的臨界尺寸。
  14. 如申請專利範圍第13項所述之圖案化基板的方法,其中該等添加物包括懸浮的奈米粒子,該等奈米粒子選自鉿氧化物與鋯氧化物組成的群組。
  15. 如申請專利範圍第12項所述之圖案化基板的方法,其中移除該圖像反轉材料的上層部分包括使用一濕式蝕刻製程,該濕式蝕刻製程包括將一酸擴散進入該圖像反轉材料的上層部分,該酸改變該圖像反轉材料之上層部分的溶解性。
  16. 如申請專利範圍第12項所述之圖案化基板的方法,更包括: 使用該圖案化圖像反轉材料遮罩作為一側壁圖像轉印製程的一模蕊來執行該側壁圖像轉印製程;以及 在執行該側壁圖像轉印製程前,縮減該圖案化圖像反轉材料遮罩使得該圖案化圖像反轉材料遮罩的初始尺寸縮小,並移除埋置在該圖案化圖像反轉材料遮罩之裸露表面的殘存光阻材料,其中縮減該圖案化圖像反轉材料遮罩包括將一酸擴散進入該圖案化圖像反轉材料遮罩的裸露表面,使得擴散的酸改變該圖案化圖像反轉材料遮罩之裸露表面的溶解性。
  17. 一種圖案化基板的方法,該方法包括:  接收具有一輻射感光層的一基板,該輻射感光層包含具有在曝光於超紫外輻射微影時提供小於約40奈米之微影解析度之材料性質的光阻成分; 藉由將透過一超紫外線微影製程而轉印至該輻射感光層的一圖案予以顯影,而在該基板上產生一圖案化光阻遮罩,該超紫外線微影製程包括該基板之每平方公分小於約10毫焦耳之超紫外線輻射的曝光,該超紫外線輻射具有介於約10奈米至124奈米之間的波長; 使用一濕式蝕刻製程縮減該圖案化光阻遮罩,該濕式蝕刻製程包括將一酸擴散進入該圖案化光阻遮罩的裸露表面,使得擴散的該酸改變該圖案化光阻遮罩之裸露表面的溶解性,相較於該圖案化光阻遮罩之裸露表面的初始邊緣粗度值,該縮減改善該圖案化光阻遮罩的邊緣粗度值;以及 使用該圖案化圖像反轉材料遮罩作為一側壁圖像轉印製程的一模蕊來執行該側壁圖像轉印製程,該側壁圖像轉印製程透過一乾式蝕刻製程將該圖案化光阻遮罩的一雙倍圖案轉印至一下方層體。
  18. 如申請專利範圍第17項所述之圖案化基板的方法,其中該輻射感光層包括添加物,該等添加物使該輻射感光層之溶解性得以利用該基板表面區域之每平方公分小於約10毫焦耳的超紫外線輻射加以改變;以及 其中該圖案化光阻遮罩之特徵在於小於約4奈米的初始邊緣粗度值,以及其中該圖案化光阻遮罩之特徵在於小於約40奈米的臨界尺寸。
  19. 如申請專利範圍第18項所述之圖案化基板的方法,其中該等添加物包括懸浮的奈米粒子,該等奈米粒子選自鉿氧化物與鋯氧化物所組成的群組。
  20. 如申請專利範圍第17項所述之圖案化基板的方法,其中縮減該圖案化光阻遮罩包括: 以一酸塗布該圖案化光阻遮罩; 烘烤該酸,使得該酸擴散進入該圖案化光阻遮罩的裸露表面;以及 將該圖案化光阻遮罩予以顯影,以移除該圖案化光阻遮罩的裸露表面。
TW103138749A 2013-11-08 2014-11-07 使用後處理方法以加速超紫外線微影之方法 TWI550365B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361901768P 2013-11-08 2013-11-08
US201361902223P 2013-11-09 2013-11-09

Publications (2)

Publication Number Publication Date
TW201527904A TW201527904A (zh) 2015-07-16
TWI550365B true TWI550365B (zh) 2016-09-21

Family

ID=53042000

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103138749A TWI550365B (zh) 2013-11-08 2014-11-07 使用後處理方法以加速超紫外線微影之方法

Country Status (5)

Country Link
US (1) US9653319B2 (zh)
JP (1) JP2016539361A (zh)
KR (1) KR101860243B1 (zh)
TW (1) TWI550365B (zh)
WO (1) WO2015069658A1 (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9791779B2 (en) 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9633847B2 (en) * 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
CN106328498B (zh) * 2015-06-23 2019-12-31 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN109313395B (zh) * 2016-05-13 2021-05-14 东京毅力科创株式会社 通过使用光剂来进行的临界尺寸控制
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10517179B2 (en) * 2016-12-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11604415B2 (en) 2018-05-29 2023-03-14 Tokyo Electron Limited Substrate processing method, substrate processing apparatus, and computer readable recording medium
US10615037B2 (en) 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10971362B2 (en) 2019-02-27 2021-04-06 International Business Machines Corporation Extreme ultraviolet patterning process with resist hardening
US20220157617A1 (en) * 2019-03-18 2022-05-19 Lam Research Corporation Reducing roughness of extreme ultraviolet lithography resists
US11796922B2 (en) * 2019-09-30 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices
US10985028B1 (en) * 2019-10-18 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing
US20210294148A1 (en) * 2020-03-17 2021-09-23 Tokyo Electron Limited Planarizing Organic Films
US11915931B2 (en) * 2021-08-19 2024-02-27 Tokyo Electron Limited Extreme ultraviolet lithography patterning method
WO2023028245A1 (en) * 2021-08-25 2023-03-02 Geminatio, Inc. Self-aligned build-up processing
CN113851577B (zh) * 2021-09-23 2024-02-20 业成光电(深圳)有限公司 压电传感器的制作方法
JP2023063675A (ja) 2021-10-25 2023-05-10 東京エレクトロン株式会社 パターンを形成する方法
TWI824680B (zh) * 2022-08-25 2023-12-01 美商杰米納帝歐股份有限公司 自對準堆積方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7781149B2 (en) * 2005-03-23 2010-08-24 Asml Netherlands B.V. Reduced pitch multiple exposure process
US20110177462A1 (en) * 2010-01-20 2011-07-21 Shin-Etsu Chemical Co., Ltd. Patterning process
US8470711B2 (en) * 2010-11-23 2013-06-25 International Business Machines Corporation Tone inversion with partial underlayer etch for semiconductor device formation
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0313949A (ja) * 1989-06-13 1991-01-22 Oki Electric Ind Co Ltd レジストパターンの形成方法
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US7659050B2 (en) * 2005-06-07 2010-02-09 International Business Machines Corporation High resolution silicon-containing resist
JP5144127B2 (ja) * 2007-05-23 2013-02-13 キヤノン株式会社 ナノインプリント用のモールドの製造方法
TWI493598B (zh) * 2007-10-26 2015-07-21 Applied Materials Inc 利用光阻模板遮罩的倍頻方法
JP5158370B2 (ja) * 2008-02-14 2013-03-06 信越化学工業株式会社 ダブルパターン形成方法
US8021828B2 (en) * 2008-02-21 2011-09-20 International Business Machines Corporation Photoresist compositions and methods related to near field masks
WO2009110166A1 (ja) * 2008-03-06 2009-09-11 パナソニック株式会社 レジスト材料及びそれを用いたパターン形成方法
JP5011345B2 (ja) * 2009-05-15 2012-08-29 東京エレクトロン株式会社 レジストパターンのスリミング処理方法
JP5844613B2 (ja) * 2010-11-17 2016-01-20 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 感光性コポリマーおよびフォトレジスト組成物
JP5708522B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
WO2012118847A2 (en) * 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
EP2783389B1 (en) * 2011-11-21 2021-03-10 Brewer Science, Inc. Structure comprising assist layers for euv lithography and method for forming it
US8647817B2 (en) * 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
JP5776615B2 (ja) * 2012-04-11 2015-09-09 信越化学工業株式会社 パターン形成方法
JP5726807B2 (ja) * 2012-04-24 2015-06-03 東京エレクトロン株式会社 パターン形成方法、パターン形成装置、及びコンピュータ可読記憶媒体
US8716133B2 (en) * 2012-08-23 2014-05-06 International Business Machines Corporation Three photomask sidewall image transfer method
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7781149B2 (en) * 2005-03-23 2010-08-24 Asml Netherlands B.V. Reduced pitch multiple exposure process
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US20110177462A1 (en) * 2010-01-20 2011-07-21 Shin-Etsu Chemical Co., Ltd. Patterning process
US8470711B2 (en) * 2010-11-23 2013-06-25 International Business Machines Corporation Tone inversion with partial underlayer etch for semiconductor device formation

Also Published As

Publication number Publication date
KR20160083918A (ko) 2016-07-12
US20150132965A1 (en) 2015-05-14
JP2016539361A (ja) 2016-12-15
TW201527904A (zh) 2015-07-16
US9653319B2 (en) 2017-05-16
KR101860243B1 (ko) 2018-05-21
WO2015069658A1 (en) 2015-05-14

Similar Documents

Publication Publication Date Title
TWI550365B (zh) 使用後處理方法以加速超紫外線微影之方法
TWI582830B (zh) 極紫外光光阻蝕刻耐久性改良及圖案崩塌減輕
KR102310834B1 (ko) 그래프팅 중합체 물질의 사용으로 기판의 패턴화
JP4709698B2 (ja) 半導体ウェハの処理方法,半導体ウェハ,液浸リソグラフィの実施方法,および液浸リソグラフィ処理と共に使用するエッジビード除去装置
JP5563544B2 (ja) 表面にリセスを形成する方法
US7357876B2 (en) Eliminating printability of sub-resolution defects in imprint lithography
US7960097B2 (en) Methods of minimizing etch undercut and providing clean metal liftoff
Hori et al. Sub-40-nm half-pitch double patterning with resist freezing process
KR101848578B1 (ko) 원자층을 증착하지 않는 자가-정렬 더블 패터닝 방법
TWI625602B (zh) 使用極紫外光微影技術之基板圖案化方法
KR101988193B1 (ko) 화학적 폴리싱 및 평탄화를 위한 방법
TW201604932A (zh) 基板上之接觸窗開口的圖案化方法
JP7371308B2 (ja) 有機膜の平面化法
TWI773659B (zh) 圖案形成方法
JP2013045864A (ja) 半導体装置の製造方法、及び周辺露光装置
JP2010118501A (ja) 半導体装置の製造方法
JP2009094146A (ja) パターン形成方法
JP2007088256A (ja) パターン形成方法及び半導体装置の製造方法