KR20160083918A - Euv 리소그래피를 가속화하기 위한 사후처리 방법을 이용한 방법 - Google Patents

Euv 리소그래피를 가속화하기 위한 사후처리 방법을 이용한 방법 Download PDF

Info

Publication number
KR20160083918A
KR20160083918A KR1020167014936A KR20167014936A KR20160083918A KR 20160083918 A KR20160083918 A KR 20160083918A KR 1020167014936 A KR1020167014936 A KR 1020167014936A KR 20167014936 A KR20167014936 A KR 20167014936A KR 20160083918 A KR20160083918 A KR 20160083918A
Authority
KR
South Korea
Prior art keywords
patterned
mask
image reversal
reversal material
resist mask
Prior art date
Application number
KR1020167014936A
Other languages
English (en)
Other versions
KR101860243B1 (ko
Inventor
안톤 제이 데빌리어스
카우쉭 쿠마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160083918A publication Critical patent/KR20160083918A/ko
Application granted granted Critical
Publication of KR101860243B1 publication Critical patent/KR101860243B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/265Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Plasma & Fusion (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Materials For Photolithography (AREA)

Abstract

에칭 챔버들에 해로울 수 있는 첨가제들을 갖는 레지스트들을 포함한 고속 EUV 레지스트들을 이용하기 위한 방법이 제공된다. 본 방법은 패턴 생성과 전사를 위한 슬리밍 및 확산 제한형 에치백뿐만이 아니라, 반전 물질들 및/또는 반전 기술들을 이용하는 것을 포함한다. 고속 EUV 레지스트를 갖는 기판은 리소그래피 방식으로 패터닝되고 현상되어 패터닝된 레지스트 마스크를 형성시킨다. 그런 후, 이미지 반전 물질이 패터닝된 레지스트 마스크를 채우고 뒤덮도록 이미지 반전 물질이 패터닝된 레지스트 마스크 상에 오버코팅된다. 패터닝된 레지스트 마스크의 최상면들이 노출되도록 이미지 반전 물질의 상단부가 제거된다. 이미지 반전 물질이 남겨져서 패터닝된 이미지 반전 물질 마스크를 초래시키도록 패터닝된 레지스트 마스크가 제거된다. 잔류 레지스트 물질은 산 확산 및 후속 현상을 이용하여 슬리밍 공정을 통해 제거된다.

Description

EUV 리소그래피를 가속화하기 위한 사후처리 방법을 이용한 방법{METHOD FOR USING POST-PROCESSING METHODS FOR ACCELERATING EUV LITHOGRAPHY}
본 출원은 “Method for Using Post-Processing Methods for Accelerating EUV Lithography”이라는 명칭으로 2013년 11월 9일에 출원된 미국 가특허 출원 61/902,223의 우선권을 청구하며, 이 가특허 출원 내용 전체는 참조로서 본 명세서내에 병합된다. 본 출원은 또한, “Method for Chemical Polishing and Planarization”이라는 명칭으로 2013년 11월 8일에 출원된 미국 가특허 출원 61/901,768의 우선권을 청구하며, 이 가특허 출원 내용 전체는 참조로서 본 명세서내에 병합된다.
본 발명은 기판 상에 박막들 및 다양한 층들을 패터닝하는 것에 관한 것이다. 이러한 패터닝은 포토리소그래피 패터닝 기법 내에서 반도체 디바이스들을 제조하는 패터닝을 포함한다.
(포토리소그래피와 같은) 물질 처리 방법들에서, 패터닝 층들을 생성하는 것은 포토레지스트(레지스트)와 같은, 방사선 민감 물질의 박층을 기판의 상부 표면에 도포하는 것을 포함한다. 이러한 방사선 민감 물질은, 에칭 공정들과 같은 것에 의해 기판 상의 기저층 내로 패턴을 전사시키는 데에 이용될 수 있는 패터닝 마스크로 변형된다. 방사선 민감 물질의 패터닝은 일반적으로, 예컨대 스캐너 또는 스텝퍼 툴과 같은 포토리소그래피 시스템을 이용하여 방사선 민감 물질 상에 레티클(및 관련 광학장치들)을 통한 방사선 소스의 노광을 수반한다. 그 후, 이러한 노광에 이어서, 현상액을 이용한 방사선 민감 물질의 조사(irradiated) 영역들의 제거(포지티브 포토레지스트의 경우), 또는 비 조사(non-irradiated) 영역들의 제거(네거티브 레지스트의 경우)가 뒤따를 수 있다. 이러한 마스크층은 다중 서브층들을 포함할 수 있다.
극자외선(extreme ultraviolet; EUV) 포토리소그래피는 다양한 레지스트 막들을 패터닝하기 위해 EUV 방사선을 이용하도록 설계된 떠오르는 기술이다. 이러한 패터닝은 집적 회로를 제조하는 데에 이용되는 공정들과 같은, 미세가공(microfabrication) 공정들의 일부분일 수 있다. EUV 방사선은 124㎚ 내지 약 10㎚ 범위에 걸친 파장들을 갖는 광을 포함하며, EUV 포토리소그래피는 10㎚~20㎚ 사이의 파장들을 이용하려고 시도중에 있다.
통상적인 극자외 방사선(EUV) 레지스트 막들은 비교적 느린 현상 시간들을 갖는 경향이 있다. 예를 들어, 이러한 레지스트들은 70밀리줄(mJ) 이상의 EUV 노광을 필요로 할 수 있는데, 이것은 비용이 많이 드는 심각한 해결과제이다. 따라서, 상당히 보다 짧은 현상 시간들을 갖는 레지스트들인 고속 EUV 레지스트들이 EUV 리소그래피에 유익할 것이다. 하나의 특정한 고속 레지스트가 개발되었다. 이 고속 레지스트는 필요한 노광 시간 또는 에너지를 줄이기 위해 하프늄 나노입자들을 이용하지만, 이러한 고속 레지스트를 이용하는 것은 문제가 있다. 예를 들어, 한가지 문제는 라인 엣지 거칠기(line edge roughness; LER)이다. 다른 문제는 (하프늄 산화물을 비롯한) 어떠한 나노입자들은 에칭 챔버들 내부에서 해롭다는 점이며, 이러한 물질들을 갖는 기판들을 플라즈마 처리 챔버들 내부에 배치시키는 것을 피하는 것이 바람직하다. 따라서, 거칠기 문제들 및/또는 에칭 챔버들에 비친화적인 입자들을 극복하는 것은 미세가공 공정들에서 고속 EUV 레지스트들을 이용가능하게 하는 데에 이용될 수 있다.
일반적으로 반도체 산업은 EUV 리소그래피 및 EUV 툴들을 기능하게 하는 데에 상당한 관심을 갖는다. 반도체 로드 맵의 성공은 EUV 툴들의 성공에 달려있다. EUV 현상의 속도는 부분적으로 EUV 선량(dose)에 관련이 있다.
고속 레지스트들은 EUV 선량을 대략 10배 감소시키는 능력을 갖는다. 하나의 예시적인 고속 레지스트가 코넬 대학의 크리스토퍼 오버의 “Current Status of Inorganic Nanoparticle Photoresists”(2012년 12월 2일에 Ithaca, NY, International EUV initiative에서 출판됨)(http://ieuvi.org/TWG/Resist/2012/021212/8-Ober-SEMATECH_TWG_2012_final.pdf)에 의해 개발되었으며, 이 문헌의 내용 전체는 참조로서 본 명세서에 병합된다. 이 특정 레지스트는 레지스트를 현상하기 전에 필요한 EUV 선량을 감소시키기 위해 하프늄 나노입자들을 이용한다. 이러한 하프늄 산화물 나노입자 레지스트에 대한 한가지 해결과제는 관련 문제들로 인해 이 레지스트를 이용하는 수용가능한 방법이 현재 없다는 점이다. 한가지 문제는 이러한 입자들이 에칭 챔버들 내부에서 매우 해롭다는 것이다. 이러한 입자들을 에칭 챔버들 밖으로 내보내는 것이 강력히 요망된다. 다른 문제는 라인 엣지 거칠기의 충실도(fidelity)가 희망하는 규격들을 충족시키지 못한다는 것이다.
따라서, 하나의 EUV 리소그래피 해결과제는 에칭 챔버들에 해롭거나 또는 비우호적인 첨가제들 또는 나노입자들을 가질 수 있는 고속 레지스트들을 이용하는 것이다. 고속 레지스트들을 이용하는 것과 관련한 다른 해결과제는 이 레지스트들이 수용불가능한 거칠기 및 분해능을 제공한다는 것이다. 여기서의 기술들은 에칭 챔버들에 비친화적일 수 있는 고속 EUV 레지스트들을 이용하기 위한 신규한 방법들을 포함한다. 기술들은 확산 제한형 에치백 및 슬리밍 기술들뿐만이 아니라, 반전 물질들 및/또는 반전 기술들을 이용하는 것을 포함한다.
예를 들어, 여기서의 실시예들은 기판을 패터닝하기 위한 방법들을 포함한다. 이러한 방법들은 방사선 민감층을 갖는 기판을 수용하는 단계를 포함할 수 있다. 방사선 민감층은 극자외 방사선 리소그래피에 노출될 때 약 40나노미터 미만의 리소그래피 분해능을 제공하는 물질 특성들을 갖는 레지스트 조성을 포함한다. 다음으로, 극자외선 리소그래피 공정을 통해 방사선 민감층 내에 전사된 패턴을 현상함으로써, 패터닝된 레지스트 마스크가 기판 상에 생성될 수 있다. 극자외선 리소그래피 공정은 기판의 제곱센치미터 당 약 10밀리줄(mJ) 미만의 극자외 방사선의 노광을 포함한다. 극자외 방사선은 약 10나노미터 내지 124나노미터의 파장들을 갖는다. 그런 후, 이미지 반전 물질이 패터닝된 레지스트 마스크를 채우고 덮도록 이미지 반전 물질이 패터닝된 레지스트 마스크 상에 오버코팅된다. 패터닝된 레지스트 마스크의 최상면들이 노출되도록 이미지 반전 물질의 상단부가 제거된다. 이미지 반전 물질이 남겨져서 패터닝된 이미지 반전 물질 마스크를 초래시키도록 패터닝된 레지스트 마스크가 또한 제거된다. 그런 후, 패터닝된 이미지 반전 물질 마스크의 초기 치수들이 감소되고, 패터닝된 이미지 반전 물질 마스크의 노출면들 내에 임베딩된 잔류 레지스트 물질이 제거되도록 패터닝된 이미지 반전 물질 마스크가 슬리밍된다.
다른 실시예들은 패터닝된 이미지 반전 물질 마스크에 의해 정의된 패턴을 드라이(dry) 에칭 공정을 통해 하위층 내로 전사시켜서 패터닝된 하위층을 초래시키는 단계를 포함할 수 있다. 그런 후, 패터닝된 하위층을 측벽 이미지 전사 공정을 위한 맨드렐(mandrel)로서 이용하여 측벽 이미지 전사 공정을 실행하기 위해 패터닝된 이미지 반전 물질 마스크가 제거될 수 있다.
물론, 여기서 설명된 상이한 단계들의 논의의 순서는 명료화를 위해 제시되었을 뿐이다. 일반적으로, 이러한 단계들은 임의의 적절한 순서로 수행될 수 있다. 추가적으로, 여기서는 본 발명개시의 상이한 곳들에서 상이한 특징들, 기술들, 구성들 등 각각이 논의될 수 있지만, 본 개념들 각각은 서로 독립적으로 또는 서로 결합되어 실행될 수 있는 것을 의도하는 바이다. 따라서, 본 발명은 많은 상이한 방식들로 구체화되고 살펴봐질 수 있다.
이 요약 섹션은 본 발명개시 또는 청구된 발명의 모든 실시예 및/또는 점진적으로 신규한 양태를 명시하지 않는다는 점을 유념하라. 대신에, 이 요약은 통상적인 기술들 대비 상이한 실시예들 및 대응하는 신규 사항들의 서론을 제공할 뿐이다. 본 발명 및 실시예들의 추가적인 세부사항들 및/또는 가능한 전망들에 대해서는, 독자를 향해 아래에서 심화적으로 논의되는 본 발명개시의 상세한 설명 및 대응 도면들이 주어진다.
본 발명의 다양한 실시예들과 이에 따른 많은 장점들의 보다 완벽한 이해가 첨부 도면들을 고려하면서 아래의 상세한 설명을 참조하여 손쉽게 명백해질 것이다. 도면들을 반드시 실척도로 도시할 필요는 없으며, 이 대신에 본 특징들, 원리들 및 개념들에 역점을 두어 설명한다.
도 1 내지 도 15는 기판을 패터닝하기 위한 공정 시퀀스를 보여주는 기판 세그먼트의 개략적인 단면도들이다.
여기서의 기술들은 에칭 챔버들에 비친화적일 수 있는 첨가제들 및 물질들을 갖는 고속 EUV 레지스트들을 이용하기 위한 신규한 방법들을 포함한다. 기술들은 확산 제한형 에치백 및 슬리밍 기술들뿐만이 아니라, 반전 물질들 및/또는 반전 기술들을 이용하는 것을 포함한다. 이러한 기술들은 다양한 고속 레지스트들의 이용을 가능하게 해준다. 여기서의 기술들을 통해, 비제한적인 예시로서, 아래에 있는 반사 방지 코팅(anti-reflective coating; ARC) 층 및 그 아래의 층들을 패터닝하는 것뿐만이 아니라 하나 이상의 이중 패터닝 공정들을 구현하는 데에 EUV 레지스트가 이용될 수 있다.
실시예들은 기판들을 패터닝하기 위한 방법들을 포함한다. 이제 도 1을 참조하면, 단면 개략도는 예시적인 기판 세그먼트를 보여준다. 하나 이상의 하위 레벨들을 갖는 기판 상에 방사선 민감층(120)이 퇴적된다. 방사선 민감층(120)을 퇴적하기 위해 코터(coater)/현상액 툴 또는 추적 툴이 이용될 수 있다. 방사선 민감층(120)은 주어진 기판의 제곱센치미터 당 대략 10밀리줄(mJ) 미만의 극자외 방사선에 대한 노광 후에 완전히 현상될 수 있다는 점에서 방사선 민감층(120)은 고속 레지스트일 수 있다. 통상적으로, 리소그래피 광 노광량은 제곱센치미터 당 가해진 에너지로 주어진다. 따라서, 방사선 민감층(120)은 통상적인 포토레지스트들보다 5배~10배 빠른 현상 속도를 가질 수 있다. 예시적인 고속 레지스트는 하프늄 산화물 함유 포토레지스트(임베딩되거나 또는 부유(suspended)된 나노입자들을 포함한 레지스트)이다. 방사선 민감층(120)은 비교적 신속한 현상 속도를 제공하도록 구축/선택될 수 있지만, 첨가된 나노입자들은 에칭 챔버들 내에서 안전하게 에칭되는 제품 조성을 제공할 수 있거나 또는 제공하지 않을 수 있다. 다시 말하면, 방사선 민감층(120)은, 에칭될 때, 통상적인 반도체 에칭 챔버들에 손상을 주는 입자들을 방출할 수 있다. 방사선 민감층(120)에 대한 다른 해결과제는 주어진 반도체 제품 설계에 대한 규정된 거칠기에 비해 결과적인 거칠기 정도가 너무 거칠다는 점에서 방사선 민감층(120)은 수용가능한 라인 엣지 거칠기(LER)를 제공하지 않을 수 있다는 점이다.
방사선 민감층(120) 아래에는 다양한 물질들 및 두께들의 다중층들이 있을 수 있다. 여기서의 실시예들에 대한 설명의 편리를 위해, 기판(105) 상의 세 개의 층 스택에 주로 초점을 두어 설명을 할 것이다. 방사선 민감층(120) 아래에는 패턴이 궁극적으로 전사될 수 있는 전사층(115)이 위치하는데, 이 전사층(115)은 마스크층(110)(타겟층) 상에 위치한다.
EUV 포토리소그래피 이미징 기술들을 이용하여, 패턴(포토리소그래피 패턴)이 방사선 민감층(120) 내로 전사될 수 있다. 예를 들어, 32㎚ 설정점에서 이미징이 실행되는 경우, 이미징을 위해 이용된 에너지는 ㎠ 당 6.6밀리줄(mJ) 정도일 수 있는데, 이것은 대략 3.8나노미터의 라인 엣지 거칠기(LWR) 값을 초래시킨다. 이것은 예시적인 설정점일뿐이라는 점을 유념한다. 다른 예시에서, 관심대상이 16㎚ 노드들을 갖는(16㎚ 규정 임계 치수를 갖는) 경우, 통상적인 EUV 레지스트들은 ㎠ 당 30~60mJ의 방사선을 필요로 할 수 있다. 따라서, 방사선 민감층(120)은 상당한 현상 속도 증가를 제공할 수 있다.
방사선 민감층(120)은 막으로서 도포될 수 있다. 이러한 막은 코터/현상액 툴 또는 추적 툴에서의 스핀 코팅과 같은 통상적인 기술들에 의해 도포되고, 그런 후 베이킹되어 EUV 리소그래피 툴로 이송될 수 있다. 그런 후, 기판은 열 처리 시스템으로 되돌아가서 노광후 베이킹을 받을 수 있고, 그런 후, 노광된 방사선 민감층은 현상되어, 가용성 부분들(레지스트가 포지티브인지 또는 네거티브인지 여부에 따라 노광된 부분 또는 노광되지 않은 부분)이 용해되고 제거되어, 도 2에서 도시된 바와 같이 방사선 민감층(120)으로부터 현상된 패터닝된 레지스트 마스크(121)를 산출시킬 수 있다. 도 2는 이 예시적인 단면도에서 패터닝된 레지스트 마스크(121)를 두 개의 플러그들 또는 라인들로서 보여준다.
통상적인 레지스트들 및 다른 EUV 레지스트들과 비교하여 방사선 민감층(120)에 대한 한가지 차이점은 방사선 민감층(120)은 (하프늄 산화물과 같은) 나노입자들 및/또는 다른 구성분들을 포함할 수 있다는 점이다. 이러한 나노입자들의 용해도는 나노입자들이 확산 특성 또는 확산 경향을 갖도록 할 수 있다. 이러한 나노입자들은 포토레지스트 내에서 부유될 수 있고, 그런 후 이 포토레지스트는 통상적으로 디스펜싱되고, 처리되고, 노광되고, 스핀 코팅될 수 있다. 따라서, 비록 고속 레지스트 자체가 통상적으로 이용되지는 않지만, 방사선 민감층(120)은 통상적인 툴들로 막처리될 수 있다.
잠재적 패턴을 현상하여 패터닝된 방사선 민감층(121)을 생성한 후에는, 방사선 민감층(120)이 에칭 챔버를 오염시키는 입자들을 방출할 수 있기 때문에 에칭 챔버 내에서 방사선 민감층(120)을 마스크로서 이용하여 하위층을 에칭하는 것은 바람직하지 못할 수 있다. 해롭거나 또는 잠재적으로 해로운 입자들을 갖는 고속 레지스트들의 이용을 가능하게 하기 위해, 여기서의 기술들은 하나 이상의 반전 단계들을 실행하는 것을 포함한다. 예를 들어, 기판 스택에 이미지 반전 오버 코팅이 도포될 수 있다. 이러한 기술은 코터/현상액 툴을 이용하여 실행될 수 있다. 도 3을 참조하면, 패터닝된 레지스트 마스크(121) 상에 이미지 반전 물질(125)의 오버코팅이 도포된다. 가용화되도록 시프트되거나 변경된 용해도를 이미지 반전 물질(125)의 최상부가 가질 수 있도록 이미지 반전 물질(125)은 용해도 변경의 잠재성을 가질 수 있다. 이 오버코팅 공정들은 에치백, 또는 중지 및 충전 후 에치백 단계를 포함할 수 있다. 몇몇의 응용예들의 경우, 어느 정도의 잔류 용해도를 갖는 현상 백(develop-back)을 선택하는 것에 대해서는 장점들과 단점들이 있는데, 이는 웨트(wet) 에칭 또는 드라이(dry) 에칭 공정이 나중에 이용되는지 여부에 의존할 수 있다. 잔류 현상 백 능력을 갖춘 폴리머들과 같은 임의의 개수의 반전제(reversal agent)들이 이미지 반전 물질(125)을 위해 이용될 수 있다. 대안적인 실시예들에서, 산화막들이 이용될 수 있고, 그 뒤를 이어서 화학적 기계적 평탄화(chemical-mechanical planarization; CMP)가 뒤따르거나, 또는 드라이 에칭을 이용한 에치백이 뒤따를 수 있다.
이미지 반전 물질이 웨트 슬리밍에 응답할 수 있도록 이미지 반전 물질은 통상적인 포토레지스트와 유사한 내재적인 용해도 포텐셜 및 거동을 갖도록 선택될 수 있다. 대안적인 실시예들은 레지스트처럼 거동하지 않는 물질을 이용할 수 있으며, 이러한 실시예들에서는, 패터닝된 레지스트 마스크를 밖으로 드러내기 위해 이미지 반전 물질을 슬리밍하고/하거나 에치백하도록 등방성 에칭이 수행될 수 있다. 따라서, 광 산(photo acid) 확산을 통해 용해도를 시프트하는 능력을 갖는 반전 물질을 선택한 것에 응답하여, 웨트 슬리밍이 이용될 수 있다. 광 산에 민감하지 않는 반전 물질(SiARC 반전 또는 현상가능 SiARC 물질)을 이용하는 것에 응답하여, 드라이 에칭, 원자층 에칭, 또는 에칭 깊이가 제어가능한 다른 에칭 기술의 형태가 이용될 수 있다.
이미지 반전 물질(125)은 패터닝된 레지스트 마스크(121)의 일부를 오버코팅하거나, 오버충전하거나, 또는 그렇지 않고 패터닝된 레지스트 마스크(121)의 일부를 부분적으로 덮을 수 있다. 그 이유는 에치백 공정이 패터닝된 레지스트 마스크(121)를 밖으로 드러내기 위해 이용될 수 있기 때문이다. 여기서의 기술들로 인해, 기판은 이 에치백 공정 동안에 코터/현상액 시스템 내에서 유지될 수 있다. 하나의 실시예에서, 이미지 반전 물질(125)의 확산 제한형 산 트리밍(acid trim)이 실행될 수 있다. 한가지 예시는 도포된 산이 최상면(산 또는 용해도 변경제가 도포된 곳)으로부터 막 내로 하향 확산되고 막의 용해도를 변경시키는 화학적 트리밍 오버코팅이다. 이러한 용해도 변경은 특정 현상액, 용액, 또는 현상액 그룹들에 대응할 수 있다. 이미지 반전 물질(125)의 최상부의 이러한 용해도 변경 이후, 이 최상부를 용해하고 제거하도록 기판은 다시 코터/현상액에 의해 처리될 수 있으며, 이러한 공정은 웨트 에칭 공정의 유형으로서 간주될 수 있다. 에칭 챔버를 이용하는 실시예들에서, 방사선 민감층(121)으로부터의 입자들이 에칭 챔버 내로 방출되어 에칭 챔버를 오염시키는 것을 회피하기 위해 불완전한 에칭이 수행될 수 있다. 코터/현상액 시스템에서 에치백을 수행할 때, 용해도 시프트를 위해 탑 다운(top-down diffusion) 확산과 함께 웨트 에칭이 수행될 수 있다.
여기서 개시된 한가지 기술은 탑 다운 산 트리밍 백(top-down acid trim back)을 위한 산으로 스택을 코팅(또는 오버코팅)하기 위한 것이다. 탑 다운 산 트리밍 백은 최상부 상에서 산화제로 기판 스택을 코팅하는 것을 포함한다. 그런 후, 산이 막 내로 하향 확산하도록, 즉 이미지 반전 물질(125)의 최상부 내로 확산하도록 스택은 베이킹된다. 그 결과는 탑 다운 용해도 프로파일 변경을 수용하는 이미지 반전 물질(125)이다. 이미지 반전 물질(125)의 최상부가 이제 가용성이 됨으로써, 이미지 반전 물질(125)의 최상부가 제거되도록 막은 세정되고 현상될 수 있다. 도 4는 탑 다운 산 트리밍 백 공정으로부터의 예시적인 결과를 보여준다. 방사선 민감층(120)으로부터의 물질이 이제 노출되거나 또는 밖으로 드러나 있는 것을 나타내도록 패터닝된 레지스트 마스크(121)가 이미지 반전 물질(125) 위로 돌출할 수 있다는 것을 유념한다. 산 확산의 양 또는 깊이를 제어하기 위해 여러 파라미터들이 조정될 수 있다. 예를 들어, 베이킹 시간, 오버코팅의 농도, 광 산의 분자량, 베이킹 온도, 첨가된 산을 몰아넣기 위한 추가적인 오버코팅 노광, 산 그룹의 유형 등이 조정될 수 있다.
탑 다운 트리밍 베이킹 이후, 이미지 반전 물질(125)은 베이킹으로 설정되거나 또는 동결될 수 있다. 달리 말하면, 추가적인 용해도 시프트들을 갖기 위해 물질의 능력을 제거하는 데에 열처리가 이용될 수 있다. 그런 후, 패터닝된 레지스트 마스크(121)가 제거될 수 있다. 도 5는 이러한 제거 후의 결과적인 스택을 나타낸다. 방사선 민감층이 제거되었으므로, 그 결과물은 패터닝된 이미지 반전 물질 마스크(126)이다. 고속 레지스트를 제거하는 것은 이로운데, 그 이유는 후속 처리 동안 에칭 챔버 내의 오염을 방지하기 위해 기판 스택으로부터 해로운 (또는 잠재적으로 해로운) 나노입자들을 제거하는 것이 바람직하기 때문이다. 나노입자들 중 몇몇은 패터닝된 이미지 반전 물질 마스크(126)에 의해 정의된 이미지 반전 물질(125)의 표면들 내에 임베딩될 것이기 때문에 나노입자들 모두가 탑 다운 트리밍 백 단계로 제거/용해되는 것은 아닐 수 있음을 유념하라. 몇몇의 나노입자들은 확산 양태를 가질 수 있고 인접 물질 내로 이동할 수 있거나, 또는 그렇지않고 계면 영역들에서 얽히게 될 수 있다. 영역(127)은 나노입자들이 패터닝된 이미지 반전 물질 마스크(126)의 측벽들 내에 임베딩된 곳, 특히 반전제가 방사선 민감 물질과 인터페이싱된 것을 보여준다.
이러한 잔류 나노입자들을 제거하기 위해 확산 제한형 슬리밍 공정이 이제 이용될 수 있다. 이것은 나노입자들이 잠재적인 오염물들일 때 특이 유리한 웨트 슬리밍 공정일 수 있다. 하나의 실시예에서, 광 산이 다시 스택에 도포되고 패터닝된 이미지 반전 물질 마스크(126)의 최상면들과 측벽들을 거쳐 하향 베이킹된다. 이 단계는 확산 제한형 슬리밍을 제공하기 위해 실행되는데, 즉 물질의 후속 제거는 광 산(또는 다른 작용제)이 용해가능하게 되거나 또는 변경된 용해도를 갖는 부분으로 제한될 것이다. 따라서, 산 도포 및 확산 이후, 이 새롭게 용해가능한 부분을 제거하도록 스택은 현상될 수 있다. 이미지 반전 물질이 잔류 입자들의 제거를 위해 용해도 시프트 가능성을 유지하도록 패터닝된 레지스트 마스크(121)의 제거 이후의 어떠한 베이킹 단계도 보류될 수 있다는 것을 유념한다. 이러한 웨트 슬리밍 공정의 보다 상세한 설명은 2013년 11월 8일에 “Method for Chemical Polishing and Planarization”이라는 명칭으로 출원된 미국 가출원 제61/901,768호에서 발견될 수 있다.
도 6에서는, 도 5와 비교하여 피처들이 슬리밍되어 있는 패터닝된 이미지 반전 물질 마스크(126)가 도시된다. 이러한 트리밍 백은 또한 패터닝된 이미지 반전 물질 마스크(126)에 대한 평활화를 제공할 수 있으며, 이로써 드라이 에칭/플라즈마 에칭 챔버 내에서의 오염을 일으킬 수 있는 모든 (Hf 나노입자들과 같은) 해로운 나노입자들을 제거시킨 규정된 LWR를 초래할 수 있다. 따라서, 여기서 개시된, 확산 제한형 슬리밍 백은 고속 레지스트 패턴을 밖으로 드려낼 뿐만이 아니라 반전제 내의 잔류 나노입자들을 제거하기 위해 반전제 오버코팅의 에칭을 갖는 다수의 응용들을 가질 수 있다. 확산 제한형 슬리밍 백은 CMP에 대한 대안책뿐만이 아니라 평활화/슬리밍 대안책으로서 이용될 수 있다. 도 6은 따라서 후속 패턴 전사를 위해 준비된 LWR로 모든 오염물들이 제거된 보다 작은 패턴을 보여준다. 몇몇의 나노입자들은 포토 물질들 내에서의 확산의 농도 구배를 중단시키지 않을 수 있는데, 이것은 나노입자 매트릭스 내에 임베딩된 보호 그룹들을 변경시키는 광 산들을 여전히 가지면서, 포토 물질들이 여전히 이미지를 만들고 나노입자들이 없는 것처럼 나노입자들을 통해 현상하는 현상액을 가질 수 있는 이유임을 유념한다.
이제 도 7을 참조하면, 패터닝된 이미지 반전 물질 마스크(126)가 하위 전사층(115)과 같은 하나 이상의 하위층들 내로 전사될 수 있다. 이 때, 기판 스택은 해로운 입자들로부터의 오염의 위험없이 플라즈마 에칭 챔버 내에서 에칭될 수 있다. 다른 장점은 패턴 내에서의 수용가능한 라인 폭 거칠기 및/또는 라인 엣지 거칠기를 갖는다는 것이다. 통상적인 EUV 레지스트들(고속이 아닌 레지스트들)의 경우, 이 스테이지에 의해, 32㎚ CD 설정점 및 그 미만에 대해 수용불가능한 LWR이 종종 있다.
도 8에서, 측벽 이미지 전사(sidewall image transfer; SIT) 공정과 같은 추가적인 제조 단계들로 이어지기 위해 맨드렐(115)을 남겨두면서 이미지 반전 물질이 벗겨질 수 있다. 첫번째 맨드렐 LWR은 아마도 가장 중요하다. 맨드렐이 거칠면, 부드러운 일면과 거친 타면을 갖는 스페이서들이 생성될 수 있다. 하지만, 여기서의 기술들은 부드러운 양면을 갖는 스페이서들을 초래시킬 수 있다. 따라서, EUV 처리에서의 이미지 문제들을 해결하기 위해 여기서 개시된 웨트 슬리밍/평활화가 이용될 수 있다. 통상적으로, LWR 문제들로 인해 고속 EUV 레지스트들은 회피되어 왔다. 하지만, 여기서의 기술들은 이러한 공정 플로우들을 통해 LWR 해결과제들을 완화시키고, SIT 처리를 통해 분해능을 완화시킨다. 이러한 공정 단계들 중 하나 이상은 EUV가 성공적이도록 할 수 있는데, 그렇지 않았더라면 고속 레지스트들 및 EUV 리소그래피는 일반적으로 다운스트림 처리와 양립가능하지 않다.
SIT 처리로 이어져서, 도 9를 참조하면, 원자층 퇴적을 통해서와 같이, 컨포멀(conformal) 막(130)이 맨드렐(115) 위에 도포되고 이어서 자가 정렬 이중 패터닝(self-aligned double patterning; SADP) 기법으로 이어질 수 있다. 도 10에서, 컨포멀 막(130)이 에칭백되어 스페이서들(131)을 산출시킨다. 예를 들어, 물질이 충분히 두꺼워서 에칭되어 제거되는 것을 회피하는 측벽 위치들을 제외하고 맨드렐들의 최상부와 마스크층(110)의 최상부로부터의 물질을 소거시키는 이방성 에칭이 실행된다. 도 11에서, 스페이서들(131)을 남겨두면서, 맨드렐들(115)이 제거된다. 이것은 도 8로부터의 패턴을 본질적으로 두 배로 만든다. 도 12에서, 이렇게 두 배로 된 패턴은 마스크 층(110) 내로 전사된다. 도 13에서, 스페이서들은 (에칭 또는 애싱 또는 웨트 세정과 같은 것에 의해서) 제거될 수 있고, 그 결과 희망하는 분해능, 평활도, 및 임계 치수를 갖는 패터닝된 마스크 또는 하드 마스크를 초래시킬 수 있다. 하드 마스크 대신에, 이러한 전사로부터 영구적 구조물들이 초래될 수 있다. 임의의 개수의 후속 제조 단계들이 이어질 수 있다. 예를 들어, 도 14와 도 15는 도금 다마신 공정이 실행될 수 있고, 그 뒤를 이어서 CMP와 세정, 및/또는 마스크 층(110)의 다른 특정 이용이 뒤따를 수 있는 것을 보여준다.
이러한 여러가지 기술들은 반도체 제조를 위해 EUV 레지스트들의 이용을 가능하게 해주는 것을 도와준다. 현재, 고속 레지스트들은, 불량한 LWR, 불량한 분해능, 및/또는 오염물로 인해 상업적 제조에서 이용되고 있지 않다. 하지만, 여기서의 공정들은 EUV 리소그래피 및 고속 레지스트들의 이용을 가능하게 해준다. 이러한 공정은 슬리밍제 및 반전 플로우를 함께 이용함으로써 LWR를 해결한다. 이것은 어떠한 해로운 나노입자들을 제거시키면서 고속 레지스트의 플라즈마/드라이 에칭의 필요성을 제거시킨다. 달리 말하면, LWR 쟁점들뿐만이 아니라, 에칭 선택도/오염 문제들이 해결된다. 확산 제한형 에치백 및 반전 플로우 공정들에 더하여, 분해능 쟁점들을 해결하고 CD 규격들을 충족시키기 위해 측벽 이미지 전사(sidewall image transfer; SIT) 공정들이 추가될 수 있다. 몇몇의 실시예들에서, 반전 플로우는, 특히 해로운 고속 레지스트를 이용할 때, 확산 제한형 에치백과 결합될 수 있다. 어떠한 해로운 고속 레지스트들은 이미지 반전 및 잔류 물질 제거 이후 충분한 분해능을 제공할 수 있다. 분해능이 불충분할 때 SIT 기법들이 또한 서브 공정으로서 추가될 수 있다. 다른 실시예들에서, 반전 플로우는 특히, 고속 레지스트가 수용가능한 LWR을 제공하되, 여전히 보다 높은 분해능을 필요로 할 때, (슬리밍/에치백 단계를 제외하고) SIT 공정과 결합될 수 있다. 다른 실시예들에서, 특히 해로운 입자들을 포함하지 않은 고속 레지스트를 이용할 때, 반전 플로우를 건너뛸 수 있다. 이러한 실시예들에서, EUV 이미지 전사 및 현상 이후, 공정 플로우는 거칠기를 해결하기 위해 확산 제한형 에치백을 포함하고, 그 후 분해능을 수정하기 위해 SIT를 포함할 수 있다.
여기서의 실시예들은 기판을 패터닝하기 위한 추가적인 방법들을 포함한다. 추가적인 방법은 다중 단계들을 포함할 수 있다. 방사선 민감층을 갖는 기판이 수용되거나 또는 제공된다. 이 방사선 민감층은 또한 본 방법의 일부로서 기판에 도포될 수 있다. 방사선 민감층은 극자외 방사선 리소그래피에 노출될 때 약 40나노미터 미만의 리소그래피 분해능을 제공하는 물질 특성들을 갖는 레지스트 조성을 포함한다. 극자외선 리소그래피 공정을 통해 방사선 민감층 내에 전사된 패턴을 현상함으로써 기판 상에 패터닝된 레지스트 마스크가 생성된다. 극자외선 리소그래피 공정은 기판의 제곱센치미터 당 약 10밀리줄(mJ) 미만의 극자외 방사선의 노광을 포함할 수 있다. 극자외 방사선은 약 10나노미터 내지 124나노미터, 바람직하게는 약 10~20나노미터의 파장들을 갖는다. 이 에너지 량으로, 방사선 민감층은 완전히 현상될 수 있다. 달리 말하면, 고속 레지스트가 이용된다. 여기서 이용되는 고속 레지스트는, 약 10mJ 미만의 EUV 방사선으로 완전히 현상될 수 있고 약 40나노미터 미만의 분해능과, 3나노미터 미만의 거칠기(또는 라인 또는 폭의 거칠기에 대한 3㎚의 표준 편차)를 제공할 수 있는 레지스트를 말한다. 이미지 반전 물질이 패터닝된 레지스트 마스크를 채워서 이를 적어도 부분적으로 덮도록 패터닝된 레지스트 마스크는 이미지 반전 물질로 오버코팅된다. 일반적으로, 이미지 반전 물질은 스핀 온되거나 또는 그렇지 않고 다른 방식으로 퇴적되며, 이 공정 동안 패터닝된 레지스트 마스크는 본질적으로 덮혀진다. 패터닝된 레지스트 마스크를 덮는 것이 일반적이지만, 필수적인 것은 아니다. 반전 물질이 패터닝된 레지스트 마스크의 최상부를 실질적으로 덮지 않고서 패터닝된 레지스트 마스크의 측벽들 사이에 충전될 수 있지만, 이러한 충전 기술은 일반적으로 어렵다. 다음으로, 패터닝된 레지스트 마스크의 최상면들이 노출되도록(밖으로 드러나거나 또는 덮히지 않도록) 이미지 반전 물질의 상단부가 제거될 수 있다. 그런 후, 이미지 반전 물질이 남겨져서, 패터닝된 이미지 반전 물질 마스크를 초래시키도록(하는 방식으로) 패터닝된 레지스트 마스크가 제거된다. 달리 말하면, 이미지 반전 물질에 의해 정의된 패턴은 제2 물질을 이용하여 반전된다. 그런 후, 패터닝된 이미지 반전 물질 마스크의 초기 치수들이 감소되고, 패터닝된 이미지 반전 물질 마스크의 노출면들 내에 임베딩된 잔류 레지스트 물질이 제거되도록 패터닝된 이미지 반전 물질 마스크가 슬리밍될 수 있다.
방사선 민감층(고속 레지스트)은 기판 표면 영역의 제곱센치미터 당 대략 10밀리줄(mJ) 미만의 극자외 방사선으로 방사선 민감층의 용해도를 수정가능하게 하는 첨가제들을 포함할 수 있다. 예를 들어, 첨가제들은 하프늄 산화물, 지르코늄 산화물 등과 같은 나노입자들의 서스펜션을 포함할 수 있다. 패터닝된 레지스트 마스크는 약 3나노미터 이하의 표준 편차를 갖고 약 4나노미터 미만의 엣지 거칠기에 의해 특징화될 수 있다. 레지스트 마스크는 또한 약 40나노미터 미만의 임계 치수(critical dimension; CD)에 의해 특징화될 수 있다.
이미지 반전 물질의 상단부를 제거하는 것은 웨트 에칭 공정을 이용하는 것을 포함할 수 있다. 웨트 에칭 공정은 이미지 반전 물질을 산(acid)으로 코팅하고, 그 후 산이 이미지 반전 물질의 상단부 내로 확산하여 이미지 반전 물질의 상단부의 용해도를 변경시키도록 산을 베이킹하고, 그 후 이미지 반전 물질의 상단부가 제거되도록 이미지 반전 물질을 현상하는 것을 포함할 수 있다. 산 확산은 산의 양, 산의 유형, 베이킹 시간, 압력 등과 같은 다양한 인자들에 의해 제어될 수 있는, 미리 정해진 두께로 제한될 수 있다. 대안적인 실시예들에서, 이미지 반전 물질의 상단부를 에칭하는 것은 드라이 에칭 공정을 이용하는 것을 포함한다.
패터닝된 이미지 반전 물질 마스크를 슬리밍하는 것은 웨트 에칭 공정을 이용하는 것을 포함할 수 있다. 예를 들어, 패터닝된 이미지 반전 물질 마스크는 산으로 코팅된다. 그런 후, 패터닝된 이미지 반전 물질 마스크의 노출면들 내로 산이 확산되고, 패터닝된 이미지 반전 물질 마스크의 노출면들의 용해도를 변경시키도록, 코팅된 기판은 베이킹된다. 패터닝된 이미지 반전 물질 마스크의 노출면들 내에 임베딩된 잔류 레지스트 물질을 제거하는 데 충분한 깊이까지 패터닝된 이미지 반전 물질 마스크의 노출면들이 제거되도록, 패터닝된 이미지 반전 물질 마스크가 현상된다. 모든 오염물들이 제거되는 것을 보장하도록 이 공정은 복수회 반복될 수 있다는 것을 유념한다.
추가적인 실시예들에서, 패터닝된 이미지 반전 물질 마스크에 의해 정의된 패턴이 드라이 에칭 공정을 통해 하위층 내로 전사되어 패터닝된 하위층이 초래된다. 패터닝된 이미지 반전 물질 마스크가 제거되고, 그런 후, 패터닝된 하위층을 측벽 이미지 전사 공정을 위한 맨드렐로서 이용하여 측벽 이미지 전사 공정이 실행될 수 있다. 이것은 특정 고속 레지스트 및 EUV 리소그래피 공정이 규정된 분해능을 만족시킬 수 없을 때 이롭다. 측벽 이미지 전사 공정은 패터닝된 하위층에 컨포멀 막을 도포하는 것을 포함한다. 그런 후, 패터닝된 하위층의 이중 패턴이 컨포멀 막을 이용하여 생성되도록 컨포멀 막은 부분적으로 에칭된다. 패터닝된 하위층은 제거되고, 그런 후, 이중 패턴이 컨포멀 막 아래의 마스크층에 전사된다.
이용되는 고속 레지스트의 유형에 기초하여 다양한 단계들을 포함하거나 또는 배제할 수 있는 여러 개의 대안적인 실시예들이 존재한다는 것을 유념한다. 다른 실시예에서, 기판을 패터닝하는 방법은 방사선 민감층을 갖는 기판을 수용하는 단계를 포함한다. 방사선 민감층은 극자외 방사선 리소그래피에 노출될 때 약 40나노미터 미만의 리소그래피 분해능을 제공하는 물질 특성들을 갖는 레지스트 조성을 포함한다. 극자외선 리소그래피 공정을 통해 방사선 민감층 내에 전사된 패턴을 현상함으로써 기판 상에 패터닝된 레지스트 마스크가 생성된다. 극자외선 리소그래피 공정은 기판의 제곱센치미터 당 약 10밀리줄(mJ) 미만의 극자외 방사선의 노광을 포함하며, 이 극자외 방사선은 약 10나노미터 내지 124나노미터의 파장들을 갖는다. 이미지 반전 물질이 패터닝된 레지스트 마스크를 채우고 이를 덮도록 레지스트 마스크는 이미지 반전 물질로 오버코팅된다. 패터닝된 레지스트 마스크의 최상면들이 노출되거나 또는 덮히지 않도록 이미지 반전 물질의 상단부가 제거된다. 이미지 반전 물질이 남겨져서 패터닝된 이미지 반전 물질 마스크를 초래시키도록 패터닝된 레지스트 마스크가 제거된다. 패터닝된 이미지 반전 물질 마스크를 측벽 이미지 전사 공정을 위한 맨드렐로서 이용하여 측벽 이미지 전사 공정이 실행된다. 택일적 사항으로서, 측벽 이미지 전사 공정을 실행하기 전에, 패터닝된 이미지 반전 물질 마스크의 초기 치수들이 감소되고, 패터닝된 이미지 반전 물질 마스크의 노출면들 내에 임베딩된 잔류 레지스트 물질이 제거되도록 패터닝된 이미지 반전 물질 마스크가 슬리밍될 수 있다. 이것은 확산된 산이 패터닝된 이미지 반전 물질 마스크의 노출면들의 용해도를 변경시키도록 산을 패터닝된 이미지 반전 물질 마스크의 노출면들 내로 확산시키는 것을 포함할 수 있다.
다른 패터닝 실시예에서, 반전 단계를 건너뛰고, 웨트 에칭 공정을 이용하여 패터닝된 레지스트 마스크가 슬리밍된다. 웨트 에칭 공정은, 확산된 산이 패터닝된 레지스트 마스크의 노출면들의 용해도를 변경시키도록 산을 패터닝된 레지스트 마스크의 노출면들 내로 확산시키는 것을 포함할 수 있다. 이것은 패터닝된 레지스트 마스크의 노출면들의 초기 엣지 거칠기와 비교하여 패터닝된 레지스트 마스크의 엣지 거칠기를 개선시킨다. 그런 후, 측벽 이미지 전사 공정이 패터닝된 레지스트 마스크를 측벽 이미지 전사 공정을 위한 맨드렐로서 이용하여 실행될 수 있고, 측벽 이미지 전사 공정은 드라이 에칭 공정을 통해 하위층 내로, 패터닝된 레지스트 마스크의 이중 패턴을 전사시킨다.
이전 설명에서는, 여기서 이용된 처리 시스템의 특정 기하학적 구조 및 다양한 컴포넌트들과 공정들의 설명들과 같은 구체적인 상세사항들이 진술되었다. 하지만, 여기서의 기술들은 이러한 구체적인 상세사항들을 벗어난 다른 실시예들에서 실시될 수 있다는 것과, 이러한 상세사항들은 설명을 위한 것일 뿐 제한적 의도를 갖는 것이 아님을 이해해야 한다. 여기서 개시된 실시예들을 첨부 도면들을 참조하여 설명하였다. 마찬가지로, 설명을 위해, 특정 숫자들, 물질들, 및 구성들이 완전한 이해를 제공하기 위해 진술되었다. 하지만, 실시예들은 이러한 특정 상세사항들 없이 실시될 수 있다. 실질적으로 동일한 기능적 구축물들을 갖는 컴포넌트들은 동일한 참조 문자들로 표시되며, 이에 따라 중복적인 설명은 그 어떠한 것도 생략될 수 있다.
다양한 실시예들을 이해하는 것을 돕기 위해 다양한 기술들이 다수의 개별적 동작들로서 설명되었다. 본 설명의 순서는 이러한 동작들이 반드시 순서 의존적임을 나타내는 것이라고 해석되어서는 안된다. 오히려, 이러한 동작들은 제시 순서로 수행될 필요는 없다. 설명된 동작들은 설명된 실시예와는 상이한 순서로 수행될 수 있다. 추가적인 실시예들에서, 다양한 추가적인 동작들이 수행될 수 있고/있거나 설명된 동작들은 생략될 수 있다.
여기서 이용된 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리되는 물체를 가리킨다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조물을 포함할 수 있고, 예컨대, 반도체 웨이퍼, 레티클과 같은 기저 기판 구조물, 또는 박막과 같이 기저 기판 구조물 상에 있거나 그 위에 있는 층일 수 있다. 따라서, 기판은 패터닝되거나 또는 패터닝되지 않은, 임의의 특정 기저 구조물, 하위층 또는 상위층으로 한정되지 않으며, 이보다는 이러한 임의의 층 또는 기저 구조물, 및 층들 및/또는 기저 구조물들의 임의의 조합을 포함하는 것으로 구상가능하다. 본 설명은 특정 유형의 기판들을 참조할 수 있지만, 이것은 단지 설명용일 뿐이다.
본 발명분야의 당업자는 또한 본 발명의 동일한 목적을 여전히 달성하면서 상술한 기술들의 동작들에 많은 변형들이 취해질 수 있다는 것을 이해할 것이다. 이러한 변형들은 본 발명개시의 범위에 의해 커버되는 것으로 의도된다. 이에 따라, 본 발명의 실시예들의 전술한 설명들은 제한적인 것으로 의도된 것이 아니다. 오히려, 본 발명의 실시예들에 대한 그 어떠한 제한들은 아래의 청구범위들에서 제시된다.

Claims (20)

  1. 기판을 패터닝하기 위한 방법에 있어서,
    극자외 방사선 리소그래피에 노출될 때 약 40나노미터 미만의 리소그래피 분해능(resolution)을 제공하는 물질 특성들을 갖는 레지스트 조성을 포함한 방사선 민감층을 갖는 기판을 수용하는 단계;
    극자외선 리소그래피 공정 - 상기 극자외선 리소그래피 공정은 상기 기판의 제곱센치미터 당 약 10밀리줄(mJ) 미만의 극자외 방사선 (상기 극자외 방사선은 약 10나노미터 내지 124나노미터의 파장들을 가짐) 의 노광(exposure)을 포함함 - 을 통해 상기 방사선 민감층 내에 전사된 패턴을 현상함으로써 상기 기판 상에 패터닝된 레지스트 마스크를 생성하는 단계;
    이미지 반전(reversal) 물질이 상기 패터닝된 레지스트 마스크를 채우고 이를 뒤덮도록 상기 패터닝된 레지스트 마스크를 상기 이미지 반전 물질로 오버코팅(over-coating)하는 단계;
    상기 패터닝된 레지스트 마스크의 최상면들이 노출되도록 상기 이미지 반전 물질의 상단부를 제거하는 단계;
    상기 이미지 반전 물질이 남겨져서 패터닝된 이미지 반전 물질 마스크를 초래시키도록 상기 패터닝된 레지스트 마스크를 제거하는 단계; 및
    상기 패터닝된 이미지 반전 물질 마스크의 초기 치수들이 감소되고, 상기 패터닝된 이미지 반전 물질 마스크의 노출면들 내에 임베딩된 잔류 레지스트 물질이 제거되도록, 상기 패터닝된 이미지 반전 물질 마스크를 슬리밍(slimming)하는 단계
    를 포함하는 기판 패터닝 방법.
  2. 제1항에 있어서,
    상기 방사선 민감층은 기판 표면 영역의 제곱센치미터 당 대략 10밀리줄(mJ) 미만의 극자외 방사선으로 상기 방사선 민감층의 용해도를 수정가능하게 하는 첨가제들을 포함한 것인, 기판 패터닝 방법.
  3. 제2항에 있어서,
    상기 첨가제들은 하프늄 산화물과 지르코늄 산화물로 구성된 그룹으로부터 선택된 나노입자들의 서스펜션을 포함한 것인, 기판 패터닝 방법.
  4. 제1항에 있어서,
    상기 패터닝된 레지스트 마스크는 약 3나노미터 이하의 표준 편차를 갖고 약 4나노미터 미만의 엣지 거칠기(edge roughness) 값에 의해 특징화되며,
    상기 레지스트 마스크는 약 40나노미터 미만의 임계 치수(critical dimension; CD)에 의해 특징화된 것인, 기판 패터닝 방법.
  5. 제1항에 있어서,
    상기 이미지 반전 물질의 상단부를 제거하는 단계는 웨트(wet) 에칭 공정을 이용하는 단계를 포함한 것인, 기판 패터닝 방법.
  6. 제5항에 있어서,
    상기 웨트 에칭 공정을 이용하는 단계는,
    상기 이미지 반전 물질을 산(acid)으로 코팅하는 단계;
    상기 산이 상기 이미지 반전 물질의 상단부 내로 확산하고, 상기 이미지 반전 물질의 상단부의 용해도를 변경시키도록, 상기 산을 베이킹(baking)하는 단계; 및
    상기 이미지 반전 물질의 상단부가 제거되도록 상기 이미지 반전 물질을 현상하는 단계를 포함한 것인, 기판 패터닝 방법.
  7. 제1항에 있어서,
    상기 이미지 반전 물질의 상단부를 에칭하는 단계는 드라이(dry) 에칭 공정을 이용하는 단계를 포함한 것인, 기판 패터닝 방법.
  8. 제1항에 있어서,
    상기 패터닝된 이미지 반전 물질 마스크를 슬리밍하는 단계는 웨트 에칭 공정을 이용하는 단계를 포함한 것인, 기판 패터닝 방법.
  9. 제8항에 있어서,
    상기 웨트 에칭 공정을 이용하는 단계는,
    상기 패터닝된 이미지 반전 물질 마스크를 산으로 코팅하는 단계;
    상기 산이 상기 패터닝된 이미지 반전 물질 마스크의 노출면들 내로 확산되고, 상기 패터닝된 이미지 반전 물질 마스크의 노출면들의 용해도를 변경시키도록, 상기 산을 베이킹하는 단계; 및
    상기 패터닝된 이미지 반전 물질 마스크의 노출면들 내에 임베딩된 잔류 레지스트 물질을 제거하는 데 충분한 깊이까지 상기 패터닝된 이미지 반전 물질 마스크의 노출면들이 제거되도록, 상기 패터닝된 이미지 반전 물질 마스크를 현상하는 단계를 포함한 것인, 기판 패터닝 방법.
  10. 제1항에 있어서,
    상기 패터닝된 이미지 반전 물질 마스크에 의해 정의된 패턴을 드라이 에칭 공정을 통해 하위층 내로 전사시켜서 패터닝된 하위층을 초래시키는 단계;
    상기 패터닝된 이미지 반전 물질 마스크를 제거하는 단계; 및
    상기 패터닝된 하위층을 측벽 이미지 전사 공정을 위한 맨드렐(mandrel)로서 이용하여 상기 측벽 이미지 전사 공정을 실행하는 단계
    를 더 포함하는 기판 패터닝 방법.
  11. 제10항에 있어서,
    상기 측벽 이미지 전사 공정을 실행하는 단계는,
    상기 패터닝된 하위층에 컨포멀(conformal) 막을 도포하는 단계;
    상기 패터닝된 하위층의 이중 패턴이 상기 컨포멀 막을 이용하여 생성되도록 상기 컨포멀 막을 부분적으로 에칭하는 단계;
    상기 패터닝된 하위층을 제거하는 단계; 및
    상기 이중 패턴을 상기 컨포멀 막 아래의 마스크층에 전사시키는 단계를 포함한 것인, 기판 패터닝 방법.
  12. 기판을 패터닝하기 위한 방법에 있어서,
    극자외 방사선 리소그래피에 노출될 때 약 40나노미터 미만의 리소그래피 분해능(resolution)을 제공하는 물질 특성들을 갖는 레지스트 조성을 포함한 방사선 민감층을 갖는 기판을 수용하는 단계;
    극자외선 리소그래피 공정 - 상기 극자외선 리소그래피 공정은 상기 기판의 제곱센치미터 당 약 10밀리줄(mJ) 미만의 극자외 방사선 (상기 극자외 방사선은 약 10나노미터 내지 124나노미터의 파장들을 가짐) 의 노광(exposure)을 포함함 - 을 통해 상기 방사선 민감층 내에 전사된 패턴을 현상함으로써 상기 기판 상에 패터닝된 레지스트 마스크를 생성하는 단계;
    이미지 반전(reversal) 물질이 상기 패터닝된 레지스트 마스크를 채우고 이를 뒤덮도록 상기 패터닝된 레지스트 마스크를 상기 이미지 반전 물질로 오버코팅(over-coating)하는 단계;
    상기 패터닝된 레지스트 마스크의 최상면들이 노출되도록 상기 이미지 반전 물질의 상단부를 제거하는 단계; 및
    상기 이미지 반전 물질이 남겨져서 패터닝된 이미지 반전 물질 마스크를 초래시키도록 상기 패터닝된 레지스트 마스크를 제거하는 단계
    를 포함하는 기판 패터닝 방법.
  13. 제12항에 있어서,
    상기 방사선 민감층은, 기판 표면 영역의 제곱센치미터 당 대략 10밀리줄(mJ) 미만의 극자외 방사선으로 상기 방사선 민감층의 용해도를 수정가능하게 하는 첨가제들을 포함하고,
    상기 패터닝된 레지스트 마스크는 약 3나노미터 미만의 표준 편차를 갖고 약 4나노미터 미만의 엣지 거칠기(edge roughness) 값에 의해 특징화되며,
    상기 패터닝된 레지스트 마스크는 약 40나노미터 미만의 임계 치수(critical dimension; CD)에 의해 특징화된 것인, 기판 패터닝 방법.
  14. 제13항에 있어서,
    상기 첨가제들은 하프늄 산화물과 지르코늄 산화물로 구성된 그룹으로부터 선택된 나노입자들의 서스펜션을 포함한 것인, 기판 패터닝 방법.
  15. 제12항에 있어서,
    상기 이미지 반전 물질의 상단부를 제거하는 단계는, 웨트 에칭 공정을 이용하는 단계를 포함하고,
    상기 웨트 에칭 공정은 산을 상기 이미지 반전 물질의 상단부 내로 확산시키는 단계를 포함하며,
    상기 산은 상기 이미지 반전 물질의 상단부의 용해도를 변경시키는 것인, 기판 패터닝 방법.
  16. 제12항에 있어서,
    상기 패터닝된 이미지 반전 물질 마스크를 측벽 이미지 전사 공정을 위한 맨드렐로서 이용하여 상기 측벽 이미지 전사 공정을 실행하는 단계; 및
    상기 측벽 이미지 전사 공정을 실행하기 전에, 상기 패터닝된 이미지 반전 물질 마스크의 초기 치수들이 감소되고, 상기 패터닝된 이미지 반전 물질 마스크의 노출면들 내에 임베딩된 잔류 레지스트 물질이 제거되도록, 상기 패터닝된 이미지 반전 물질 마스크를 슬리밍하는 단계
    를 더 포함하며,
    상기 패터닝된 이미지 반전 물질 마스크를 슬리밍하는 단계는, 확산된 산이 상기 패터닝된 이미지 반전 물질 마스크의 노출면들의 용해도를 변경시키도록 상기 산을 상기 패터닝된 이미지 반전 물질 마스크의 노출면들 내로 확산시키는 단계를 포함한 것인, 기판 패터닝 방법.
  17. 기판을 패터닝하기 위한 방법에 있어서,
    극자외 방사선 리소그래피에 노출될 때 약 40나노미터 미만의 리소그래피 분해능(resolution)을 제공하는 물질 특성들을 갖는 레지스트 조성을 포함한 방사선 민감층을 갖는 기판을 수용하는 단계;
    극자외선 리소그래피 공정 - 상기 극자외선 리소그래피 공정은 상기 기판의 제곱센치미터 당 약 10밀리줄(mJ) 미만의 극자외 방사선 (상기 극자외 방사선은 약 10나노미터 내지 124나노미터의 파장들을 가짐) 의 노광(exposure)을 포함함 - 을 통해 상기 방사선 민감층 내에 전사된 패턴을 현상함으로써 상기 기판 상에 패터닝된 레지스트 마스크를 생성하는 단계;
    웨트 에칭 공정 - 상기 웨트 에칭 공정은 확산된 산이 상기 패터닝된 레지스트 마스크의 노출면들의 용해도를 변경시키도록 상기 산을 상기 패터닝된 레지스트 마스크의 노출면들 내로 확산시키는 단계를 포함함 - 을 이용하여 상기 패터닝된 레지스트 마스크를 슬리밍하는 단계(상기 슬리밍하는 단계는 상기 패터닝된 레지스트 마스크의 노출면들의 초기 엣지 거칠기 값과 비교하여 상기 패터닝된 레지스트 마스크의 엣지 거칠기 값을 개선시킴); 및
    상기 패터닝된 레지스트 마스크를 측벽 이미지 전사 공정을 위한 맨드렐로서 이용하여 상기 측벽 이미지 전사 공정을 실행하는 단계
    를 포함하며,
    상기 측벽 이미지 전사 공정은 드라이 에칭 공정을 통해 하위층 내로 상기 패터닝된 레지스트 마스크의 이중 패턴을 전사시키는 것인, 기판 패터닝 방법.
  18. 제17항에 있어서,
    상기 방사선 민감층은 기판 표면 영역의 제곱센치미터 당 대략 10밀리줄(mJ) 미만의 극자외 방사선으로 상기 방사선 민감층의 용해도를 수정가능하게 하는 첨가제들을 포함하고,
    상기 패터닝된 레지스트 마스크는 약 4나노미터 미만의 초기 엣지 거칠기 값에 의해 특징화되며,
    상기 패터닝된 레지스트 마스크는 약 40나노미터 미만의 임계 치수(critical dimension; CD)에 의해 특징화된 것인, 기판 패터닝 방법.
  19. 제18항에 있어서,
    상기 첨가제들은 하프늄 산화물과 지르코늄 산화물로 구성된 그룹으로부터 선택된 나노입자들의 서스펜션을 포함한 것인, 기판 패터닝 방법.
  20. 제17항에 있어서,
    상기 패터닝된 레지스트 마스크를 슬리밍하는 단계는,
    상기 패터닝된 레지스트 마스크를 상기 산으로 코팅하는 단계;
    상기 산이 상기 패터닝된 레지스트 마스크의 노출면들 내로 확산하도록 상기 산을 베이킹하는 단계; 및
    상기 패터닝된 레지스트 마스크의 노출면들이 제거되도록 상기 패터닝된 레지스트 마스크를 현상하는 단계를 포함한 것인, 기판 패터닝 방법.
KR1020167014936A 2013-11-08 2014-11-04 Euv 리소그래피를 가속화하기 위한 사후처리 방법을 이용한 방법 KR101860243B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361901768P 2013-11-08 2013-11-08
US61/901,768 2013-11-08
US201361902223P 2013-11-09 2013-11-09
US61/902,223 2013-11-09
PCT/US2014/063924 WO2015069658A1 (en) 2013-11-08 2014-11-04 Method for using post-processing methods for accelerating euv lithography

Publications (2)

Publication Number Publication Date
KR20160083918A true KR20160083918A (ko) 2016-07-12
KR101860243B1 KR101860243B1 (ko) 2018-05-21

Family

ID=53042000

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167014936A KR101860243B1 (ko) 2013-11-08 2014-11-04 Euv 리소그래피를 가속화하기 위한 사후처리 방법을 이용한 방법

Country Status (5)

Country Link
US (1) US9653319B2 (ko)
JP (1) JP2016539361A (ko)
KR (1) KR101860243B1 (ko)
TW (1) TWI550365B (ko)
WO (1) WO2015069658A1 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9791779B2 (en) 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9633847B2 (en) * 2015-04-10 2017-04-25 Tokyo Electron Limited Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
CN106328498B (zh) * 2015-06-23 2019-12-31 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
TWI662360B (zh) * 2016-05-13 2019-06-11 東京威力科創股份有限公司 藉由使用光劑之臨界尺寸控制
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10517179B2 (en) * 2016-12-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
JP7085621B2 (ja) 2018-05-29 2022-06-16 東京エレクトロン株式会社 基板処理方法、基板処理装置、及びコンピュータ読み取り可能な記録媒体
US10615037B2 (en) 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10971362B2 (en) 2019-02-27 2021-04-06 International Business Machines Corporation Extreme ultraviolet patterning process with resist hardening
WO2020190941A1 (en) * 2019-03-18 2020-09-24 Lam Research Corporation Reducing roughness of extreme ultraviolet lithography resists
US11796922B2 (en) * 2019-09-30 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices
US10985028B1 (en) 2019-10-18 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
US20210294148A1 (en) * 2020-03-17 2021-09-23 Tokyo Electron Limited Planarizing Organic Films
US11915931B2 (en) * 2021-08-19 2024-02-27 Tokyo Electron Limited Extreme ultraviolet lithography patterning method
CN117941028A (zh) * 2021-08-25 2024-04-26 杰米纳蒂奥公司 自对准的堆建方法
CN113851577B (zh) * 2021-09-23 2024-02-20 业成光电(深圳)有限公司 压电传感器的制作方法
JP2023063675A (ja) 2021-10-25 2023-05-10 東京エレクトロン株式会社 パターンを形成する方法
TWI824680B (zh) * 2022-08-25 2023-12-01 美商杰米納帝歐股份有限公司 自對準堆積方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0313949A (ja) * 1989-06-13 1991-01-22 Oki Electric Ind Co Ltd レジストパターンの形成方法
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US7781149B2 (en) * 2005-03-23 2010-08-24 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7659050B2 (en) 2005-06-07 2010-02-09 International Business Machines Corporation High resolution silicon-containing resist
JP5144127B2 (ja) * 2007-05-23 2013-02-13 キヤノン株式会社 ナノインプリント用のモールドの製造方法
JP5671202B2 (ja) * 2007-10-26 2015-02-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フォトレジストテンプレートマスクを用いて頻度を倍にする方法
JP5158370B2 (ja) * 2008-02-14 2013-03-06 信越化学工業株式会社 ダブルパターン形成方法
US8021828B2 (en) 2008-02-21 2011-09-20 International Business Machines Corporation Photoresist compositions and methods related to near field masks
WO2009110166A1 (ja) * 2008-03-06 2009-09-11 パナソニック株式会社 レジスト材料及びそれを用いたパターン形成方法
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP5011345B2 (ja) * 2009-05-15 2012-08-29 東京エレクトロン株式会社 レジストパターンのスリミング処理方法
JP5440468B2 (ja) * 2010-01-20 2014-03-12 信越化学工業株式会社 パターン形成方法
JP5844613B2 (ja) * 2010-11-17 2016-01-20 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 感光性コポリマーおよびフォトレジスト組成物
US8470711B2 (en) * 2010-11-23 2013-06-25 International Business Machines Corporation Tone inversion with partial underlayer etch for semiconductor device formation
JP5708522B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
WO2012118847A2 (en) * 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
EP2783389B1 (en) 2011-11-21 2021-03-10 Brewer Science, Inc. Structure comprising assist layers for euv lithography and method for forming it
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
JP5776615B2 (ja) * 2012-04-11 2015-09-09 信越化学工業株式会社 パターン形成方法
JP5726807B2 (ja) * 2012-04-24 2015-06-03 東京エレクトロン株式会社 パターン形成方法、パターン形成装置、及びコンピュータ可読記憶媒体
US8716133B2 (en) * 2012-08-23 2014-05-06 International Business Machines Corporation Three photomask sidewall image transfer method
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV

Also Published As

Publication number Publication date
TWI550365B (zh) 2016-09-21
US9653319B2 (en) 2017-05-16
WO2015069658A1 (en) 2015-05-14
KR101860243B1 (ko) 2018-05-21
US20150132965A1 (en) 2015-05-14
JP2016539361A (ja) 2016-12-15
TW201527904A (zh) 2015-07-16

Similar Documents

Publication Publication Date Title
KR101860243B1 (ko) Euv 리소그래피를 가속화하기 위한 사후처리 방법을 이용한 방법
US9791779B2 (en) EUV resist etch durability improvement and pattern collapse mitigation
KR102310834B1 (ko) 그래프팅 중합체 물질의 사용으로 기판의 패턴화
US11107682B2 (en) Method for patterning a substrate using a layer with multiple materials
JP4709698B2 (ja) 半導体ウェハの処理方法,半導体ウェハ,液浸リソグラフィの実施方法,および液浸リソグラフィ処理と共に使用するエッジビード除去装置
JP5059608B2 (ja) リバーストーン処理を利用したリセス構造の形成方法
US9263297B2 (en) Method for self-aligned double patterning without atomic layer deposition
US20140315380A1 (en) Trench patterning with block first sidewall image transfer
KR20180072828A (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
US8758987B2 (en) Methods of forming a reversed pattern in a substrate
KR101988193B1 (ko) 화학적 폴리싱 및 평탄화를 위한 방법
TW201604932A (zh) 基板上之接觸窗開口的圖案化方法
TWI643251B (zh) 金屬氧化物之旋塗式沉積方法
KR102230086B1 (ko) 분해능이하 기판 패터닝 방법
US10923363B1 (en) Method for increasing pattern density on a wafer
Liu et al. Directed self-assembly process implementation in a 300mm pilot line environment
KR102462051B1 (ko) 진보된 콘택 홀 패터닝 방법
WO2022256224A1 (en) Method for removing material overburden via enhanced freeze-less anti-spacer formation using a bilayer system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant